KR20060136340A - Process kit design particle generation - Google Patents

Process kit design particle generation Download PDF

Info

Publication number
KR20060136340A
KR20060136340A KR1020060058318A KR20060058318A KR20060136340A KR 20060136340 A KR20060136340 A KR 20060136340A KR 1020060058318 A KR1020060058318 A KR 1020060058318A KR 20060058318 A KR20060058318 A KR 20060058318A KR 20060136340 A KR20060136340 A KR 20060136340A
Authority
KR
South Korea
Prior art keywords
rms
chamber
substrate
process chamber
layer
Prior art date
Application number
KR1020060058318A
Other languages
Korean (ko)
Other versions
KR101314747B1 (en
KR20070000370A (en
Inventor
힌-민 휴 르
마코토 이나가와
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/167,377 external-priority patent/US20060292310A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060136340A publication Critical patent/KR20060136340A/en
Publication of KR20070000370A publication Critical patent/KR20070000370A/en
Application granted granted Critical
Publication of KR101314747B1 publication Critical patent/KR101314747B1/en

Links

Images

Abstract

기판 프로세싱 동안 입자 발생을 감소시키는 프로세스 키트 및 프로세스 키트 구조를 만드는 방법이 제공된다. 상기 프로세스 키트 구조의 내부면은 표면이 작은 RMS 표면 조도 측정값을 갖는 제 1 재료층으로 코팅되고 큰 RMS 값을 갖는 제 2 재료층 또는 추가 재료층으로 아크 스프레이됨으로써 텍스처링된다. 제 1 재료층은 비드 블래스팅, 도금, 아크 스프레이, 열 스프레이, 또는 다른 프로세스에 의해 코팅될 수 있다. 게다가, 본 발명은 프로세스 키트의 내부면을 보호층으로 선택적으로 코팅하는 단계 및 상기 보호층의 표면을 또 다른 재료층으로 아크 스프레이하는 단계를 제공하며, 또 다른 재료층은 프로세스 키트의 내부면의 재료와 동일한 재료로 만들어질 수 있다. Provided are process kits and methods of making process kit structures that reduce particle generation during substrate processing. The inner surface of the process kit structure is textured by coating the surface with a first layer of material having small RMS surface roughness measurements and arc spraying with a second layer or additional material layer with large RMS values. The first layer of material may be coated by bead blasting, plating, arc spraying, thermal spraying, or other processes. In addition, the present invention provides a step of selectively coating the inner surface of the process kit with a protective layer and arc spraying the surface of the protective layer with another layer of material, wherein the other layer of material It may be made of the same material as the material.

Description

입자 발생을 감소시키는 프로세스 키트 구조{PROCESS KIT DESIGN PARTICLE GENERATION}Process kit structure to reduce particle generation {PROCESS KIT DESIGN PARTICLE GENERATION}

도 1a는 작업물의 표면 위로 재료의 충돌 또는 응집을 나타낸다.1A shows the collision or agglomeration of material over the surface of the workpiece.

도 1b는 작업물 표면 위로의 재료 부착을 향상시키기 위해 텍스처링된 코팅부를 이용하는 것을 도시한다.1B illustrates the use of a textured coating to enhance material adhesion onto the workpiece surface.

도 1c는 작업물의 표면 위로 재료의 부착을 향상시키기 위해 매우 거친 표면 코팅부를 제공하는 것을 나타낸다.1C shows the provision of a very rough surface coating to improve adhesion of the material onto the surface of the workpiece.

도 2는 본 발명의 일 실시예에 따른 예시적인 방법의 흐름도이다.2 is a flowchart of an exemplary method according to an embodiment of the present invention.

도 3은 본 발명의 또 다른 실시예에 따른 또 다른 예시적인 방법의 흐름도이다.3 is a flowchart of yet another exemplary method according to another embodiment of the present invention.

도 4는 본 발명의 방법을 이용하여 예시적인 텍스처링된 표면의 일 실시예의 개략적인 단면도이다.4 is a schematic cross-sectional view of one embodiment of an exemplary textured surface using the method of the present invention.

도 5는 본 발명의 일 실시예에 따른 텍스처링된 내부면을 갖는 예시적인 프로세스 챔버의 개략적인 단면도이다.5 is a schematic cross-sectional view of an exemplary process chamber having a textured interior surface in accordance with one embodiment of the present invention.

도 6a는 본 발명의 일 실시예에 따른 텍스처링된 내부면을 갖는 예시적인 프로세스 챔버 부품의 평면도이다.6A is a top view of an example process chamber component having a textured interior surface in accordance with one embodiment of the present invention.

도 6b는 본 발명의 일 실시예에 따른 텍스처링된 내부면을 갖는 예시적인 접 지 차폐부 및 접지 프레임의 개략도이다.6B is a schematic diagram of an exemplary ground shield and ground frame having a textured interior surface in accordance with one embodiment of the present invention.

도 7a는 본 발명의 일 실시예에 따른 텍스처링된 표면을 갖는 예시적인 섀도우 프레임의 개략도이다.7A is a schematic diagram of an exemplary shadow frame having a textured surface in accordance with one embodiment of the present invention.

도 7b는 본 발명의 일 실시예에 따른 텍스처링된 표면을 갖는 예시적인 섀도우 프레임, 챔버 차폐부, 및 챔버 몸체의 개략도이다.7B is a schematic diagram of an exemplary shadow frame, chamber shield, and chamber body having a textured surface in accordance with one embodiment of the present invention.

도 8은 본 발명의 일 실시예에 따른 프로세스 챔버의 예시적인 기판 지지부의 개략도이다.8 is a schematic diagram of an exemplary substrate support of a process chamber in accordance with one embodiment of the present invention.

* 도면의 주요 부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

100: 작업물 102: 이물질100: workpiece 102: foreign matter

130: 코팅부 400: 작업물130: coating 400: the workpiece

402: 이물질 410: 제 1 재료층402: foreign matter 410: the first material layer

420: 제 2 재료층 500: 프로세스 챔버420: second material layer 500: process chamber

502: 챔버 몸체 508: 접지 프레임502: chamber body 508: ground frame

510: 접지 차폐부 512: 기판510: ground shield 512: substrate

558: 섀도우 프레임 564: 타겟558: shadow frame 564: target

본 발명의 실시예들은 프로세스 챔버에 사용된 재료부의 표면을 바꾸기 위한 방법에 관한 것이다. 특히, 본 발명의 실시예들은 텍스처링된 표면을 위에 제공하 도록 프로세스 챔버에 사용된 챔버 부품 표면의 변형에 관한 것이다. Embodiments of the present invention relate to a method for changing the surface of a material portion used in a process chamber. In particular, embodiments of the present invention relate to deformation of chamber component surfaces used in a process chamber to provide a textured surface thereon.

전자 소자 및 집적회로 소자는 그 치수가 계속해서 감소되게 제조됨에 따라, 이들 소자들을 제조하는 것은 오염에 의한 수율 감소에 매우 민감하게 되었다. 특히, 보다 작은 소자 크기를 갖는 소자들을 제조하는 것은 이전에 고려된 오염 제어보다 더 넓은 범위로 제어할 필요가 있다. As electronic devices and integrated circuit devices are manufactured such that their dimensions continue to decrease, manufacturing these devices has become very sensitive to yield reduction due to contamination. In particular, the fabrication of devices with smaller device sizes needs to be controlled in a wider range than the pollution control previously considered.

이들 소자의 오염은 박막 증착, 에칭 또는 기타 반도체 웨이퍼 또는 유리 기판 제조 프로세스 동안 기판에 충돌하는 원치않는 산란 입자들을 포함하는 소스로부터 발생할 수 있다. 일반적으로, 집적 회로 소자의 제조는 물리 기상 증착(PVD) 및 스퍼터링 챔버, 화학 기상 증착(CVD) 챔버, 플라즈마 에칭 챔버 등과 같은 프로세스 키트 또는 챔버의 사용을 포함한다. 증착, 에칭 및 기타 프로세스의 진행 동안, 재료는 가스 상 또는 기타 다른 상으로부터 프로세스 챔버 내의 여러 내부면 위로 응집되어 프로세스 챔버의 내부면 위에 잔류하는 고체 덩어리들을 형성한다. 프로세스 챔버의 내부면 위에 축적되는 응집된 이물질 입자 또는 오염물은 기판 프로세싱 시퀀스 사이에 또는 시퀀스 동안에 기판 표면 위로 이탈되거나 박리되어 벗겨지기 쉽다. 이들 이탈된 이물질 입자들은 기판 및 기판 위의 소자에 부딪히거나 오염시킬 수 있다. 오염된 소자는 폐기되어야 하고, 그로 인해 기판 프로세싱의 제조 수율을 감소시킨다. Contamination of these devices may result from sources containing unwanted scattering particles that impinge upon the substrate during thin film deposition, etching or other semiconductor wafer or glass substrate fabrication processes. In general, the fabrication of integrated circuit devices involves the use of process kits or chambers, such as physical vapor deposition (PVD) and sputtering chambers, chemical vapor deposition (CVD) chambers, plasma etching chambers, and the like. During the course of deposition, etching and other processes, the material aggregates from the gas phase or other phases onto various interior surfaces in the process chamber to form solid masses remaining on the interior surface of the process chamber. Aggregated foreign matter particles or contaminants that accumulate on the interior surface of the process chamber are likely to flake off or peel off over the substrate surface between or during the substrate processing sequence. These dislodged foreign particles may impinge or contaminate the substrate and devices on the substrate. Contaminated devices must be discarded, thereby reducing the manufacturing yield of substrate processing.

오염 문제는 큰 면적의 기판이 프로세싱될 때 더욱 심각해진다. 예컨대, 평판과 같은 기판을 프로세싱하는 경우에, 기판의 크기는 종종 370 mm × 470 mm 를 초과하고 어떤 경우에는 크기가 1 제곱미터를 넘는 범위를 갖는다. 4 제곱미터 또 는 그 이상인 대형 기판이 근시일 내에 계획될 것이다. 이러한 대형 기판은 프로세스 챔버 내에서 기판 프로세싱 동안 기판 상에 입자 오염이 없는 큰 면적을 필요로 한다.Contamination problems become more severe when large area substrates are processed. For example, in the case of processing a substrate such as a flat plate, the size of the substrate often exceeds 370 mm x 470 mm and in some cases ranges in size over 1 square meter. Larger substrates of 4 square meters or more will be planned in the near future. Such large substrates require a large area free of particle contamination on the substrate during substrate processing in the process chamber.

프로세스 챔버의 내부면으로부터 응집된 이물질의 이탈을 방지하기 위해, 내부면은 거친 표면으로 텍스처링되어 응집된 이물질이 이들 내부면에 보다 잘 부착되고 프로세스 챔버의 내부면으로부터 쉽게 박리되거나 탈착, 및 이탈되지 않아 기판 표면에 떨어져 오염시키지 않게 한다. 도 1a에 도시된 바와 같이, 응집된 프로세스 물질 및 오염물과 같은 이물질(102)은 기판의 프로세싱 동안 프로세스 챔버 내부의 내부면과 같은 작업물(100)의 표면에 부착될 수 있다. 텍스처링된 코팅부(120)는 도 1b에 도시된 것처럼 작업물(100)의 표면으로의 이물질(102) 부착을 향상시키기 위해 제공되지만, 심하게 거칠지 않은 표면을 갖는 텍스처링된 코팅부(120)의 얇은 층은 이물질(102)과 작업물(100)의 표면 사이에 충분한 결합/접착을 제공하지 않을 수 있다. 도 1c는 텍스처링된 코팅부(120)에 비해 보다 큰 입자 크기 및/또는 보다 거친 마감으로 이루어진 텍스처링왼 표면 코팅부(130)가 보다 잘 부착되고 보다 많은 이물질(102)을 끌어당기며, 이로써 이물질(102)을 거의 탈착시키지 않는다는 것을 보여준다. 그러나, 두꺼운 텍스처링된 표면 코팅부(130) 아래에는 공극 공간(140)이 존재한다. 따라서, 텍스처링된 표면 코팅부(130)는 작업물(100)의 표면에 충분히 강하게 부착되지 않고 두꺼운 텍스처링된 코팅부는 높은 고유 내부 응력으로 인해 적합하지 않을 수 있다. In order to prevent the release of aggregated foreign matter from the inner surface of the process chamber, the inner surface is textured with a rough surface so that the aggregated foreign matter adheres better to these inner surfaces and does not easily peel off or detach from the inner surface of the process chamber. So as not to fall onto the substrate surface and contaminate it. As shown in FIG. 1A, foreign matter 102, such as agglomerated process materials and contaminants, may be attached to the surface of the workpiece 100, such as an interior surface inside the process chamber, during processing of the substrate. A textured coating 120 is provided to enhance adhesion of the foreign material 102 to the surface of the workpiece 100 as shown in FIG. 1B, but a thin layer of textured coating 120 having a surface that is not severely rough. The layer may not provide sufficient bonding / adhesion between the foreign object 102 and the surface of the workpiece 100. FIG. 1C shows that the textured left surface coating 130, which has a larger particle size and / or rougher finish than the textured coating 120, adheres better and attracts more foreign material 102, thereby attracting foreign matter. It is shown that (102) hardly desorbs. However, there is a void space 140 under the thick textured surface coating 130. Thus, the textured surface coating 130 may not adhere sufficiently strongly to the surface of the workpiece 100 and the thick textured coating may not be suitable due to the high intrinsic internal stress.

챔버 내부면들을 텍스처링하는 데 사용되는 현재의 방법은 "비드 블래스 팅(bead blasting)"을 포함한다. 비드 블래스팅은 도 1b와 1c에 도시된 바와 같이, 거친 표면을 얻기 위해 압축된/고압력 조건 하에서 표면 위로 단단한 입자들을 스프레이하는 것을 포함한다. 그러나, 통상적으로 결합 강도는 낮고 프로세스 챔버의 내부면들은 겨우 여러번의 기판 프로세싱 후에 다시 블래스팅되거나 다시 텍스처링되어야 한다.Current methods used for texturing chamber interior surfaces include "bead blasting". Bead blasting involves spraying hard particles over the surface under compressed / high pressure conditions to obtain a rough surface, as shown in FIGS. 1B and 1C. However, bond strengths are typically low and the interior surfaces of the process chamber have to be blasted or retextured after only a few substrate processings.

선택적으로, 챔버 내부면은 알루미늄 아크 스프레이에 의해 증착된 얇은 알루미늄 코팅부와 같이 표면에 코팅부를 스프레이함으로써 텍스처링될 수 있다. 아크 스프레이는 통상적으로 압축된 가스가 미세한 방울이 되어 기판 표면 위로 추진되는 제트에 의해 원자화된 스프레이 물질을 형성하도록 두 개의 연속하는 소모성 금속 와이어 전극 사이의 DC 전기 아크를 가하는 것을 포함하며, 이는 비용을 낮추고 높은 증착율의 스프레이 프로세스를 가능하게 한다. 또한 다른 열 스프레이 프로세싱이 표면 텍스처링을 위해 사용될 수 있다. 그러나, 프로세스 챔버 내에 텍스처링된 내부면들을 제공하기 위한 상기 방법 및 기타 방법들은 종종 응집된 덩어리들과 챔버 내부면 사이의 충분한 접착 또는 결합을 형성하는 데 효과적이지 않다. Optionally, the chamber inner surface can be textured by spraying the coating onto the surface, such as a thin aluminum coating deposited by an aluminum arc spray. Arc spraying typically involves applying a DC electric arc between two consecutive consumable metal wire electrodes such that the compressed gas becomes a fine droplet to form an atomized spray material by a jet that is pushed onto the substrate surface, which lowers costs and It enables a high deposition rate spray process. Other thermal spray processing can also be used for surface texturing. However, the above and other methods for providing textured interior surfaces in the process chamber are often ineffective in forming sufficient adhesion or bonding between the agglomerated mass and the chamber interior surface.

이물질의 탈착 및 박리와 관련한 문제를 피하기 위해, 챔버 표면은 자주 그리고 종종 다양한 화학 용액에 의해 응집된 덩어리들을 화학적으로 제거하고 표면을 다시 텍스처링하는 것과 같이 챔버 내부면으로 응집된 덩어리들을 제거하기 위해 오랜동안의 세척 단계를 필요로 한다. 또한, 상당한 세척이 수행되더라도, 어 떤 경우에는 프로세스 챔버 내의 기판 프로세싱 동안 기판 위로의 탈착되고 응집된 물질의 오염이 여전히 발생할 수 있다. 더구나, 다양한 챔버 부분과 챔버 벽들이 알루미늄으로 제조되는 경우, 알루미늄 아크 스프레이는 텍스처링 물질과 챔버 물질이 동일한 경우 적합하지 않을 수 있으며, 프로세스 챔버의 내부면을 세척하고 다시 텍스처링하는 것은 챔버 부품의 일체화 및 두께에 영향을 줄 것이다. In order to avoid problems associated with desorption and desquamation of debris, the chamber surface is often and often used to chemically remove agglomerated masses by various chemical solutions and to remove agglomerated masses into the chamber interior surface, such as by retexturing the surface. During the washing step. In addition, even if significant cleaning is performed, in some cases contamination of desorbed and aggregated material onto the substrate may still occur during substrate processing in the process chamber. Moreover, when the various chamber parts and chamber walls are made of aluminum, aluminum arc sprays may not be suitable when the texturing material and the chamber material are the same, and cleaning and retexturing the inner surface of the process chamber may result in the integration of chamber parts and Will affect the thickness.

따라서, 프로세스 챔버의 내부면 위로 응집된 이물질의 오염을 감소시킬 필요가 있으며 응집된 이물질의 접착을 향상시키기 위해 감소된 스트레스를 갖는 거친 텍스처링된 표면을 제공하기 위한 방법을 개발할 필요가 있다.Accordingly, there is a need to reduce contamination of aggregated foreign matter over the inner surface of the process chamber and to develop a method for providing a rough textured textured surface with reduced stress to improve adhesion of the aggregated foreign matter.

본 발명은 작업물의 표면에 매우 거친 텍스처를 제공하는 방법을 제공한다. 일 실시예에서, 상기 방법은 약 1200 이하의 마이크로-인치의 제 1 치수 평균제곱근(RMS:root mean square)의 표면 조도 측정값을 갖는 제 1 재료층으로 프로세스 챔버의 하나 이상의 부품들의 하나 이상의 표면들을 코딩하는 단계 및 상기 하나 이상의 부품들의 표면을 약 1500 마이크로-인치 이상의 제 2 RMS의 표면 조도 측정값을 갖는 제 2 재료층으로 상기 제 1 재료층의 표면을 아크 스프레이하는 단계를 포함한다.The present invention provides a method for providing a very rough texture on the surface of a workpiece. In one embodiment, the method comprises one or more surfaces of one or more components of the process chamber with a first layer of material having a surface roughness measurement of a first root mean square (RMS) of about 1200 microns or less. And arc spraying the surface of the first material layer with a second material layer having a surface roughness measurement of a second RMS of at least about 1500 micro-inch.

또 다른 실시예에서, 반도체 프로세스 챔버에 사용하기 위한 부품의 표면을 텍스처링하는 방법은 제 1 RMS의 표면 조도 측정값을 갖는 제 1 재료층으로 상기 작업물의 표면을 코팅하는 단계 및 상기 작업물의 표면을 거칠게 하기 위해 약 1500 마이크로-인치 이상의 제 2 RMS의 표면 조도 측정값을 갖는 제 2 재료층으로 상기 제 1 재료층의 표면을 아크 스프레이하는 단계를 포함한다. 제 2 RMS는 제 1 RMS보다 크다.In yet another embodiment, a method of texturing a surface of a component for use in a semiconductor process chamber includes coating the surface of the workpiece with a first layer of material having a surface roughness measurement of a first RMS and a surface of the workpiece. Arc spraying the surface of the first material layer with a second material layer having a surface roughness measurement of a second RMS of at least about 1500 micro-inch to roughen. The second RMS is greater than the first RMS.

또 다른 실시예에서, 반도체 프로세스 챔버에 사용하기 위한 부품의 표면을 텍스처링하는 방법이 제공된다. 상기 방법은 약 1200 마이크로-인치 이하의 제 1 RMS의 표면 조도 측정값을 갖는 제 1 재료층으로 상기 부품의 표면을 코팅하는 단계 및 상기 부품의 표면을 거칠게 하기 위해 제 2 RMS의 표면 조도 측정값을 갖는 제 2 재료층으로 상기 제 1 재료층의 표면을 아크 스프레이하는 단계를 포함하며, 상기 제 2 RMS는 상기 제 1 RMS보다 크다.In yet another embodiment, a method of texturing a surface of a component for use in a semiconductor process chamber is provided. The method includes coating a surface of the part with a first layer of material having a surface roughness measurement of the first RMS of about 1200 micro-inch or less and measuring the surface roughness of the second RMS to roughen the surface of the component. Arc spraying a surface of the first material layer with a second material layer having a second RMS, wherein the second RMS is greater than the first RMS.

또한 프로세스 챔버 내의 오염을 감소시키는 방법이 제공된다. 상기 방법은 제 1 RMS의 표면 조도 측정값을 갖는 보호층으로 상기 부품의 표면을 코팅하는 단계 및 제 2 RMS의 표면 조도 측정값을 갖는 재료층으로 보호층의 표면을 아크 스프레이하는 단계를 포함한다. 상기 재료층은 부품의 재료와 동일한 재료를 포함할 수 있고 상기 제 2 RMS는 상기 제 1 RMS보다 클 수 있다.Also provided is a method of reducing contamination in a process chamber. The method includes coating the surface of the component with a protective layer having a surface roughness measurement of the first RMS and arc spraying the surface of the protective layer with a material layer having a surface roughness measurement of the second RMS. . The material layer may comprise the same material as the material of the part and the second RMS may be greater than the first RMS.

또 다른 실시예에서, 프로세스 챔버 내의 오염물을 감소시키는 방법은 제 1 재료층 및 최종 재료층을 포함한 두 개 이상의 재료층들로 상기 프로세스 챔버의 하나 이상의 부품들의 하나 이상의 표면을 코팅하는 단계 및 상기 하나 이상의 부품들의 하나 이상의 표면들을 거칠게 하기 위해 아크 스프레이에 의해 상기 최종 재료층으로 상기 프로세스의 하나 이상의 부품의 하나 이상의 표면들을 텍스처링하는 단계를 포함하며, 상기 제 1 재료층은 약 1200 마이크로-인치 이하의 제 1 RMS의 표면 조도 측정값을 가지며 상기 최종 재료층은 약 1500 마이크로-인치 이상의 제 2 RMS의 표면 조도 측정값을 갖는다.In another embodiment, a method of reducing contaminants in a process chamber includes coating one or more surfaces of one or more components of the process chamber with two or more material layers, including a first material layer and a final material layer. Texturing one or more surfaces of the one or more components of the process by arc spraying to roughen one or more surfaces of the one or more components, wherein the first material layer is about 1200 micro-inch or less; It has a surface roughness measurement of the first RMS and the final material layer has a surface roughness measurement of the second RMS at least about 1500 micro-inch.

또한 프로세스 챔버에 사용하기 위한 프로세스 챔버 부품이 제공된다. 상기 프로세스 챔버 부품은 하나 이상의 표면들을 갖는 몸체 및 상기 표면 위에 형성된 제 1 코팅부를 포함하며, 상기 제 1 코팅부는 약 1200 마이크로-인치 이하의 제 1 RMS 표면 조도 측정값을 갖는다. 상기 프로세스 챔버 부품은 아크 스프레이에 의해 상기 표면 위에 형성된 제 2 코팅부를 가지며, 상기 제 2 코팅부는 상기 부품의 표면을 거칠게 하기 위해 약 1500 마이크로-인치 이상의 제 2 RMS 표면 조도 측정값을 갖는다. 제 2 RMS는 제 1 RMS보다 클 수 있다.Also provided are process chamber components for use in the process chamber. The process chamber component includes a body having one or more surfaces and a first coating formed on the surface, the first coating having a first RMS surface roughness measurement of about 1200 micro-inch or less. The process chamber part has a second coating formed on the surface by arc spraying, and the second coating has a second RMS surface roughness measurement of at least about 1500 micro-inch to roughen the surface of the part. The second RMS may be greater than the first RMS.

프로세스 챔버 부품은 대형 평판 디스플레이 기판을 프로세싱하기 위한 PVD 챔버의 부품일 수 있다. 일 실시예에서, 상기 프로세스 챔버 부품은 챔버 차폐 부재, 다크 스페이스 차폐부, 섀도우 프레임, 기판 지지부, 타겟, 섀도우 링, 증착 콜리메이터(collimator), 챔버 몸체, 챔버 벽, 코일, 코일 지지부, 커버 링, 증착 링, 접촉 링, 정렬 링, 또는 셔터 디스크 등이다.The process chamber component may be part of a PVD chamber for processing large flat panel display substrates. In one embodiment, the process chamber component comprises a chamber shield member, a dark space shield, a shadow frame, a substrate support, a target, a shadow ring, a deposition collimator, a chamber body, a chamber wall, a coil, a coil support, a cover ring, Deposition rings, contact rings, alignment rings, or shutter disks.

본 발명의 상기 언급한 특징을 상세히 이해하고 상기 간단히 요약한 본 발명의 특징을 보다 특징적으로 설명하기 위해 실시예들을 참조로 하여 설명되며, 그중 일부는 첨부된 도면에 도시되어 있다. 그러나, 첨부된 도면은 단지 본 발명의 통상적인 실시예를 도시할 뿐이며 본 발명의 범위를 제한하고자 하는 것이 아니기 때문에, 본 발명은 동일한 효과를 발휘하는 다른 실시예들이 존재할 수 있다. BRIEF DESCRIPTION OF THE DRAWINGS In order to understand the above-mentioned features of the present invention in detail and to more particularly describe the features of the present invention briefly summarized above, some embodiments are described with reference to the accompanying drawings. However, since the accompanying drawings show only typical embodiments of the invention and are not intended to limit the scope of the invention, other embodiments may exist in which the present invention may have the same effect.

본 발명은 작업물에 매우 거칠게-텍스처링된 표면을 제공하는 방법을 제공한다. 우수하게-텍스처링된 표면은 응집된 재료가 작업물로부터 박리될 가능성을 감 소시킨다. 예컨대, 작업물은 프로세스 챔버의 거친 내부면이 기판 프로세싱 동안 발생한 여러 입자, 응집된 재료, 오염물을 끌어당기고 부착시키는데 사용될 수 있도록 프로세스 챔버 또는 프로세스 키트의 다양한 내부 부품/부분품을 포함할 수 있다. 본 발명은 거칠게 텍스처링된 표면을 갖는 프로세스 챔버 및 다양한 챔버 부품을 제공한다.The present invention provides a method of providing a very rough-textured surface to a workpiece. The well-textured surface reduces the likelihood that the agglomerated material will peel off from the workpiece. For example, the workpiece may include various internal components / parts of the process chamber or process kit such that the rough interior surface of the process chamber can be used to attract and attach various particles, aggregated materials, and contaminants generated during substrate processing. The present invention provides a process chamber having a roughly textured surface and various chamber components.

도 2는 작업물의 표면에 매우 거친 텍스처를 제공하는 본 발명의 일 실시예에 따른 방법의 흐름도(200)를 도시한다. 단계(210)에서, 소정의 표면을 갖는 작업물이 제공된다. 일반적으로, 작업물은 금속 또는 금속 합금, 세라믹 재료, 폴리머 재료, 복합 재료, 또는 이들의 조합물과 같은 재료를 포함한다. 예컨대, 작업물은 알루미늄, 몰리브데늄, 니켈, 티타늄, 탄탈륨, 텅스텐, 구리, 강철, 스테인레스 강철, 철-니켈-크롬 합금, 니켈-크롬-몰리브데늄-텅스텐 합금, 크롬 구리 합금, 구리 아연 합금, 실리콘 카바이드, 사파이어, 알루미늄 옥사이드, 알루미늄 나이트라이드, 실리콘 옥사이드, 석영, 폴리이미드, 포리아릴레이트, 폴리에테르, 에테르케톤, 및 이들의 합금 및 이들의 조합물을 포함한다. 일 실시예에서, 상기 작업물은 오스테나이트(austenitic)-타입의 강철을 포함한다. 또 다른 실시예에서, 작업물은 알루미늄을 포함한다.2 shows a flowchart 200 of a method according to an embodiment of the present invention for providing a very rough texture on the surface of a workpiece. In step 210, a workpiece having a predetermined surface is provided. In general, the workpiece includes materials such as metals or metal alloys, ceramic materials, polymer materials, composite materials, or combinations thereof. For example, the workpiece may be aluminum, molybdenum, nickel, titanium, tantalum, tungsten, copper, steel, stainless steel, iron-nickel-chromium alloy, nickel-chromium-molybdenum-tungsten alloy, chromium copper alloy, copper zinc Alloys, silicon carbide, sapphire, aluminum oxide, aluminum nitride, silicon oxide, quartz, polyimide, polyarylate, polyethers, etherketones, and alloys thereof and combinations thereof. In one embodiment, the workpiece comprises austenitic-type steel. In yet another embodiment, the workpiece includes aluminum.

단계(220)에서, 작업물의 표면은 제 1 평균제곱근(RMS) 값의 표면 조도 측정값을 갖는 제 1 재료층으로 텍스처링된다. 표면 조도는 통상적으로 프로필로미터를 이용하여 수 마이크로-인치 또는 치수의 평균제곱근(RMS)으로 측정된다. 또한, 제 1 재료층의 두께는 와류전류 측정 장치를 이용하여 확인될 수 있다. 제 1 재료 층에 대한 제 1 RMS 값은 약 1200 마이크로-인치 이하와 같이 약 1500 Ra 또는 마이크로-인치 이하이거나, 약 300 마이크로-인치 내지 약 1200 마이크로-인치와 같이, 약 500 마이크로-인치 이하일 수 있다.In step 220, the surface of the workpiece is textured with a first layer of material having a surface roughness measurement of a first root mean square (RMS) value. Surface roughness is typically measured using a profilometer with a root mean square (RMS) of several micro-inches or dimensions. In addition, the thickness of the first material layer can be confirmed using the eddy current measuring device. The first RMS value for the first material layer can be about 1500 Ra or less, such as about 1200 micro-inch or less, or about 500 micro-inch or less, such as about 300 micro-inch to about 1200 micro-inch. have.

표면을 텍스처링하는 것은 열 스프레이 코팅, 판금, 비드 블래스팅, 그리트(grit) 블래스팅, 분말 코팅, 진공(airless) 스프레이, 정전기 스프레이 등과 같은 종래 공지된 막 코팅 프로세스에 의해 수행된다. 예컨대, 아크 스프레이, 플레임(flame) 스프레이, 분말 플레임 스프레이, 와이어 플레임 스프레이, 플라즈마 스프레이 등은 본 발명의 실시예들에 따라 상기 언급한 막 코팅 프로세스에 의해 코팅된 제 1 재료층의 표면 조도를 조절하는데 사용될 수 있다.Texturing the surface is performed by conventionally known film coating processes such as thermal spray coating, sheet metal, bead blasting, grit blasting, powder coating, airless spray, electrostatic spray, and the like. For example, arc sprays, flame sprays, powder flame sprays, wire flame sprays, plasma sprays, etc., control the surface roughness of the first layer of material coated by the aforementioned film coating process in accordance with embodiments of the present invention. It can be used to

예컨대, 작업물 표면을 알루미늄 아크 스프레이하는 것은 약 100 마이크로-인치의 평균 표면 조도 측정값을 갖도록 수행될 수 있다. 바람직하게, 작업물 위로 제 1 재료를 아크 스프레이한 후에, 약 500 마이크로-인치 이하와 같이 약 800 마이크로-인치 이하의 제 1 RMS 값이 내부 응력을 거의 갖지 않는 제 1 재료를 작업물의 표면에 결합시키고 코팅하기 위해 얇고 균일한 코팅부 및 그 위에 코팅될 또 다른 재료층을 위한 우수한 베이시스로서 제공하기 위해 얻어진다. For example, aluminum arc spraying the workpiece surface may be performed to have an average surface roughness measurement of about 100 micro-inch. Preferably, after arc spraying the first material onto the work piece, the first material having a first RMS value of about 800 micro-inch or less, such as about 500 micro-inch or less, hardly has internal stresses, joins the surface of the workpiece. To provide a good basis for the thin, uniform coating and another layer of material to be coated thereon.

제 1 재료층은 알루미늄, 몰리브데늄, 니켈, 티타늄, 탄탈륨, 텅수텐, 구리, 강철, 스테인레스 강철, 철-니켈-크롬 합금, 니켈-크롬-몰리브데늄-텅스텐 합금, 크롬 구리 합금, 구리 아연 합금, 실리콘 카바이드, 사파이어, 알루미늄 옥사이드, 알루미늄 나이트라이드, 실리콘 옥사이드, 석영, 폴리이미드, 폴리 아릴레이트, 폴리에테르, 에테르케톤, 및 이들의 합금 및 이들의 조합물과 같은 재료를 포함할 수 있다. 일 실시예에서, 제 1 재료층은 알루미늄 또는 알루미늄 합금을 포함한다. 또 다른 실시예에서, 제 1 재료층은 몰리브데늄 또는 몰리브데늄 합금을 포함한다.The first material layer is aluminum, molybdenum, nickel, titanium, tantalum, tungsten, copper, steel, stainless steel, iron-nickel-chromium alloy, nickel-chromium-molybdenum-tungsten alloy, chromium copper alloy, copper Materials such as zinc alloys, silicon carbide, sapphire, aluminum oxide, aluminum nitride, silicon oxide, quartz, polyimide, poly arylate, polyethers, etherketones, and alloys thereof and combinations thereof. . In one embodiment, the first material layer comprises aluminum or an aluminum alloy. In yet another embodiment, the first material layer comprises molybdenum or molybdenum alloy.

단계(230)에서, 작업물의 표면은 제 2 RMS 값의 표면 조도 측정값을 갖는 제 2 재료층으로 텍스처링된다. 제 2 재료층을 위한 제 2 RMS 값은 약 1500 마이크로-인치 이상과 같이 약 1200 마이크로-인치 이상, 예컨대 2000 마이크로-인치와 약 2500 마이크로-인치 이상 사이일 수 있다. 바람직하게, 제 2 RMS는 작업물의 매우 거친 표면이 하나의 두꺼운 코팅층과 관련한 큰 내부 응력의 단점을 갖지 않고 얻어질 수 있도록 제 1 RMS보다 크다.In step 230, the surface of the workpiece is textured with a second layer of material having a surface roughness measurement of the second RMS value. The second RMS value for the second material layer may be between about 1200 micro-inch or more, such as between 2000 micro-inch and about 2500 micro-inch or more, such as about 1500 micro-inch or more. Preferably, the second RMS is larger than the first RMS so that the very rough surface of the workpiece can be obtained without the disadvantage of large internal stresses associated with one thick coating layer.

제 2 재료층은 종래 공지된 막 코팅 프로세스에 의해 코팅될 수 있다. 일 예로서, 아크 스프레이는 작업물 표면을 텍스처링하고 높은 증착율로 제 2 재료층을 증착하기에 매우 비용-효율적인 방식을 제공한다. 일반적으로, 시간당 약 6 킬로그램 내지 약 60 킬로그램의 증착율이 얻어질 수 있다.The second material layer can be coated by a conventionally known film coating process. As an example, arc spraying provides a very cost-effective way to texture the workpiece surface and deposit the second layer of material at a high deposition rate. In general, deposition rates of from about 6 kilograms to about 60 kilograms per hour can be obtained.

게다가, 제 2 재료층은 제 1 재료층과 동일하거나 상이한 재료로 이루어질 수 있다. 일 실시예에서, 본 발명은 제 1 및 제 2 재료층이 동일한 재료로 이루어져 제 1 및 제 2 재료층들 사이 및 작업물 표면에 대한 강한 결합을 제공하기 위해 작업물 표면 상의 표면 조도 측정값이 제 1 , 제 2 , 및 그 이상의 재료층에 의해 층대층으로 증가할 수 있다. 따라서, 감소된 내부 응력을 갖는 최종 조도 및 두꺼운 재료 코팅부가 얻어질 수 있다.In addition, the second material layer may be made of the same or different material as the first material layer. In one embodiment, the present invention provides that the surface roughness measurements on the workpiece surface may be modified to provide a strong bond between the first and second layers of material and to the workpiece surface. The first, second, and more material layers can be used to increase layer by layer. Thus, final roughness and thick material coating with reduced internal stress can be obtained.

또 다른 실시예에서, 제 1 및 제 2 재료층들은 상이한 재료로 이루어질 수 있다. 이는 작업물과 텍스처링된 제 2 재료(또는 표면 상의 임의의 최종 재료층) 가 동일한 재료로 이루어질 때 유용하다. 이 경우, 제 1 재료층은 작업물의 표면 상에 원하는 조도와 텍스처를 제공하기 위해 작업물과 제 2 재료층 사이의 접착층으로서 제공될 수 있다. 예컨대, 작업물이 순수한 금속재료를 포함할 때, 제 1 재료는 합금일 수 있으며 제 2 재료는 동일한 금속재료일 수 있다. 이러한 금속의 일 예는 알루미늄이다. 또 다른 예는 작업물과 제 2 재료층이 알루미늄 또는 알루미늄 합금을 포함하고, 제 2 재료층은 약 2000 마이크로-인치 내지 약 2500 마이크로-인치 사이의 큰 RMS 값을 가지며, 제 1 재료층은 상이한 금속재료 또는 그 합금을 포함하고 약 500 마이크로-인치 이하의 작은 RMS 표면 측정값을 갖는다.In yet another embodiment, the first and second material layers can be made of different materials. This is useful when the workpiece and the textured second material (or any final layer of material on the surface) are made of the same material. In this case, the first layer of material may be provided as an adhesive layer between the workpiece and the second layer of material to provide the desired roughness and texture on the surface of the workpiece. For example, when the workpiece comprises a pure metallic material, the first material may be an alloy and the second material may be the same metallic material. One example of such a metal is aluminum. Another example is that the workpiece and the second material layer comprise aluminum or an aluminum alloy, the second material layer has a large RMS value between about 2000 micro-inch and about 2500 micro-inch, the first material layer being different It contains a metallic material or an alloy thereof and has a small RMS surface measurement of about 500 micro-inch or less.

상기 방법(200)은 단계(240)에서 원하는 표면 조도가 얻어지고 상기 방법이 단계(250)에서 종료될 때까지 작업물의 표면에 하나 이상의 추가 재료층을 코팅 또는 증착시키는 단계를 포함한다. 예컨대, 단계(220 및/또는 230)는 작업물 표면의 표면 조도가 수용될 수 없는 경우 반복될 수 있다.The method 200 includes coating or depositing one or more additional layers of material on the surface of the workpiece until the desired surface roughness is obtained in step 240 and the method ends in step 250. For example, steps 220 and / or 230 may be repeated if surface roughness of the workpiece surface cannot be accommodated.

또한, 하나 이상의 표면 가공이 작업물 표면의 텍스처링 이전에, 동안에, 또는 그 후에 수행될 수 있다. 예컨대, 작업물은 복사열 램프, 유도성 히터, 또는 IR 타입의 저항성 히터를 이용하여 하나 이상의 코팅 및 텍스처링 단계를 용이하게 하도록 가열될 수 있다. 또 다른 예로서, 작업물은 증류수 용액, 황산 용액, 불산(HF: hydrofluoric acid) 용액 등과 같은 종래 공지된 세척 용액을 이용하여 작업물의 표면을 텍스처링하기 이전에, 동안에 또는 그 후에 화학적으로 세척될 수 있다.In addition, one or more surface treatments may be performed before, during, or after texturing of the workpiece surface. For example, the workpiece can be heated to facilitate one or more coating and texturing steps using a radiant heat lamp, an inductive heater, or an IR type resistive heater. As another example, the workpiece may be chemically washed before, during or after texturing the surface of the workpiece using conventionally known cleaning solutions such as distilled water solution, sulfuric acid solution, hydrofluoric acid (HF) solution, and the like. have.

상기 방법(200)은 작업물의 표면 상에 있는 제 2 재료층에 결합되는 응집된 입자, 오염물, 이물질 등을 발생시키는 프로세스 챔버 내에서의 기판 프로세싱 단계를 더 포함할 수 있다. 게다가, 작업물의 표면은 예컨대 증류수 용액, 황산 용액, 불산 용액 등과 같은 세척 또는 에칭 용액을 이용하여 입자 및 응집된 이물질을 제거하기 위해 화학적으로 세척될 수 있다. 어떤 경우에는, 작업물의 거친 표면 텍스처가 세척/에칭 용액에 의해 부분적으로 또는 완전하게 세척 또는 에칭될 수 있다. 예컨대, 제 2 재료는 제거되고, 본 발명의 일 실시예에서 작업물의 표면은 본 발명의 방법을 이용하여 다시 텍스처링될 수 있다. The method 200 may further include a substrate processing step in a process chamber that generates agglomerated particles, contaminants, debris, and the like, that are bonded to a second layer of material on the surface of the workpiece. In addition, the surface of the workpiece may be chemically cleaned to remove particles and aggregated foreign matter using, for example, washing or etching solutions such as distilled water solution, sulfuric acid solution, hydrofluoric acid solution and the like. In some cases, the rough surface texture of the workpiece may be partially or completely cleaned or etched by the cleaning / etching solution. For example, the second material is removed, and in one embodiment of the invention the surface of the workpiece can be textured again using the method of the invention.

특히 기판 프로세싱 동안 대형 기판 위로의 입자 발생을 방지하고 감소시키기 위해 평판 디스플레이용 기판과 같은 대형 기판을 프로세싱할 때 프로세스 챔버의 하나 이상의 내부면을 텍스처링하고 다시 텍스처링하는 것이 중요하다. 그러나, 본 발명은 임의 타입 및 크기의 기판 프로세싱에 동일하게 적용된다. 본 발명의 기판은 반도체 웨이퍼 제조 및 평판 디스플레이 제조를 위한 원형, 정방형, 장방형 또는 다각형일 수 있다. 평판 디스플레이를 위한 장방형 기판의 표면적은 통상적으로 적어도 약 300 mm × 약 400 mm 와 같은 약 500 mm2 이상, 예컨대 약 120,000 mm2 이상으로 크다. 또한, 본 발명은 OLED, FOLED, PLED, 유기 TFT, 능동 매트릭스, 수동 매트릭스, 상부 발광 소자, 하부 발광 소자, 태양 전지 등과 같은 임의의 소자에 적용되며, 실리콘 웨이퍼, 유리 기판, 금속 기판, 플라스틱 막(예컨대, 폴리에틸렌 테레프탈레이트(PET), 폴리에틸렌 나프탈레이트(PEN), 등), 플라스틱 에폭시 막 등의 위에 있을 수 있다. It is particularly important to texturize and retexture one or more interior surfaces of the process chamber when processing large substrates, such as substrates for flat panel displays, to prevent and reduce particle generation over large substrates during substrate processing. However, the invention applies equally to substrate processing of any type and size. The substrate of the present invention may be round, square, rectangular or polygonal for semiconductor wafer fabrication and flat panel display fabrication. The surface area of the rectangular substrate for flat panel displays is typically large, such as at least about 500 mm 2 , such as at least about 300 mm × about 400 mm, such as at least about 120,000 mm 2 . In addition, the present invention is applied to any device such as OLED, FOLED, PLED, organic TFT, active matrix, passive matrix, top light emitting device, bottom light emitting device, solar cell and the like, and is used for silicon wafer, glass substrate, metal substrate, plastic film (Eg, polyethylene terephthalate (PET), polyethylene naphthalate (PEN), etc.), plastic epoxy membranes, and the like.

도 3은 작업물 표면의 매우 거친 텍스처를 제공하기 위해 본 발명의 또 다른 실시예에 따른 방법(300)의 흐름도를 도시한다. 단계(310)에서, 작업물이 제공된다. 단계(320)에서, 작업물의 표면은 보호층으로 코팅된다. 보호층은 약 1200 마이크로-인치 이하와 같은 약 1500 마이크로-인치 이하, 또는 500 마이크로-인치 이하의 제 1 RMS 값을 가질 수 있다.3 shows a flowchart of a method 300 according to another embodiment of the present invention to provide a very rough texture of a workpiece surface. In step 310, a workpiece is provided. In step 320, the surface of the workpiece is coated with a protective layer. The protective layer can have a first RMS value of about 1500 micro-inch or less, such as about 1200 micro-inch or less, or 500 micro-inch or less.

작업물 표면 상의 원하는 표면 조도로 보호층을 코팅하는 것은 열 스프레이 코팅, 도금, 비드 블래스팅, 그리트 블래스팅, 분말 코팅, 진공 스프레이, 정전기 스프레이, 아크 스프레이, 플레임 스프레이, 분말 플레임 스프레이, 와이어 플레임 스프레이, 플라즈마 스프레이 등과 같은 종래 공지된 막 코팅 프로세스에 의해 수행될 수 있다. 보호층은 알루미늄, 몰리브데늄, 니켈, 티타늄, 탄탈륨, 텅스텐, 구리, 강철, 스테인레스 강철, 철-니켈-크롬 합금, 니켈-크롬-몰리브데늄-텅스텐 합금, 크롬 구리 합금, 구리 아연 합금, 실리콘 카바이드, 사파이어, 알루미늄 옥사이드, 알루미늄 나이트라이드, 실리콘 옥사이드, 석영, 폴리이미드, 폴리아릴레이트, 폴리에테르, 에테르케톤, 및 이들의 합금 및 이들의 조합물과 같은 재료를 포함할 수 있다.Coating the protective layer with the desired surface roughness on the workpiece surface can be thermal spray coating, plating, bead blasting, grit blasting, powder coating, vacuum spray, electrostatic spray, arc spray, flame spray, powder flame spray, wire flame spray , A conventionally known film coating process such as plasma spray or the like. The protective layer is aluminum, molybdenum, nickel, titanium, tantalum, tungsten, copper, steel, stainless steel, iron-nickel-chromium alloy, nickel-chromium-molybdenum-tungsten alloy, chromium copper alloy, copper zinc alloy, Materials such as silicon carbide, sapphire, aluminum oxide, aluminum nitride, silicon oxide, quartz, polyimide, polyarylate, polyether, etherketone, and alloys thereof and combinations thereof.

단계(330)에서, 작업물의 표면은 재료층으로 텍스처링된다. 바람직하게, 보호층과 재료층은 상이한 재료로 만들어진다. 재료층은 임의의 공지된 막 코팅 프로세스에 의해 원하는 표면 조도로 형성될 수 이다. 예컨대, 아크 스프레이는 재료층에 대해 매우 효과적인 방식을 제공한다. 그러나, 다른 스프레이 코팅, 도금, 비드 블래스팅 프로세스들 도 사용될 수 있다. 단계(330)에서 재료층은 약 1500 마이크로-인치 이상과 같은 약 1200 마이크로-인치 이상, 예컨대 약 2000 마이크로-인치 내지 약 2500 마이크로-인치 사이의 제 2 RMS 값의 표면 조도 측정값을 가질 수 있다. 바람직하게, 제 2 RMS는 작업물의 매우 거친 표면이 하나의 두꺼운 코팅층과 관련한 큰 내부 응력의 단점없이 얻어질 수 있도록 제 1 RMS보다 크다. In step 330, the surface of the workpiece is textured with the material layer. Preferably, the protective layer and the material layer are made of different materials. The material layer can be formed to the desired surface roughness by any known film coating process. For example, arc spraying provides a very effective way for the material layer. However, other spray coating, plating, bead blasting processes may also be used. In step 330 the material layer may have a surface roughness measurement of a second RMS value between at least about 1200 micro-inch, such as at least about 1500 micro-inch, such as between about 2000 micro-inch and about 2500 micro-inch. . Preferably, the second RMS is larger than the first RMS so that the very rough surface of the workpiece can be obtained without the disadvantage of large internal stresses associated with one thick coating layer.

단계(330)에서 재료층은 작업물이 작업물의 부식을 방지하기 위해 임의의 화학 세척 또는 에칭 용액과 같은 임의의 화학 반응 및/또는 용액으로부터 보호층에 의해 보호되도록 단계(320)의 보호층의 재료와 상이한 재료로 만들어질 수 있다. 예컨대, 재료층은 알루미늄, 몰리브데늄, 니켈, 티타늄, 탄탈륨, 텅스텐, 구리, 강철, 스테인레스 강철, 철-니켈-크롬 합금, 니켈-크롬-몰리브데늄-텅스텐 합금, 크롬 구리 합금, 구리 아연 합금, 실리콘 카바이드, 사파이어, 알루미늄 옥사이드, 알루미늄 나이트라이드, 실리콘 옥사이드, 석영, 폴리이미드, 폴리아릴레이트, 폴리에테르, 에테르케톤, 및 이들의 합금 및 이들의 조합물과 같은 재료를 포함할 수 있다. The layer of material in step 330 may be applied to the protective layer of step 320 such that the workpiece is protected by a protective layer from any chemical reaction and / or solution, such as any chemical cleaning or etching solution, to prevent corrosion of the workpiece. It may be made of a different material from the material. For example, the material layer may be aluminum, molybdenum, nickel, titanium, tantalum, tungsten, copper, steel, stainless steel, iron-nickel-chromium alloy, nickel-chromium-molybdenum-tungsten alloy, chromium copper alloy, copper zinc Materials such as alloys, silicon carbide, sapphire, aluminum oxide, aluminum nitride, silicon oxide, quartz, polyimide, polyarylate, polyethers, etherketones, and alloys thereof and combinations thereof.

예컨대, 작업물은 먼저 티타늄 이온-함유 전기도금 용액에서 작업물을 도금함으로써 얇은 티타늄의 보호층으로 코팅될 수 있다. 작업물의 표면 위에서, 알루미늄 층 또는 몰리브데늄 층은 아크 스프레이에 의해 텍스처링되고 코팅될 수 있다. 티타늄 층은 부식 및 이후에 수행된 텍스처링된 코팅층의 에칭, 제거 및/또는 세척으로부터 작업물을 보호한다. For example, the workpiece may be coated with a protective layer of thin titanium by first plating the workpiece in a titanium ion-containing electroplating solution. On the surface of the workpiece, the aluminum layer or molybdenum layer can be textured and coated by arc spray. The titanium layer protects the workpiece from corrosion and subsequent etching, removal and / or cleaning of the textured coating layer performed.

또 다른 예로서, 보호층은 작업물을 보호하기 위해 작업물의 표면 위로 알루미늄 합금의 아크 스프레이에 의해 형성될 수 있다. 다음에 순수한 알루미늄 층은 작업물에 원하는 표면 조도를 제공하기 위해 작업물의 표면 위로 텍스처링될 수 있다. 또 다른 예에서, 보호층은 작업물을 보호하기 위해 작업물의 표면 위로 몰리브데늄 합금의 아크 스프레이에 의해 형성될 수 있다. 다음에 순수한 몰리브데늄 층은 작업물에 원하는 표면 조도를 제공하기 위해 작업물의 표면 위로 텍스처링될 수 있다.As another example, the protective layer may be formed by arc spraying of aluminum alloy over the surface of the workpiece to protect the workpiece. The pure aluminum layer can then be textured over the surface of the workpiece to provide the workpiece with the desired surface roughness. In another example, the protective layer can be formed by an arc spray of molybdenum alloy over the surface of the workpiece to protect the workpiece. The pure molybdenum layer can then be textured over the surface of the workpiece to provide the workpiece with the desired surface roughness.

상기 방법(300)은 원하는 표면 조도가 얻어지지 않는 경우 작업물의 표면에 하나 이상의 추가 재료층을 코팅 또는 증착시키는 단계를 포함한다. 마지막으로, 만약 원하는 조도가 단계(340)에서 얻어진 경우, 상기 방법은 단계(350)에서 종료될 수 있다. 원하는 표면 조도가 얻어지지 않은 경우, 단계(320 및/또는 330)가 반복될 수 있다. The method 300 includes coating or depositing one or more additional layers of material on the surface of the workpiece when the desired surface roughness is not obtained. Finally, if the desired illuminance is obtained at step 340, the method may end at 350. If the desired surface roughness is not obtained, steps 320 and / or 330 can be repeated.

또한, 상기 방법(300)은 보호층을 코팅하기 이전에, 재료층으로 텍스처링하기 이전에, 또는 원하는 표면 조도가 얻어진 후에 상기 코팅 및 텍스처링 단계들의 효율을 증진시키기 위해 작업물을 가열시키는 단계를 포함하거나 보호층과 재료층들을 어닐링시키는 단계를 제공한다. 유사하게, 상기 방법(300)은 임의의 단계들 이전에 또는 이후에 화학적인 세척 단계를 더 포함할 수 있다. 일 실시예에서, 상기 방법(300)은 보호층의 코팅 단계 이전에 작업물의 표면을 화학적으로 세척하는 단계를 더 포함할 수 있다. 또 다른 실시예에서, 상기 방법(300)은 재료층을 제거하기 위해 아크 스프레이 이후에 작업물의 표면을 화학적으로 세척하는 단계를 더 포함한다. 예컨대, 세척 단계는 재료를 제거하기에 적절한 세척 또는 에칭 용액을 사용하여 수행될 수 있다.The method 300 also includes heating the work piece to enhance the efficiency of the coating and texturing steps prior to coating the protective layer, prior to texturing with the material layer, or after the desired surface roughness is obtained. Or annealing the protective layer and the material layers. Similarly, the method 300 may further comprise a chemical wash step before or after any steps. In one embodiment, the method 300 may further comprise chemically cleaning the surface of the workpiece prior to the coating of the protective layer. In another embodiment, the method 300 further includes chemically cleaning the surface of the workpiece after arc spraying to remove the material layer. For example, the cleaning step can be performed using a cleaning or etching solution suitable to remove the material.

도 4는 본 발명의 방법들을 이용하여 작업물(400)의 예시적인 테스처링된 표면의 개략적인 단면도를 도시한다. 작업물(400)은 하나 이상의 내부면을 갖는 프로세스 챔버의 임의의 부품 또는 프로세스 키트의 일부분일 수 있다. 예시적인 작업물(400)은 챔버 차폐 부재, 다크 스페이스 차폐부, 섀도우 프레임, 기판 지지부, 타겟, 섀도우 링, 증착 콜리메이터, 챔버 몸체, 챔버 벽, 코일, 코일 지지부, 커버 링, 증착 링, 접촉 링, 정렬 링, 셔터 디스크 등을 포함하며, 이들은 하기에서 더 상세히 설명될 것이다. 프로세스 챔버는 물리 기상 증착(PVD) 및 스퍼터링 챔ㅂ, 이온 금속 주입(IMP) 챔버, 화학 기상 증착(CVD) 챔버, 원자층 증착(ALD) 챔버, 프라즈마 에칭 챔버, 어닐링 챔버, 기타 노(furnace) 챔버 등일 수 있다. 바람직한 실시예에서, 챔버는 기판이 하나 이상의 가스-상 물질 또는 플라즈마에 노출되는 기판 프로세스 챔버이다. 다양한 프로세스 챔버 부품의 재료는 바뀔 수 있으며, 스테인레스 강철 또는 알루미늄 등을 포함한다.4 shows a schematic cross-sectional view of an exemplary tested surface of a workpiece 400 using the methods of the present invention. Workpiece 400 may be any part of a process chamber or part of a process kit having one or more interior surfaces. Exemplary workpiece 400 includes chamber shield member, dark space shield, shadow frame, substrate support, target, shadow ring, deposition collimator, chamber body, chamber wall, coil, coil support, cover ring, deposition ring, contact ring , Alignment rings, shutter discs, and the like, which will be described in more detail below. Process chambers include physical vapor deposition (PVD) and sputtering chambers, ion metal implantation (IMP) chambers, chemical vapor deposition (CVD) chambers, atomic layer deposition (ALD) chambers, plasma etching chambers, annealing chambers, and other furnaces. Chamber and the like. In a preferred embodiment, the chamber is a substrate process chamber in which the substrate is exposed to one or more gas-phase materials or plasmas. The materials of the various process chamber components may vary and include stainless steel or aluminum and the like.

도 4에 도시된 바와 같이, 제 1 재료층(410)은 작업물(400)의 표면 상에 코팅된다. 제 1 재료층은 약 1200 마이크로-인치 이하의 제 1 RMS 값을 가질 수 있다. 제 2 재료층(420)은 제 1 재료층(410)의 표면 상에 형성될 수 있다. 제 2 재료층은 약 1500 마이크로-인치 이상의 제 2 RMS 값을 가질 수 있다. 제 1 재료층(410) 및 제 2 재료층(420)은 예컨대 아크 스프레이 프로세스와 같이 공지된 코팅 프로세스에 의해 형성될 수 있다. 선택적으로, 제 1 재료층(410)과 제 2 재료층(420)은 상이한 프로세스에 의해 형성될 수 있다. 예컨대, 제 1 재료층(410)은 도금 프로세스에 의해 형성될 수 있고 제 2 재료층(420)은 제 2 RMS가 제 1 RMS보 다 크도록 아크 스프레이 프로세스에 의해 형성될 수 있다. 일 실시예에서, 하나 이상의 추가층은 제 1 재료층(410)과 제 2 재료층(420) 사이에서 형성될 수 있다. 또 다른 실시예에서, 보다 큰 RMS 값을 갖는 하나 이상의 추가 층이 제 2 재료층(420)의 표면 위로 형성될 수 있다.As shown in FIG. 4, the first layer of material 410 is coated on the surface of the workpiece 400. The first material layer can have a first RMS value of about 1200 micro-inch or less. The second material layer 420 may be formed on the surface of the first material layer 410. The second material layer can have a second RMS value of at least about 1500 micro-inch. The first material layer 410 and the second material layer 420 may be formed by known coating processes, such as, for example, an arc spray process. Optionally, first material layer 410 and second material layer 420 may be formed by different processes. For example, the first material layer 410 may be formed by a plating process and the second material layer 420 may be formed by an arc spray process such that the second RMS is greater than the first RMS. In one embodiment, one or more additional layers may be formed between the first material layer 410 and the second material layer 420. In yet another embodiment, one or more additional layers having larger RMS values may be formed over the surface of the second material layer 420.

본 발명의 일 태양은 원하는 표면 조도와 텍스처가 얻어져 기판 프로세싱 동안 프로세스 챔버 내부에 발생한 응집된 입자, 오염물, 및/또는 이물질(402)이 작업물(400)의 표면 위로 끌어당겨져 부착되도록 제 1 재료층(410)과 제 2 재료층(420)과 같은 적어도 두 개의 재료층을 제공한다. 보다 작은 RMS의 제 1 재료층(410)을 사용하지 않으면, 제 2 재료층(420)은 작업물(400)의 표면으로부터 용이하게 탈착될 수 있다. 게다가, 보다 큰 RMS의 제 2 재료층(420)을 사용하지 않으면, 제 1 재료층(410)은 이물질(402)에 대한 적절한 결합과 충분한 부착을 제공하지 않을 수 있다. One aspect of the present invention is directed to a method in which a desired surface roughness and texture is obtained so that agglomerated particles, contaminants, and / or foreign matter 402 generated inside a process chamber during substrate processing are attracted and attached onto the surface of the workpiece 400. At least two material layers are provided, such as material layer 410 and second material layer 420. Without using a smaller RMS first material layer 410, the second material layer 420 can be easily detached from the surface of the workpiece 400. In addition, without using a larger RMS second material layer 420, the first material layer 410 may not provide adequate bonding and sufficient adhesion to the foreign material 402.

또한, 대형 기판이 프로세스 챔버에 의해 프로세싱될 때, 프로세스 챔버의 큰 크기로 인해, 거의 비싸지 않고 보다 가벼운 재료가 챔버 내벽 및 여러 부품들로서 사용되는 것이 바람직하다. 바람직하게, 알루미늄이 유리하게 사용될 수 있다. 그러나, 알루미늄은 챔버 재료와 텍스처링 재료가 만약 알루미늄 재료로 모두 만들어진다면 화학적으로 모두 세척될 것이기 때문에 직접적인 표면 텍스처링 재료로서 적합하지 않다. 따라서, 본 발명의 또 다른 태양은 제 1 재료층(410)이 임의의 표면 가공, 부식, 또는 화학 세척으로부터 작업물(400)을 보호하기 위해 제 2 재료층(420)으로부터 상이한 재료로 만들어진다. 예컨대, 무엇보다 알루미늄과 같 은 동일한 재료가 작업물 및 제 2 재료층의 선택 재료로서 사용되는 경우 제 1 재료층(410)은 작업물을 위한 보호층으로서 알루미늄 합금, 티타늄 등으로 만들어질 수 있다. 따라서, 제 2 재료층은 이물질(402)에 보다 잘 접착될 수 있고 그래서 화학적 세척 또는 에칭 용액에 의해 용이하게 세척되고 세척, 에칭 또는 다시 텍스처링한 후에 작업물의 표면에 대해 다시 제공하거나 다시 텍스처링하는 것을 보다 용이하게 한다. In addition, when a large substrate is processed by the process chamber, due to the large size of the process chamber, it is desirable that a less expensive and lighter material be used as the chamber inner wall and various parts. Preferably, aluminum can be used advantageously. However, aluminum is not suitable as a direct surface texturing material because both the chamber material and the texturing material will be chemically cleaned if both are made of aluminum material. Thus, another aspect of the present invention is that the first material layer 410 is made of a different material from the second material layer 420 to protect the workpiece 400 from any surface finish, corrosion, or chemical cleaning. For example, if the same material, such as aluminum, is used as the selection material of the workpiece and the second material layer above all, the first material layer 410 may be made of aluminum alloy, titanium, or the like as a protective layer for the workpiece. . Thus, the second material layer can be better adhered to the foreign material 402 so that it is easily cleaned by chemical cleaning or etching solution and then provided back or re-textured to the surface of the workpiece after being washed, etched or re-textured. To make it easier.

도 5는 본 발명의 일 실시예에 따른 본 발명의 방법들을 이용하여 텍스처링된 내부면을 갖는 프로세스 챔버(500)를 도시한다. 본 발명의 실시예들은 입자 오염물이 하나 이상의 내부면들에 보다 잘 부착되고 용이하게 세척되며 필요한 경우 다시 텍스처링될 수 있도록 프로세스 챔버(500) 내의 입자 오염물을 감소시키기 위해 프로세스 챔버(500)의 하나 이상의 내부면에 위치한 여러 챔버 부분 및 부품들의 텍스처링을 제공한다. 본 발명의 장점을 갖는 프로세스 챔버(500)의 일 예는 캘리포니아, 산타 클라라에 위치한 어플라이드 머티어리얼스 사의 PVD 프로세스 챔버이다.5 shows a process chamber 500 having an internal surface textured using the methods of the present invention in accordance with an embodiment of the present invention. Embodiments of the present invention provide one or more of the process chambers 500 to reduce particle contaminants within the process chamber 500 such that particle contaminants can be better attached to one or more interior surfaces, easily cleaned and re-textured if necessary. It provides texturing of various chamber parts and components located on the inner surface. One example of a process chamber 500 having the advantages of the present invention is a PVD process chamber from Applied Materials, Inc., located in Santa Clara, California.

예시적인 프로세스 챔버(500)는 프로세스 공간(volume)(560)을 형성하는, 챔버 몸체(502) 및 리드 어셈블리(506)를 포함한다. 챔버 몸체(502)는 통상적으로 일체형 알루미늄 블록 또는 용접된 스테인레스 강철 판으로 제조된다. 본 발명의 방법을 이용하여 텍스처링될 챔버 몸체(502)의 치수와 관련 부품은 제한되고 프로세스 챔버(500)에서 프로세싱될 기판(512)의 크기와 치수보다 비례적으로 크다. 예컨대, 폭이 대략 370 mm 내지 약 2160 mm 이고 길이가 약 470 mm 내지 약 2460 mm인 대형 정방형 기판을 프로세싱할 때, 챔버 몸체(502)는 폭이 약 570 mm 내지 약 2360 mm이고 길이는 약 570 mm 내지 약 2660 mm 이다. 일 예로서, 약 1000 mm × 1200 mm 크기의 기판을 프로세싱할 때, 챔버 몸체(502)는 약 1750 mm × 1950 mm 의 단면 치수를 가질 수 있다. 또 다른 예로서, 약 1950 mm × 2250 mm 크기의 기판을 프로세싱할 때, 챔버 몸체(502)는 약 2700 mm × 3000 mm의 단면 치수를 가질 수 있다.Exemplary process chamber 500 includes chamber body 502 and lid assembly 506, which form process volume 560. Chamber body 502 is typically made from an integral aluminum block or welded stainless steel plate. The dimensions and associated components of the chamber body 502 to be textured using the method of the present invention are limited and proportionately larger than the size and dimensions of the substrate 512 to be processed in the process chamber 500. For example, when processing a large square substrate that is about 370 mm to about 2160 mm wide and about 470 mm to about 2460 mm long, the chamber body 502 is about 570 mm to about 2360 mm wide and about 570 mm long. mm to about 2660 mm. As an example, when processing a substrate of size about 1000 mm × 1200 mm, the chamber body 502 may have a cross-sectional dimension of about 1750 mm × 1950 mm. As another example, when processing a substrate about 1950 mm × 2250 mm in size, the chamber body 502 may have a cross-sectional dimension of about 2700 mm × 3000 mm.

챔버 몸체(502)는 일반적으로 측벽(552)과 하부(554)를 포함한다. 측벽(552) 및/또는 하부(554)는 일반적으로 액세스 포트(556) 및 펌핑 포트(도시안됨)와 같은 다수의 개구부를 포함한다. 또한 셔터 디스크 포트(도시안됨)와 같은 다른 개구부들은 챔버 몸체(502)의 측벽(552) 및/또는 하부(554) 위에 선택적으로 형성될 수 있다. 액세스 포트(556)는 기판(512)(예컨대 평판 디스플레이 기판 또는 반도체 웨이퍼)이 프로세스 챔버(500)의 내부 및 외부로 진입 및 배출되도록 슬릿 밸브 또는 기타 메커니즘과 같은 것에 의해 밀봉가능하다. 펌핑 포트는 프로세스 공간(560)을 배기시키고 내부의 압력을 제어하는 펌핑 시스템(역시 도시안됨)에 결합된다. Chamber body 502 generally includes sidewall 552 and bottom 554. Sidewall 552 and / or bottom 554 generally include a number of openings, such as access port 556 and pumping port (not shown). Other openings, such as shutter disk ports (not shown), may also be selectively formed over the sidewalls 552 and / or bottom 554 of the chamber body 502. The access port 556 is sealable by such as a slit valve or other mechanism such that the substrate 512 (eg, flat panel display substrate or semiconductor wafer) enters and exits the process chamber 500. The pumping port is coupled to a pumping system (also not shown) that exhausts the process space 560 and controls the pressure therein.

리드 어셈블리(506)는 일반적으로 타겟(564) 및 타겟에 결합된 접지 차폐 어셈블리(511)를 포함한다. 타겟(564)은 PVD 프로세스 동안 기판(512)의 표면 위로 증착될 수 있는 재료 소스를 제공한다. 타겟(564) 또는 타겟 플레이트는 증착 종이 될 수 있는 재료로 제조되거나 증착 종의 코팅부를 포함할 수 있다. 스퍼터링을 용이하게 하기 위해, 전력 소스(584)와 같은 고전압 전력 공급부가 타겟(564)에 접속된다. 일반적으로 타겟(564)은 주변부(563) 및 중앙부(565)를 포함한다. 주변부(563)는 챔버의 측벽(552) 위에 배치된다. 타겟(564)의 중앙부(565)는 기판 지지부(504)를 향한 방향으로 돌출하거나 연장한다. 다른 타겟 구성이 사용될 수도 있다. 예컨대, 타겟(564)은 원하는 재료의 중앙부가 결합되거나 부착된 후면판을 포함할 수 있다. 또한 타겟 재료는 함께 타겟을 형성하는 재료의 인접한 타일 또는 세그먼트를 포함할 수 있다. 선택적으로, 리드 어셈블리(506)는 프로세싱 동아 타겟 재료의 소모를 향상시키는 마그네트론 어셈블리(566)를 더 포함할 수 있다.Lead assembly 506 generally includes a target 564 and a ground shield assembly 511 coupled to the target. Target 564 provides a material source that can be deposited over the surface of substrate 512 during the PVD process. The target 564 or target plate may be made of a material that may be a deposition species or may include a coating of deposition species. To facilitate sputtering, a high voltage power supply, such as power source 584, is connected to target 564. In general, target 564 includes a perimeter 563 and a central portion 565. Perimeter 563 is disposed over sidewall 552 of the chamber. The central portion 565 of the target 564 protrudes or extends in a direction toward the substrate support 504. Other target configurations may be used. For example, target 564 may include a backplane to which the central portion of the desired material is coupled or attached. The target material may also include adjacent tiles or segments of the material that together form the target. Optionally, the lid assembly 506 can further include a magnetron assembly 566 that enhances the consumption of processing pupil target material.

기판(512) 상에 재료를 증착시키기 위한 스퍼터링 프로세스 동안, 타겟(564)과 기판 지지부(504)는 전력 소스(584)에 의해 서로 상대적으로 바이어싱된다. 불활성 기체 및 다른 기체, 예컨대, 아르곤, 및 질소와 같은 프로세스 기체는 가스 소스(582)로부터 통상적으로 프로세스 챔버(500)의 측벽(552)에 형성된 하나 이상의 개구부(도시안됨)를 통해 프로세스 공간(560)으로 공급된다. 프로세스 가스는 점화되어 플라즈마가 되고 플라즈마 내의 이온은 타겟(564)을 향해 가속되어 타겟 재료가 타겟(564)으로부터 입자로 이동하게 한다. 이동된 재료 또는 입자는 인가된 바이어스를 통해 기판(512)을 향해 끌어당겨지고, 기판(512) 위로 재료의 막을 증착시킨다. During the sputtering process for depositing material on the substrate 512, the target 564 and the substrate support 504 are biased relative to each other by the power source 584. Process gases, such as inert gases and other gases, such as argon, and nitrogen, are processed from the gas source 582 through one or more openings (not shown) that are typically formed in the sidewalls 552 of the process chamber 500. Is supplied. Process gas is ignited to become a plasma and ions in the plasma are accelerated toward the target 564 to cause the target material to move from the target 564 to the particles. The transferred material or particles are attracted toward the substrate 512 via an applied bias and deposit a film of material over the substrate 512.

접지 차폐 어셈블리(511)는 접지 프레임(508), 접지 차폐부(510) 또는 임의의 챔버 차폐 부재, 타겟 차폐 부재, 다크 스페이스 차폐부, 다스 차폐 프레임 등을 포함한다. 접지 차폐부(510)는 프로세스 공간(560) 내에 프로세싱 영역을 형성 하는 타겟(564)의 중앙부(565)를 둘러싸며 접지 프레임(508)에 의해 타겟(564)의 주변부(563)에 결합된다. 접지 프레임(508)은 접지 차폐부(510)를 타겟(564)으로부터 전기적으로 절연시키지만 (통상적으로 측벽(552)을 통해) 챔버(500)의 챔버 몸체(502)로의 접지 경로를 제공한다. 접지 차폐부(510)는 타겟 소스 재료가 타겟(564)의 중앙부(565)로부터만 이동하도록 접집 차폐부(510)에 의해 둘레가 한정되는 영역 내에서 플라즈마를 제한한다. 접지 차폐부(510)는 이동된 타겟 소스 재료가 주로 기판(512) 위에 증착되는 것을 용이하게 한다. 이는 타겟 재료의 유효한 사용을 최대화시키고 이동된 종 또는 플라즈마로부터의 증착 또는 공격에 대해 챔버 몸체(502)의 다른 영역을 보호하며, 이로써 챔버 수명을 향상시키고 챔버를 세척하거나 유지하는데 필요한 정지 시간 및 비용을 감소시킨다. 접지 차폐부(510)를 둘러싸는 접지 프레임(508)의 사용으로부터 얻어지는 또 다른 장점은 (예컨대 프라즈마로부터 챔버 몸체(502)의 공격 또는 증착된 막의 박리로 인한) 챔버 몸체(502)로부터 이동되고 기판(512)의 표면 위에 다시 증착될 수 있는 입자를 감소시키며, 이로써 제품 품질 및 수율을 향상시킨다.Ground shield assembly 511 includes ground frame 508, ground shield 510 or any chamber shield member, target shield member, dark space shield, dozen shield frame, and the like. Ground shield 510 surrounds a central portion 565 of target 564, which forms a processing region within process space 560, and is coupled to peripheral portion 563 of target 564 by ground frame 508. Ground frame 508 electrically insulates ground shield 510 from target 564 but provides a ground path from chamber 500 to chamber body 502 (typically through sidewall 552). The ground shield 510 confines the plasma in an area bounded by the fold shield 510 such that the target source material moves only from the center 565 of the target 564. Ground shield 510 facilitates the deposition of the moved target source material primarily onto substrate 512. This maximizes the effective use of the target material and protects other areas of the chamber body 502 against deposition or attack from migrated species or plasma, thereby improving chamber life and reducing downtime and costs required to clean or maintain the chamber. Decreases. Another advantage obtained from the use of the ground frame 508 surrounding the ground shield 510 is that it is moved from the chamber body 502 (eg due to attack of the chamber body 502 from the plasma or peeling of the deposited film) and the substrate Reduce particles that can be deposited again on the surface of 512, thereby improving product quality and yield.

일반적으로 접지 차폐부(510)는 프로세스 공간(560) 내의 플라즈마와 스퍼터링된 입자들을 불가피하게 제한하지만, 플라즈마 또는 가스 상태인 스퍼터링된 입자는 초기에 여러 내부의 챔버 표면 위로 응집된다. 예컨대, 스퍼터링된 입자들은 챔버 몸체(502), 타겟(564), 리드 어셈블리(506), 및 접지 차폐 어셈블리(511)의 내부면 뿐만 아니라 하나 이상의 챔버 부품의 다른 내부 챔버 표면 위에 응집될 수 있다. 또한, 기판 지지부(504)의 상부면과 같은 다른 표면이 증착 시퀀스 동안 또 는 시퀀스 사이에 오염될 수 있다. 챔버 부품은 진공 챔버 부품, 즉 예컨대 프로세스 챔버(500)와 같은 진공 챔버 내에 위치한 챔버 부품일 수 있다. 챔버 부품의 내부면 위에 형성되는 응집된 물질은 일반적으로 부착을 제한하고, 챔버 부품으로부터 분리되어 기판(512)을 오염시킬 수 있다. 프로세스 챔버 부품으로부터 응집된 이물질이 탈착되는 경향을 감소시키기 위해, 이들 챔버 부품들은 기판(512)의 표면 위로의 입자 오염을 감소시키기 위해 본 발명의 방법에 의해 텍스처링된다.Ground shield 510 generally inevitably limits the plasma and sputtered particles in process space 560, but the sputtered particles, which are in a plasma or gaseous state, initially aggregate over several interior chamber surfaces. For example, sputtered particles may aggregate on the interior surfaces of chamber body 502, target 564, lid assembly 506, and ground shield assembly 511 as well as other interior chamber surfaces of one or more chamber components. In addition, other surfaces, such as the top surface of the substrate support 504, may be contaminated during or between deposition sequences. The chamber part may be a vacuum chamber part, ie a chamber part located within a vacuum chamber, such as, for example, process chamber 500. Aggregated material formed on the inner surface of the chamber component generally limits adhesion and can separate from the chamber component to contaminate the substrate 512. In order to reduce the tendency of aggregated foreign matter from the process chamber components to desorb, these chamber components are textured by the method of the present invention to reduce particle contamination over the surface of the substrate 512.

도 6a와 6b는 본 발명의 일 실시예에 따른 텍스처링된 내부면을 갖는 예시적인 프로세스 챔버 부품의 평면도를 도시한다. 접지 차폐부(510), 접지 프레임(508), 타겟(564), 다크 스페이스 차폐부, 챔버 차폐 부재, 차폐 프레임, 타겟 차폐 부재 등은 PVD 프로세스 동안 입자 오염을 감소시키기 위해 본 발명의 방법(200 및 300)에 의해 텍스처링되고, 세척되고 다시 텍스처링될 수 있다. 게다가, 도 6a에 도시된 바와 같이, 측벽(552), 하부(554), 및 기타 부품들을 포함하는 챔버 몸체(502)가 텍스처링될 수 있다. 도 6b는 접지 차폐부(510) 및 접지 차폐부(510)를 둘러싸는 접지 프레임(508)을 개략적으로 도시하며, 이들 각각은 본 발명의 일 실시예에 따른 텍스처링된 내부면을 갖는다. 도 6a에 도시된 바와 같이, 접지 차폐부(510)는 하나 이상의 작업물 프레그먼트(610) 및 하나 이상의 코너 피스(630)로 이루어질 수 있으며, 이들 다수의 피스는 용접, 접착, 고압 압축 등과 같은 공지된 결합 기술에 의해 함께 결합될 수 이따. 본 발명은 접지 차폐부(510)로 형성되도록 함께 결합되기 이전에 본 발명의 방법(200 및 300)에 의해 작업물 프레그먼트(610) 및 코너 피스(630)와 같은 개별 작업물을 텍스처링하는 단계를 추 가로 제공한다. 6A and 6B show top views of exemplary process chamber components having a textured interior surface in accordance with one embodiment of the present invention. Ground shield 510, ground frame 508, target 564, dark space shield, chamber shield member, shield frame, target shield member, and the like may be used to reduce particle contamination during the PVD process. And 300), and can be washed and retextured. In addition, as shown in FIG. 6A, a chamber body 502 that includes sidewalls 552, bottom 554, and other components can be textured. 6B schematically illustrates a ground shield 510 and a ground frame 508 surrounding the ground shield 510, each of which has a textured interior surface according to one embodiment of the invention. As shown in FIG. 6A, the ground shield 510 may be comprised of one or more workpiece fragments 610 and one or more corner pieces 630, many of which may be welded, bonded, high pressure compressed, or the like. Can be joined together by the same known bonding technique. The present invention provides a method for texturing individual workpieces, such as workpiece fragments 610 and corner pieces 630, by methods 200 and 300 of the present invention prior to being joined together to form a ground shield 510. Provide additional steps.

본 발명의 방법을 이용하여 텍스처링될 타겟(564), 접지 차폐부(510), 및 접지 프레임(508)과 관련된 부품들의 치수는 제한되지 않으며 프로세싱될 기판(512)의 크기와 모양과 관련한다. 예컨대, 폭이 약 1000 mm 내지 약 2160 mm이고 길이가 약 1200 mm 내지 약 2460 mm인 대형 정방형 기판을 프로세싱할 때, 타겟(564)은 폭이 약 1550 mm 내지 약 2500 mm이고 길이가 약 1750 mm 내지 약 2800 mm이다. 일 예로서, 타겟(564)은 단면 치수가 약 1550 mm 내지 약 1750 mm일 수 있다. 또 다른 예로서, 타겟(564)은 단면 치수가 약 2500 mm 내지 약 2800 mm일 수 있다. 또한, 접지 차폐부(510)의 크기는 약 1600 mm × 1800 mm 내지 약 2550 mm × 2850 mm일 수 있다. 또한 다른 작은 치수가 보다 작은 크기의 기판에 사용하는 것도 유리하다.The dimensions of the components associated with the target 564, ground shield 510, and ground frame 508 to be textured using the method of the present invention are not limited and relate to the size and shape of the substrate 512 to be processed. For example, when processing a large square substrate that is about 1000 mm to about 2160 mm wide and about 1200 mm to about 2460 mm long, the target 564 is about 1550 mm to about 2500 mm wide and about 1750 mm long. To about 2800 mm. As an example, the target 564 may have a cross-sectional dimension of about 1550 mm to about 1750 mm. As another example, the target 564 can have a cross-sectional dimension from about 2500 mm to about 2800 mm. In addition, the size of the ground shield 510 may be about 1600 mm × 1800 mm to about 2550 mm × 2850 mm. It is also advantageous to use other smaller dimensions for substrates of smaller sizes.

접지 차폐부(510)와 기타 챔버 부품은 텍스처링될 수 있고, 함께 결합되어 리드 어셈블리(506)에 부착될 수 있다. 접지 차폐부(510)를 리드 어셈블리(506)에 부착시키는 것은 접지 차폐부(510)와 타겟(564)이 리드 어셈블리(506)를 챔버 몸체(502) 상에 위치시키기 전에 보다 용이하고 정확하게 정렬시킬 수 있으며, 이로써 접지 차폐부(510)를 타겟(564)에 정렬시키는데 필요한 시간을 감소시킨다는 장점을 갖는다. 그러나, 다른 구성이 사용될 수도 있다. 일단 접지 차폐부(510)가 리드 어셈블리(506)에 부착되면, 리드 어셈블리(506)는 측벽(552)에 간단하게 위치하여 구성이 완료된다. 따라서, 조절가능한 타겟/접지 차폐부 장치를 갖는 종래 챔버에서처럼 설치 후에 접지 차폐부(510)와 타겟(564)을 정렬시킬 필요가 없어진 다. 또한, 조절가능한 타겟/접지 차폐부 장치를 갖지 않는 종래 챔버에서처럼 정밀하게 위치시키는 핀 및/또는 부품들을 위한 비용이 제거된다. 예시적인 차폐 부분품들은 캘리포니아, 산타 클라라에 있는 어플라이드 머티어리얼스 사의 0020-45544, 0020-47654, 0020-BW101, 0020-BW302, 0190-11821, 0020-44375, 0020-44438, 0020-43498, 0021-JW077, 0020-19122, 0020-JW096, 0021-KS556, 0020-45695를 포함한다.Ground shield 510 and other chamber components may be textured and coupled together and attached to lead assembly 506. Attaching the ground shield 510 to the lead assembly 506 may facilitate and accurately align the ground shield 510 and the target 564 before placing the lead assembly 506 on the chamber body 502. This may have the advantage of reducing the time required to align the ground shield 510 to the target 564. However, other configurations may be used. Once the ground shield 510 is attached to the lead assembly 506, the lead assembly 506 is simply located on the sidewall 552 to complete the configuration. Thus, there is no need to align the ground shield 510 and the target 564 after installation as in a conventional chamber with an adjustable target / ground shield device. In addition, the cost for pins and / or parts for precise positioning as in conventional chambers without adjustable target / ground shield devices is eliminated. Exemplary shielding components are 0020-45544, 0020-47654, 0020-BW101, 0020-BW302, 0190-11821, 0020-44375, 0020-44438, 0020-43498, 0021 of Applied Materials, Inc., Santa Clara, CA. -JW077, 0020-19122, 0020-JW096, 0021-KS556, 0020-45695.

도 5를 다시 참조하면, 기판 지지부(504)는 대체로 챔버 몸체(502)의 하부(554)에 배치되고 진공 프로세스 챔버(500) 내에서 기판 프로세싱 동안 그 위에 기판(512)을 지지한다. 기판 지지부(504)는 기판(512)을 지지하기 위한 판형 몸체 및 기판(5112)을 유지시키고 위치시키기 위한 추가 메커니즘, 예컨대 정전기척 및 기타 위치설정 수단을 포함할 수 있다. 기판 지지부(504)는 하나 이상의 전극 및/또는 판형 몸체 지지부 내에 매립된 가열 엘리먼트를 포함할 수 있다. 샤프트(587)는 챔버 몸체(502)의 하부(554)를 통해 연장하고 기판 지지부(504)를 리프트 메커니즘(588)에 결합시킨다. 리프트 메커니즘(588)은 기판 지지부(504)를 하부 위치와 상부 위치 사이에서 이동시키도록 구성된다. 기판 지지부(504)는 도 5에서 중간 위치에 도시되어 있다. 벨로우즈(586)는 통상적으로 기판 지지부(504)와 챔버 하부(554) 사이에 배치되며 이들 사이에 가요성 밀봉을 제공하고, 이로써 챔버 공간(560)의 일체형 진공을 유지시킨다.Referring again to FIG. 5, a substrate support 504 is generally disposed at the bottom 554 of the chamber body 502 and supports the substrate 512 thereon during substrate processing in the vacuum process chamber 500. Substrate support 504 may include a plate-like body for supporting substrate 512 and additional mechanisms for holding and positioning substrate 5112, such as electrostatic chucks and other positioning means. Substrate support 504 may include heating elements embedded within one or more electrodes and / or plate-shaped body supports. The shaft 587 extends through the bottom 554 of the chamber body 502 and couples the substrate support 504 to the lift mechanism 588. Lift mechanism 588 is configured to move substrate support 504 between a lower position and an upper position. Substrate support 504 is shown in an intermediate position in FIG. 5. The bellows 586 is typically disposed between the substrate support 504 and the lower chamber 554 to provide a flexible seal therebetween, thereby maintaining the integral vacuum of the chamber space 560.

통상적으로, 제어기(590)는 프로세스 챔버(500)와 인터페이싱하고 프로세스 챔버를 제어한다. 제어기(590)는 중앙 처리장치(CPU)(594), 지원회로(596) 및 메 모리(592)를 포함한다. CPU(594)는 여러 챔버 및 하위-프로세서를 제어하도록 산업적인 구성에 사용될 수 있는 임의 형태의 컴퓨터 프로세서일 수 있다. 메모리(592)는 CPU(594)에 결합되어 있다. 메모리(592) 또는 컴퓨터-판독가능 매체는 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 디지털 저장매체와 같이 로컬, 또는 원격으로 용이하게 이용할 수 있는 하나 이상의 메모리일 수 있다. 지원회로(596)는 종래 방식으로 프로세서를 지원하기 위해 CPU(594)에 결합된다. 이들 회로는 캐시, 전원 공급부, 클럭회로, 입/출력 회로, 서브시스템 등을 포함한다. 제어기(590)는 프로세스 챔버(500) 내부에서 임의의 증착 프로세스들을 포함한 작동을 제어하는데 사용될 수 있다. Typically, controller 590 interfaces with and controls process chamber 500. The controller 590 includes a central processing unit (CPU) 594, a support circuit 596, and a memory 592. The CPU 594 may be any form of computer processor that may be used in industrial configurations to control several chambers and sub-processors. The memory 592 is coupled to the CPU 594. The memory 592 or computer-readable medium may be readily used locally or remotely, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage medium. It can be one or more memories. The support circuit 596 is coupled to the CPU 594 to support the processor in a conventional manner. These circuits include caches, power supplies, clock circuits, input / output circuits, subsystems, and the like. Controller 590 may be used to control operation including any deposition processes inside process chamber 500.

선택적으로, 섀도우 프레임(558)과 챔버 차폐부(562)는 챔버 몸체(502) 내에 배치될 수 있다. 섀도우 프레임(558)은 대체로 섀도우 프레임(558)의 중앙을 통해 노출된 기판(512)의 일부분으로의 증착을 한정하도록 구성된다. 기판 지지부(504)가 프로세싱을 위해 상부 위치로 이동할 때, 기판 지지부(504) 상에 배치된 기판(512)의 외부 에지는 섀도우 프레임(558)과 맞물리고 섀도우 프레임(558)을 챔버 차폐부(562)로부터 들어올린다. 기판 지지부(504)가 기판(512)을 기판 지지부(504)로부터 로딩 및 언로딩하기 위해 하부 위치로 이동할 때, 기판 지지부(504)는 챔버 차폐부(562)와 액세스 포트(556) 아래에 위치한다. 그 후에 기판(512)은 측벽(552) 상의 액세스 포트(556)를 통해 챔버(500)로부터 제거되거나 챔버 안으로 위치하며 섀도우 프레임(558)과 챔버 차폐부(562)를 세척한다. 리프트 핀(도시안 됨)은 단일 암 로봇 또는 이중 암 로봇과 같은 프로세스 챔버(500) 외부에 배치된 웨이퍼 이송 메커니즘 도는 로봇을 이용하여 기판(512)의 배치 또는 제거를 용이하게 하기 위해 기판(512)을 기판 지지부(504)로부터 이격되도록 기판 지지부(504)를 통해 선택적으로 이동한다.Optionally, shadow frame 558 and chamber shield 562 may be disposed within chamber body 502. The shadow frame 558 is generally configured to limit deposition to a portion of the substrate 512 that is exposed through the center of the shadow frame 558. When the substrate support 504 moves to an upper position for processing, the outer edge of the substrate 512 disposed on the substrate support 504 engages with the shadow frame 558 and causes the shadow frame 558 to cover the chamber shield ( 562). As the substrate support 504 moves to the lower position to load and unload the substrate 512 from the substrate support 504, the substrate support 504 is positioned below the chamber shield 562 and the access port 556. do. Subsequently, the substrate 512 is removed from or located in the chamber 500 through an access port 556 on the sidewall 552 and cleans the shadow frame 558 and the chamber shield 562. Lift pins (not shown) may be used to facilitate the placement or removal of the substrate 512 using a wafer transfer mechanism or robot disposed outside the process chamber 500, such as a single arm robot or a dual arm robot. ) Is selectively moved through the substrate support 504 to be spaced apart from the substrate support 504.

도 7a는 본 발명의 일 실시예에 따라 텍스처링된 표면을 갖는 섀도우 프레임(558)을 개략적으로 도시한다. 섀도우 프레임(5580은 일체형으로 형성되거나 기판(512)의 주변부를 둘러싸도록 함께 결합된 두 개 이상의 부분들일 수 있다. 섀도우 프레임(558)은 이물질을 끌어당겨 부착되게 하여 기판(512)의 표면을 오염시키지 않도록 표면 상에 제 1 및 제 2 재료층(410,420) 또는 추가층을 포함하게 텍스처링될 수 있다. 바람직하게, 상부면(620) 또는 섀도우 프레임(558)의 프로세스 공간(560)에 면하는 표면은 기판(512)의 프로세싱 표면(640)의 오염을 방지하기 위해 하나 이상의 재료층으로 텍스처링된다. 섀도우 프레임(558)은 섀도우 프레임(558)이 기판(512)의 에지의 주변에 끼워지도록 선택된 내부치수를 포함할 수 있다. 섀도우 프레임(558)은 기판(512)의 치수보다 작은 내부치수 및 기판(512)의 치수보다 큰 외부치수를 갖는다. 예컨대, 섀도우 프레임(558)은 기판 크기가 약 1950 mm × 2250 mm 인 경우에 예시적인 내부치수가 약 1930 mm × 2230 mm 이고 예시적인 외부치수가 약 2440 mm × 2740 mm 을 포함하여, 기판(512)의 주변부가 입자 및 오염물로부터 차폐된다. 보다 작은 크기와 다른 모양의 기판에도 적용될 수 있다.7A schematically illustrates a shadow frame 558 having a textured surface in accordance with one embodiment of the present invention. The shadow frame 5550 may be integrally formed or two or more portions joined together to surround the periphery of the substrate 512. The shadow frame 558 attracts and attaches foreign matter to contaminate the surface of the substrate 512. May be textured to include first and second layers 410 and 420 or additional layers on the surface, preferably the surface facing process space 560 of top surface 620 or shadow frame 558. The silver is textured with one or more layers of material to prevent contamination of the processing surface 640 of the substrate 512. The shadow frame 558 has an interior selected such that the shadow frame 558 fits around the edge of the substrate 512 The shadow frame 558 has an internal dimension smaller than the dimension of the substrate 512 and an external dimension greater than the dimension of the substrate 512. For example, the shadow frame 558 has a substrate size of about 1. The periphery of the substrate 512 is shielded from particles and contaminants, including an exemplary internal dimension of about 1930 mm × 2230 mm and an exemplary external dimension of about 2440 mm × 2740 mm when 950 mm × 2250 mm. It can also be applied to substrates of different sizes and shapes.

도 7b는 본 발명의 일 실시예에 따라 텍스처링된 표면을 갖는 섀도우 프레 임(558), 챔버 차폐부(562), 챔버 몸체(502) 및 측벽(552)을 개략적으로 도시한다. 이들 모든 챔버 부품뿐만 아니라 다른 기판 프로세싱 챔버에 사용되는 기판 클램핑 구조물과 같은 다른 부품들의 표면이 본 발명의 실시예들에 따라 텍스처링될 수 있다. 도 7b에 도시된 바와 같이, 섀도우 프레임(558)은 예컨대 챔버 몸체(502)의 측벽(552)에 결합될 수 있는 챔버 차폐부(562) 위에 놓인다. 예시적인 챔버 차폐부(562)의 치수는 기판 크기가 약 1950 mm × 2250 mm 인 경우에 위에 위치한 섀도우 프레임(558)을 지지하도록 내부치수가 약 2160 mm × 2550 mm이고 외부치수가 약 2550 mm × 2840 mm이다. 선택적으로, 다른 구성을 갖는 섀도우 프레임이 선택적으로 활용될 수 있다. 예시적인 섀도우 프레임, 증착 프레임, 기판 커버 구조물 및/또는 기판 클램프는 캘리포니아, 산타 클라라에 위치한 어플라이드 머티어리얼스 사의 0020-43171 및 0020-46649를 포함한다.7B schematically illustrates a shadow frame 558, chamber shield 562, chamber body 502, and sidewall 552 having a textured surface in accordance with one embodiment of the present invention. All of these chamber components as well as the surfaces of other components, such as substrate clamping structures used in other substrate processing chambers, can be textured according to embodiments of the present invention. As shown in FIG. 7B, the shadow frame 558 overlies the chamber shield 562, which may be coupled to the sidewall 552 of the chamber body 502, for example. The exemplary chamber shield 562 has dimensions of about 2160 mm × 2550 mm and an external dimension of about 2550 mm × to support the shadow frame 558 located above when the substrate size is about 1950 mm × 2250 mm. 2840 mm. Optionally, shadow frames having other configurations may be selectively utilized. Exemplary shadow frames, deposition frames, substrate cover structures and / or substrate clamps include 0020-43171 and 0020-46649 of Applied Materials, Inc., located in Santa Clara, California.

본 발명의 또 다른 실시예는 본 발명의 기판 지지부(504)의 일부분이 기판 프로세싱 동안 입자 축적을 감소시키도록 본 명세서에 개시된 방법들에 따라 텍스처링되는 것을 제공한다. 도 8은 프로세스 챔버(500)의 기판 지지부(504)의 일 예를 개략적으로 도시한다. 기판 지지부(504)는 알루미늄, 스테인레스 강철, 세라믹 또는 이들을 조합하여 제조된다. 샤프트(587)의 상부 위에 있는 기판 지지부(504)는 위에서 기판(512)을 지지하는 상부면(810)을 포함한다. 상부면(810)은 이물질(402)을 끌어당겨 부차시키고 이물질(402)이 기판(504)의 표면을 오염시키는 것을 방지하기 위해 표면 상에 제 1 및 제 2 재료층(410,420) 또는 추가층을 갖도록 텍스처링될 수 있다. Yet another embodiment of the present invention provides that a portion of the substrate support 504 of the present invention is textured according to the methods disclosed herein to reduce particle accumulation during substrate processing. 8 schematically illustrates an example of a substrate support 504 of a process chamber 500. The substrate support 504 is made of aluminum, stainless steel, ceramic, or a combination thereof. The substrate support 504 over the top of the shaft 587 includes an upper surface 810 that supports the substrate 512 from above. The top surface 810 draws the foreign material 402 to draw it and to prevent the foreign material 402 from contaminating the surface of the substrate 504, the first and second material layer (410, 420) or additional layers on the surface Can be textured to have.

기판(512)을 지지하는 기판 지지부의 상부면(810)의 치수는 기판(512)의 크기에 비례하고 기판(512)의 치수보다 작거나 클 수 있다. 도 8에 도시된 바와 같이, 본 발명의 일 실시예는 기판 지지부(504)의 외측부(820)가 기판(512) 상의 입자 오염을 방지하도록 하나 이상의 재료층들로 텍스처링되는 것을 제공한다.The dimension of the upper surface 810 of the substrate support for supporting the substrate 512 may be proportional to the size of the substrate 512 and may be smaller or larger than the dimension of the substrate 512. As shown in FIG. 8, one embodiment of the present invention provides that the outer portion 820 of the substrate support 504 is textured with one or more layers of material to prevent particle contamination on the substrate 512.

상기 언급한 바와 같이, 프로세스 챔버의 하나 이상의 부품들의 하나 이상의 내부면들은 기판 프로세싱동안 생성된 이물질 또는 입자의 결합 및 부착을 향상시키도록 텍스처링될 수 있다. 다른 적절한 기판 프로세싱 챔버를 위한 챔버 부품의 또 다른 예는 다크 스페이스 차폐부, 지지 링, 증착 링, 코일, 코일 지지부, 증착 콜리메이터, 페데스탈, 정렬 링, 셔터 디스크 등을 포함할 수 있다.As mentioned above, one or more inner surfaces of one or more components of the process chamber may be textured to enhance bonding and adhesion of foreign matter or particles generated during substrate processing. Still other examples of chamber components for other suitable substrate processing chambers may include dark space shields, support rings, deposition rings, coils, coil supports, deposition collimators, pedestals, alignment rings, shutter disks, and the like.

또한 다른 구성의 프로세스 챔버 및 챔버 부품들은 본 발명의 실시예들로부터 벗어나지 않고 기판 프로세싱 동안 오염을 감소시키기 위해 본 발명의 방법을 이용하여 텍스처링될 수 있다. 오염은 본 명세서에서 개시한 바와 같이 적절한 화학 세척 용액을 이용하여 챔버 부품에 제공함으로써 제거될 수 있고 챔버 부품은 본 발명의 방법을 이용하여 다시 텍스처링될 수 있다. 게다가, 상기 도시된 바와 같이 여러 부품들을 위한 크기와 치수는 예시적이며 본 발명의 범위를 제한하지 않는다.Process chambers and chamber components in other configurations can also be textured using the method of the present invention to reduce contamination during substrate processing without departing from embodiments of the present invention. Contamination can be removed by providing the chamber part with a suitable chemical cleaning solution as disclosed herein and the chamber part can be textured again using the method of the present invention. In addition, the sizes and dimensions for the various components as shown above are exemplary and do not limit the scope of the invention.

이상에서 본 발명의 실시예들에 대해 다루었지만, 본 발명의 다른 실시예들이 본 발명의 기본 범위를 벗어나지 않고 구현될 수 있으며, 이러한 범위는 하기 청구항들에 의해 결정된다. Although the embodiments of the present invention have been described above, other embodiments of the present invention can be implemented without departing from the basic scope of the present invention, which is determined by the following claims.

본 발명에 따라 매우 거칠게-텍스처링된 표면은 프로세스 챔버의 거친 내부면이 기판 프로세싱 동안 발생한 여러 입자, 응집된 재료, 오염물을 끌어당기고 부착시켜, 프로세스 챔버의 내부면 위로 응집된 이물질에 의한 기판의 오염을 감소시킨다.The very rough-textured surface according to the present invention allows the rough interior surface of the process chamber to attract and adhere to various particles, agglomerated materials and contaminants generated during substrate processing, contaminating the substrate by foreign matter agglomerated over the interior surface of the process chamber. Decreases.

Claims (44)

프로세스 챔버에 사용하기 위한 프로세스 챔버 부품으로서,Process chamber component for use in a process chamber, 하나 이상의 표면들을 갖는 몸체;A body having one or more surfaces; 상기 표면들 상에 형성되고, 약 1200 마이크로-인치 이하의 제 1 RMS 표면 조도 측정값을 갖는 제 1 코팅부; 및A first coating formed on the surfaces and having a first RMS surface roughness measurement of about 1200 micro-inch or less; And 아크 스프레이에 의해 상기 표면들 상에 형성되고, 상기 부품의 표면을 거칠게 하기 위하여 약 1500 마이크로-인치 이상의 제 2 RMS 표면 조도 측정값을 갖는 제 2 코팅부A second coating formed on the surfaces by arc spray and having a second RMS surface roughness measurement of at least about 1500 micro-inch to roughen the surface of the part; 를 포함하는 프로세스 챔버 부품.Process chamber component comprising a. 제 1 항에 있어서, The method of claim 1, 상기 제 2 RMS는 상기 제 1 RMS 보다 큰 것을 특징으로 하는 프로세스 챔버 부품.And said second RMS is greater than said first RMS. 제 1 항에 있어서, The method of claim 1, 상기 프로세스 챔버 부품은 챔버 차폐 부재, 다크 스페이스 차폐부, 섀도우 프레임, 기판 지지부, 타겟, 섀도우 링, 증착 콜리메이터, 챔버 몸체, 챔버벽, 코일, 코일 지지부, 커버 링, 증착 링, 접촉 링, 정렬 링, 셔터 디스크 및 이들의 조합물로 이루어진 그룹 중에서 선택되는 것을 특징으로 하는 프로세스 챔버 부품.The process chamber components include chamber shield member, dark space shield, shadow frame, substrate support, target, shadow ring, deposition collimator, chamber body, chamber wall, coil, coil support, cover ring, deposition ring, contact ring, alignment ring And a shutter disk and combinations thereof. 제 1 항에 있어서, The method of claim 1, 상기 프로세스 챔버 부품은 기판 지지부의 주변부를 포함하는 것을 특징으로 하는 프로세스 챔버 부품.And wherein said process chamber component comprises a periphery of a substrate support. 제 1 항에 있어서, The method of claim 1, 상기 프로세스 챔버 부품은 알루미늄, 몰리브데늄, 니켈, 티타늄, 탄탈륨, 텅스텐, 구리, 강철, 스테인레스 강철, 철-니켈-크롬 합금, 니켈-크롬-몰리브데늄-텅스텐 합금, 크롬 구리 합금, 구리 아연 합금, 실리콘 카바이드, 사파이어, 알루미늄 옥사이드, 알루미늄 나이트라이드, 실리콘 옥사이드, 석영, 폴리이미드, 폴리아릴레이트, 폴리에테르, 에테르케톤, 및 이들의 합금 및 이들의 조합물로 이루어진 그룹 중에서 선택된 재료로 만들어지는 것을 특징으로 하는 프로세스 챔버 부품.The process chamber components are aluminum, molybdenum, nickel, titanium, tantalum, tungsten, copper, steel, stainless steel, iron-nickel-chromium alloy, nickel-chromium-molybdenum-tungsten alloy, chromium copper alloy, copper zinc Made of a material selected from the group consisting of alloys, silicon carbide, sapphire, aluminum oxide, aluminum nitride, silicon oxide, quartz, polyimide, polyarylate, polyether, etherketone, and alloys and combinations thereof Process chamber component, characterized in that. 기판을 프로세싱하기 위해 프로세스 챔버 내에서 사용하기 위한 챔버 차폐 부재로서,A chamber shield member for use in a process chamber for processing a substrate, 하나 이상의 표면들을 갖는 하나 이상의 작업물 프레그먼트들;One or more workpiece fragments having one or more surfaces; 상기 표면들 위에 형성되고, 약 1200 마이크로-인치 이하의 제 1 RMS 표면 조도 측정값을 갖는 제 1 코팅부; 및A first coating formed on the surfaces and having a first RMS surface roughness measurement of about 1200 micro-inch or less; And 아크 스프레이에 의해 상기 표면들 상에 형성되고, 상기 챔버 차폐 부재의 표면을 거칠게 하기 위해 약 1500 마이크로-인치 이상의 제 2 RMS 표면 조도 측정값을 갖는 제 2 코팅부A second coating formed on the surfaces by arc spray and having a second RMS surface roughness measurement of at least about 1500 micro-inch to roughen the surface of the chamber shield member 를 포함하는 챔버 차폐 부재.Chamber shield member comprising a. 제 6 항에 있어서, 상기 제 2 RMS 는 상기 제 1 RMS 보다 큰 것을 특징으로 하는 챔버 차폐 부재.7. The chamber shield member of claim 6, wherein the second RMS is greater than the first RMS. 제 6 항에 있어서, 상기 하나 이상의 작업물 프레그먼트들과 결합된 하나 이상의 코너 피스(corner piece)들을 더 포함하는 것을 특징으로 하는 챔버 차폐 부재.7. The chamber shield member of claim 6, further comprising one or more corner pieces associated with the one or more workpiece fragments. 제 6 항에 있어서, 상기 챔버 차폐 부재의 치수는 약 1600 mm × 1800 mm 내지 약 2550 mm × 2850 mm 사이인 것을 특징으로 하는 챔버 차폐 부재.The chamber shield member of claim 6, wherein the chamber shield member has a dimension between about 1600 mm × 1800 mm and about 2550 mm × 2850 mm. 제 6 항에 있어서, 상기 챔버 차폐 부재는 대형 정방형 기판을 차폐하기 위한 정방형 프레임인 것을 특징으로 하는 챔버 차폐 부재.The chamber shield member according to claim 6, wherein the chamber shield member is a square frame for shielding a large square substrate. 제 6 항에 있어서, 상기 챔버 차폐 부재는 접지 차폐물, 다크 스페이스 차폐부, 챔버 차폐부, 및 이들의 조합물로 이루어진 그룹 중에서 선택되는 것을 특징으로 하는 챔버 차폐 부재.7. The chamber shield member of claim 6, wherein the chamber shield member is selected from the group consisting of ground shields, dark space shields, chamber shields, and combinations thereof. 프로세스 챔버 내에서 기판을 둘러싸기 위한 하나 이상의 표면들을 갖는 섀도우 프레임으로서, A shadow frame having one or more surfaces to enclose a substrate in a process chamber, comprising: 상기 하나 이상의 표면들 상에 형성된 제 1 코팅부; 및 A first coating formed on the one or more surfaces; And 아크 스프레이에 의해 상기 표면들 상에 형성된 제 2 코팅부Second coating formed on the surfaces by arc spraying 를 포함하며, 상기 제 1 코팅부는 약 1200 마이크로-인치 이하의 제 1 RMS 표면 조도 측정값을 가지며, 상기 제 2 코팅부는 상기 섀도우 프레임의 표면을 거칠게 하기 위해 약 1500 마이크로-인치 이상의 제 2 RMS 표면 조도 측정값을 갖는, 섀도우 프레임.Wherein the first coating has a first RMS surface roughness measurement of about 1200 micro-inch or less and the second coating has a second RMS surface of about 1500 micro-inch or more to roughen the surface of the shadow frame. Shadow frame with roughness measurements. 제 12 항에 있어서, 상기 제 2 RMS는 상기 제 1 RMS 보다 큰 것을 특징으로 하는 섀도우 프레임.13. The shadow frame of claim 12, wherein the second RMS is greater than the first RMS. 제 12 항에 있어서, 상기 섀도우 프레임의 내부치수는 상기 기판의 치수보다 작은 것을 특징으로 하는 섀도우 프레임.The shadow frame of claim 12, wherein an inner dimension of the shadow frame is smaller than a dimension of the substrate. 제 12 항에 있어서, 상기 섀도우 프레임의 외부치수는 상기 기판의 치수보다 큰 것을 특징으로 하는 섀도우 프레임.The shadow frame of claim 12, wherein an outer dimension of the shadow frame is larger than a dimension of the substrate. 제 12 항에 있어서, 상기 제 1 코팅부 및 상기 제 2 코팅부를 형성하기 위한 표면은 상기 프로세스 챔버 내의 기판 프로세스 공간에 면하는 표면인 것을 특징으로 하는 섀도우 프레임. 13. The shadow frame of claim 12, wherein the surface for forming the first coating portion and the second coating portion is a surface facing a substrate process space in the process chamber. 프로세스 챔버 내에서 기판을 지지하기 위해 사용하기 위한 기판 지지부로서,A substrate support for use in supporting a substrate in a process chamber, 하나 이상의 표면들을 갖는 판형 몸체;A plate-shaped body having one or more surfaces; 상기 표면들 상에 형성되고, 약 1200 마이크로-인치 이하의 제 1 RMS 표면 조도 측정값을 갖는 제 1 코팅부; 및A first coating formed on the surfaces and having a first RMS surface roughness measurement of about 1200 micro-inch or less; And 아크 스프레이에 의해 상기 표면들 상에 형성되고, 상기 기판 지지부의 표면을 거칠게 하기 위해 약 1500 마이크로-인치 이상의 제 2 RMS 표면 조도 측정값을 갖는 제 2 코팅부A second coating formed on the surfaces by arc spray and having a second RMS surface roughness measurement of at least about 1500 micro-inch to roughen the surface of the substrate support; 를 포함하는 기판 지지부.Substrate support comprising a. 제 17 항에 있어서, 상기 제 2 RMS 는 상기 제 1 RMS 보다 큰 것을 특징으로 하는 기판 지지부.18. The substrate support of claim 17, wherein said second RMS is greater than said first RMS. 제 17 항에 있어서, 상기 제 1 코팅부 및 상기 제 2 코팅부는 상기 기판을 둘러싸는 상기 기판 지지부의 주변부 위에 형성된 것을 특징으로 하는 기판 지지부.18. The substrate support of claim 17, wherein the first coating portion and the second coating portion are formed on a periphery of the substrate support portion surrounding the substrate. 제 17 항에 있어서, 상기 판형 몸체 내에 내장된 하나 이상의 전극들을 더 포함하는 것을 특징으로 하는 기판 지지부.18. The substrate support of claim 17, further comprising one or more electrodes embedded within the plate body. 제 17 항에 있어서, 상기 판형 몸체 내에 내장된 하나 이상의 가열 엘리먼트들을 더 포함하는 것을 특징으로 하는 기판 지지부.18. The substrate support of claim 17, further comprising one or more heating elements embedded within the plate-shaped body. 프로세스 챔버 내의 오염물들을 감소시키는 방법으로서,A method of reducing contaminants in a process chamber, 상기 프로세스 챔버의 하나 이상의 부품들의 하나 이상의 표면들을 약 1200 마이크로-인치 이하의 제 1 RMS의 표면 조도 측정값을 갖는 제 1 재료층으로 코팅하는 단계; 및Coating one or more surfaces of one or more components of the process chamber with a first layer of material having a surface roughness measurement of a first RMS of about 1200 micro-inch or less; And 상기 하나 이상의 부품들의 하나 이상의 표면들을 거칠게 하기 위해 상기 제 1 재료층의 표면을 약 1500 마이크로-인치 이상의 제 2 RMS의 표면 조도 측정값을 갖는 제 2 재료층으로 아크 스프레이하는 단계Arc spraying the surface of the first material layer with a second material layer having a surface roughness measurement of a second RMS of at least about 1500 micro-inch to roughen one or more surfaces of the one or more components. 를 포함하며, 상기 제 2 RMS는 상기 제 1 RMS보다 큰, 프로세스 챔버 내의 오염물들을 감소시키는 방법. Wherein the second RMS is greater than the first RMS. 제 22 항에 있어서, 상기 제 2 재료층과 결합하는 오염물들을 발생시키는 상기 프로세스 챔버 내의 기판을 프로세싱하는 단계를 더 포함하는 것을 특징으로 하는 프로세스 챔버 내의 오염물들을 감소시키는 방법. 23. The method of claim 22, further comprising processing a substrate in the process chamber that generates contaminants that combine with the second material layer. 제 22 항에 있어서, 상기 하나 이상의 부품들의 하나 이상의 표면들을 화학적으로 세척하는 단계를 더 포함하는 것을 특징으로 하는 프로세스 챔버 내의 오염물들을 감소시키는 방법. 23. The method of claim 22, further comprising chemically cleaning one or more surfaces of the one or more components. 제 22 항에 있어서, 상기 기판은 평판 디스플레이용 기판을 포함하는 것을 특징으로 하는 프로세스 챔버 내의 오염물들을 감소시키는 방법. 23. The method of claim 22, wherein the substrate comprises a substrate for a flat panel display. 제 22 항에 있어서, 상기 하나 이상의 부품들의 하나 이상의 표면들을 코팅하는 단계는 도금, 아크 스프레이, 비드 블래스팅, 열 스프레이, 플라즈마 스프레이, 및 이들의 조합으로 구성된 그룹 중에서 선택된 프로세스를 포함하는 것을 특징으로 하는 프로세스 챔버 내의 오염물들을 감소시키는 방법. 23. The method of claim 22, wherein coating the one or more surfaces of the one or more components comprises a process selected from the group consisting of plating, arc spray, bead blasting, thermal spray, plasma spray, and combinations thereof. Reducing contaminants in the process chamber. 제 22 항에 있어서, 상기 하나 이상의 오염물 및 상기 제 2 재료층의 재료는 동일한 것을 특징으로 하는 프로세스 챔버 내의 오염물들을 감소시키는 방법. 23. The method of claim 22, wherein the at least one contaminant and the material of the second material layer are the same. 제 22 항에 있어서, 상기 하나 이상의 부품들의 재료는 알루미늄, 몰리브데늄, 니켈, 티타늄, 탄탈륨, 텅스텐, 구리, 강철, 스테인레스 강철, 철-니켈-크롬 합금, 니켈-크롬-몰리브데늄-텅스텐 합금, 크롬 구리 합금, 구리 아연 합금, 실리콘 카바이드, 사파이어, 알루미늄 옥사이드, 알루미늄 나이트라이드, 실리콘 옥사이드, 석영, 폴리이미드, 폴리아릴레이트, 폴리에테르, 에테르케톤, 및 이들의 합 금 및 이들의 조합물로 이루어진 그룹 중에서 선택된 재료를 포함하는 것을 특징으로 하는 프로세스 챔버 내의 오염물들을 감소시키는 방법. 23. The method of claim 22, wherein the material of the one or more components is aluminum, molybdenum, nickel, titanium, tantalum, tungsten, copper, steel, stainless steel, iron-nickel-chromium alloy, nickel-chromium-molybdenum-tungsten Alloys, chromium copper alloys, copper zinc alloys, silicon carbide, sapphire, aluminum oxide, aluminum nitride, silicon oxide, quartz, polyimide, polyarylates, polyethers, etherketones, and alloys thereof and combinations thereof A method for reducing contaminants in a process chamber comprising a material selected from the group consisting of: 제 22 항에 있어서, 상기 하나 이상의 부품블의 재료는 알루미늄을 포함하고 상기 제 1 재료층의 재료는 알루미늄 합금을 포함하는 것을 특징으로 하는 프로세스 챔버 내의 오염물들을 감소시키는 방법. 23. The method of claim 22, wherein the material of the at least one component comprises aluminum and the material of the first layer of material comprises an aluminum alloy. 제 22 항에 있어서, 상기 하나 이상의 부품들의 재료는 알루미늄을 포함하고 상기 제 1 재료층의 재료는 티타늄 또는 티타늄 합금을 포함하는 것을 특징으로 하는 프로세스 챔버 내의 오염물들을 감소시키는 방법. 23. The method of claim 22, wherein the material of the one or more components comprises aluminum and the material of the first layer of material comprises titanium or a titanium alloy. 제 22 항에 있어서, 상기 하나 이상의 부품들을 가열하는 단계를 더 포함하는 것을 특징으로 하는 프로세스 챔버 내의 오염물들을 감소시키는 방법. 23. The method of claim 22, further comprising heating the one or more components. 제 22 항에 있어서, 상기 하나 이상의 부품들은 챔버 차폐 부재, 다크 스페이스 차폐부, 섀도우 프레임, 기판 지지부, 타겟, 섀도우 링, 증착 콜리메이터, 챔버 몸체, 챔버 벽, 코일, 코일 지지부, 커버 링, 증착 링, 콘택 링, 정렬 링, 셔터 디스크, 및 이들의 조합물로 이루어진 그룹 중에서 선택된 작업물을 포함하는 것을 특징으로 하는 프로세스 챔버 내의 오염물들을 감소시키는 방법. 23. The chamber of claim 22, wherein the one or more components include chamber shield member, dark space shield, shadow frame, substrate support, target, shadow ring, deposition collimator, chamber body, chamber wall, coil, coil support, cover ring, deposition ring. And a workpiece selected from the group consisting of a contact ring, an alignment ring, a shutter disk, and combinations thereof. 제 22 항에 있어서, 상기 하나 이상의 부품들은 기판 지지부의 주변부를 포함하는 것을 특징으로 하는 프로세스 챔버 내의 오염물들을 감소시키는 방법. 23. The method of claim 22, wherein the one or more components comprise a perimeter of the substrate support. 제 22 항에 있어서, 상기 제 2 재료층의 재료는 알루미늄, 몰리브데늄, 니켈, 티타늄, 탄탈륨, 텅스텐, 구리, 강철, 스테인레스 강철, 철-니켈-크롬 합금, 니켈-크롬-몰리브데늄-텅스텐 합금, 크롬 구리 합금, 구리 아연 합금, 실리콘 카바이드, 사파이어, 알루미늄 옥사이드, 알루미늄 나이트라이드, 실리콘 옥사이드, 석영, 폴리이미드, 폴리아릴레이트, 폴리에테르, 에테르케톤, 및 이들의 합금 및 이들의 조합물로 이루어진 그룹 중에서 선택된 재료를 포함하는 것을 특징으로 하는 프로세스 챔버 내의 오염물들을 감소시키는 방법. 23. The material of claim 22 wherein the material of the second material layer is aluminum, molybdenum, nickel, titanium, tantalum, tungsten, copper, steel, stainless steel, iron-nickel-chromium alloy, nickel-chromium-molybdenum- Tungsten alloy, chromium copper alloy, copper zinc alloy, silicon carbide, sapphire, aluminum oxide, aluminum nitride, silicon oxide, quartz, polyimide, polyarylate, polyether, etherketone, and alloys thereof and combinations thereof A method for reducing contaminants in a process chamber comprising a material selected from the group consisting of: 반도체 프로세스 챔버 내에 사용하기 위한 부품의 표면을 텍스처링하는 방법으로서,A method of texturing a surface of a component for use in a semiconductor process chamber, 제 1 RMS의 표면 조도 측정값을 갖는 제 1 재료층으로 상기 부품의 표면을 코팅하는 단계; 및Coating the surface of the part with a first layer of material having a surface roughness measurement of a first RMS; And 상기 부품의 표면을 거칠게 하기 위해 약 1500 마이크로-인치 이상의 제 2 RMS의 표면 조도 측정값을 갖는 제 2 재료층으로 상기 제 1 재료층의 표면을 아크 스프레이하는 단계Arc spraying the surface of the first material layer with a second material layer having a surface roughness measurement of a second RMS of at least about 1500 micro-inch to roughen the surface of the part. 를 포함하며, 상기 제 2 RMS는 상기 제 1 RMS보다 큰, 부품의 표면을 텍스처링하는 방법.And wherein the second RMS is greater than the first RMS. 반도체 프로세스 챔버에 사용하기 위한 부품의 표면을 텍스처링하는 방법으로서,A method of texturing a surface of a component for use in a semiconductor process chamber, 약 1200 마이크로-인치 이하의 제 1 RMS의 표면 조도 측정값을 갖는 제 1 재료층으로 상기 부품의 표면을 코팅하는 단계; 및Coating the surface of the part with a first layer of material having a surface roughness measurement of a first RMS of about 1200 micro-inch or less; And 상기 부품의 표면을 거칠게 하기 위해 제 2 RMS의 표면 조도 측정값을 갖는 제 2 재료층으로 상기 제 1 재료층의 표면을 아크 스프레이하는 단계Arc spraying the surface of the first material layer with a second material layer having a surface roughness measurement of a second RMS to roughen the surface of the part. 를 포함하며, 상기 제 2 RMS는 상기 제 1 RMS보다 큰, 부품의 표면을 텍스처링하는 방법.And wherein the second RMS is greater than the first RMS. 반도체 프로세스 챔버에 사용하기 위한 부품의 표면을 텍스처링하는 방법으로서, A method of texturing a surface of a component for use in a semiconductor process chamber, 제 1 RMS의 표면 조도 측정값을 갖는 보호층으로 상기 부품의 표면을 코팅하는 단계; 및Coating the surface of the component with a protective layer having a surface roughness measurement of a first RMS; And 제 2 RMS의 표면 조도 측정값을 갖는 재료층으로 상기 보호층의 표면을 아크 스프레이하는 단계Arc spraying the surface of the protective layer with a layer of material having a surface roughness measurement of a second RMS 를 포함하며, 상기 재료층은 상기 부품의 재료와 동일한 재료를 포함하고, 상기 제 2 RMS는 상기 제 1 RMS보다 큰, 부품의 표면을 텍스처링하는 방법.Wherein the layer of material comprises the same material as the material of the part, and wherein the second RMS is greater than the first RMS. 제 37 항에 있어서, 상기 부품의 재료는 알루미늄, 몰리브데늄, 니켈, 티타 늄, 탄탈륨, 텅스텐, 구리, 강철, 스테인레스 강철, 철-니켈-크롬 합금, 니켈-크롬-몰리브데늄-텅스텐 합금, 크롬 구리 합금, 구리 아연 합금, 실리콘 카바이드, 사파이어, 알루미늄 옥사이드, 알루미늄 나이트라이드, 실리콘 옥사이드, 석영, 폴리이미드, 폴리아릴레이트, 폴리에테르, 에테르케톤, 및 이들의 합금 및 이들의 조합물로 이루어진 그룹 중에서 선택된 재료를 포함하는 것을 특징으로 하는 부품의 표면을 텍스처링하는 방법.38. The method of claim 37, wherein the material of the part is aluminum, molybdenum, nickel, titanium, tantalum, tungsten, copper, steel, stainless steel, iron-nickel-chromium alloy, nickel-chromium-molybdenum-tungsten alloy , Chromium copper alloy, copper zinc alloy, silicon carbide, sapphire, aluminum oxide, aluminum nitride, silicon oxide, quartz, polyimide, polyarylate, polyether, etherketone, and alloys thereof and combinations thereof A method of texturing a surface of a component comprising a material selected from the group. 제 37 항에 있어서, 상기 부품의 재료는 금속을 포함하고 상기 보호층의 재료는 상기 금속의 합금을 포함하는 것을 특징으로 하는 부품의 표면을 텍스처링하는 방법.38. The method of claim 37, wherein the material of the part comprises a metal and the material of the protective layer comprises an alloy of the metal. 제 39 항에 있어서, 상기 금속은 알루미늄을 포함하는 것을 특징으로 하는 부품의 표면을 텍스처링하는 방법.40. The method of claim 39, wherein the metal comprises aluminum. 제 37 항에 있어서, 상기 부품의 재료는 알루미늄을 포함하고 상기 보호층의 재료는 티타늄 또는 티타늄 합금을 포함하는 것을 특징으로 하는 부품의 표면을 텍스처링하는 방법.38. The method of claim 37, wherein the material of the part comprises aluminum and the material of the protective layer comprises titanium or a titanium alloy. 제 37 항에 있어서, 상기 부품의 표면을 코팅하는 단계는 아크 스프레이, 도금, 비드 블래스팅, 열 스프레이, 플라즈마 스프레이, 및 이들의 조합으로 이루어 진 그룹 중에서 선택된 프로세스를 포함하는 것을 특징으로 하는 부품의 표면을 텍스처링하는 방법.38. The method of claim 37, wherein coating the surface of the component comprises a process selected from the group consisting of arc spray, plating, bead blasting, thermal spray, plasma spray, and combinations thereof. How to texture a surface. 제 37 항에 있어서, 상기 코팅단계 이전에 상기 부품의 표면을 화학적으로 세척하는 단계를 더 포함하는 것을 특징으로 하는 부품의 표면을 텍스처링하는 방법.38. The method of claim 37, further comprising chemically cleaning the surface of the component prior to the coating step. 제 37 항에 있어서, 상기 재료층을 제거하기 위해 상기 아크 스프레이 단계 이후에 상기 부품의 표면을 화학적으로 세척하는 단계를 더 포함하는 것을 특징으로 하는 부품의 표면을 텍스처링하는 방법.38. The method of claim 37, further comprising chemically cleaning the surface of the part after the arc spraying step to remove the material layer.
KR1020060058318A 2005-06-27 2006-06-27 Process kit design to reduce particle generation KR101314747B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/167,377 US20060292310A1 (en) 2005-06-27 2005-06-27 Process kit design to reduce particle generation
US11/167,377 2005-06-27

Publications (3)

Publication Number Publication Date
KR20060136340A true KR20060136340A (en) 2007-01-02
KR20070000370A KR20070000370A (en) 2007-01-02
KR101314747B1 KR101314747B1 (en) 2013-10-08

Family

ID=37567779

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060058318A KR101314747B1 (en) 2005-06-27 2006-06-27 Process kit design to reduce particle generation

Country Status (5)

Country Link
US (1) US20060292310A1 (en)
JP (1) JP5554465B2 (en)
KR (1) KR101314747B1 (en)
CN (1) CN1891861B (en)
TW (1) TWI332035B (en)

Families Citing this family (257)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1771598B1 (en) * 2004-06-28 2009-09-30 Cambridge Nanotech Inc. Atomic layer deposition (ald) system and method
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US7554052B2 (en) * 2005-07-29 2009-06-30 Applied Materials, Inc. Method and apparatus for the application of twin wire arc spray coatings
US8790499B2 (en) 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
US20080233403A1 (en) * 2007-02-07 2008-09-25 Timothy Dyer Method of Making Ceramic Reactor Components and Ceramic Reactor Component Made Therefrom
KR101207593B1 (en) * 2007-03-28 2012-12-03 도쿄엘렉트론가부시키가이샤 Cvd film-forming apparatus
JP4623055B2 (en) * 2007-05-23 2011-02-02 日本テキサス・インスツルメンツ株式会社 Metal film peeling prevention structure in metal film forming apparatus and semiconductor device manufacturing method using the structure
WO2009119429A1 (en) 2008-03-25 2009-10-01 本田技研工業株式会社 Fuel supply device for engine
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101658514B1 (en) * 2009-12-11 2016-09-21 엘지디스플레이 주식회사 Method of fabricating an array substrate for trans-flective liquid crystal display device
US20110220285A1 (en) * 2010-02-12 2011-09-15 Morgan Advanced Ceramics, Inc. Methods and systems for texturing ceramic components
KR101188983B1 (en) * 2010-09-30 2012-10-08 삼성디스플레이 주식회사 3 Dimension Plat Panel Display having a Touch Screen Panel
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TW201314935A (en) * 2011-09-23 2013-04-01 Mke Technology Co Ltd Solar cell package structure
TWI585837B (en) * 2011-10-12 2017-06-01 歐瑞康先進科技股份有限公司 Sputter etching chamber and method of sputtering
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103240484A (en) * 2012-02-01 2013-08-14 上海科秉电子科技有限公司 Method for roughening inner layer surface of U-shaped groove
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103911585A (en) * 2013-01-08 2014-07-09 旭晖应用材料股份有限公司 Shade cover
US9799497B2 (en) * 2013-08-16 2017-10-24 Taiwan Semiconductor Manufacturing Company Limited Patterned processing kits for material processing
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
EP3254305B1 (en) 2015-02-06 2023-05-10 Applied Materials, Inc. 3d printed chamber components configured for lower film stress and lower operating temperature
US11569069B2 (en) 2015-02-06 2023-01-31 Applied Materials, Inc. 3D printed chamber components configured for lower film stress and lower operating temperature
CN105986245A (en) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 Part and method for improving MOCVD reaction process
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160348233A1 (en) * 2015-05-29 2016-12-01 Applied Materials, Inc. Grounding of conductive mask for deposition processes
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017099919A1 (en) * 2015-12-07 2017-06-15 Applied Materials, Inc. Amalgamated cover ring
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US9773665B1 (en) * 2016-12-06 2017-09-26 Applied Materials, Inc. Particle reduction in a physical vapor deposition chamber
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP2019033236A (en) * 2017-08-10 2019-02-28 株式会社日本製鋼所 Atomic layer growth apparatus, method for film formation using the same, and cleaning method thereof
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (en) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. Devices with clean compact zones
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US11685990B2 (en) * 2017-12-08 2023-06-27 Applied Materials, Inc. Textured processing chamber components and methods of manufacturing same
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7405776B2 (en) * 2018-06-14 2023-12-26 アプライド マテリアルズ インコーポレイテッド Process chamber process kit with protective coating
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
JP7225599B2 (en) * 2018-08-10 2023-02-21 東京エレクトロン株式会社 Deposition equipment
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (en) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US11450514B1 (en) 2021-03-17 2022-09-20 Applied Materials, Inc. Methods of reducing particles in a physical vapor deposition (PVD) chamber
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3514391A (en) * 1967-05-05 1970-05-26 Nat Res Corp Sputtering apparatus with finned anode
KR900001825B1 (en) * 1984-11-14 1990-03-24 가부시끼가이샤 히다찌세이사꾸쇼 Sputtering apparatus with film forming directivity
US5064698A (en) * 1989-02-16 1991-11-12 Wm. Wrigley, Jr. Company Food packaging improvements
US5135629A (en) * 1989-06-12 1992-08-04 Nippon Mining Co., Ltd. Thin film deposition system
GB9010186D0 (en) * 1990-05-04 1990-06-27 Welding Inst Electron beam welding
WO1992004482A1 (en) * 1990-08-30 1992-03-19 Materials Research Corporation Pretextured cathode sputtering target and method of preparation thereof and sputtering therewith
DE4031545A1 (en) * 1990-10-05 1992-04-09 Hell Rudolf Dr Ing Gmbh METHOD AND DEVICE FOR PRODUCING A TEXTURE ROLL
US5209813A (en) * 1990-10-24 1993-05-11 Hitachi, Ltd. Lithographic apparatus and method
JPH06188108A (en) * 1992-12-21 1994-07-08 Canon Inc Manufacture of thin-film resistor, attachment-repellent plate for film deposition equipment and film deposition equipment
JPH0718423A (en) * 1993-07-06 1995-01-20 Japan Energy Corp Thin film forming device
JPH0897147A (en) * 1994-09-29 1996-04-12 Mitsubishi Electric Corp Epitaxial crystal growth device
US5614071A (en) * 1995-06-28 1997-03-25 Hmt Technology Corporation Sputtering shield
WO1997006417A1 (en) * 1995-08-03 1997-02-20 Johnson Edward A Infrared radiation filament and method of manufacture
US6942764B1 (en) * 1995-08-24 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Arc-sprayed shield for pre-sputter etching chamber
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5830330A (en) * 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3449459B2 (en) * 1997-06-02 2003-09-22 株式会社ジャパンエナジー Method for manufacturing member for thin film forming apparatus and member for the apparatus
US6162297A (en) * 1997-09-05 2000-12-19 Applied Materials, Inc. Embossed semiconductor fabrication parts
US6288406B1 (en) * 1998-03-06 2001-09-11 Dupont Photomasks, Inc. Electron beam lithography system having variable writing speed
US6296716B1 (en) * 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
CN100460558C (en) * 1999-12-28 2009-02-11 东芝株式会社 Component for vacuum film deposition system, vacuum film deposition system using the same and target device
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
GB0112234D0 (en) * 2001-05-18 2001-07-11 Welding Inst Surface modification
US20030047464A1 (en) * 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6899798B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US7026009B2 (en) * 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
JP3996039B2 (en) * 2002-11-05 2007-10-24 アプライドマテリアルズジャパン株式会社 Method for manufacturing ceramic base material with metal spray coating
KR20040110845A (en) * 2003-06-20 2004-12-31 삼성전자주식회사 Method for processing surface of parts inside of chamber
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method

Similar Documents

Publication Publication Date Title
KR20060136340A (en) Process kit design particle generation
KR20070000370A (en) Process kit design particle generation
JP5726928B2 (en) Method and structure for reducing byproduct deposition in plasma processing systems
US8372205B2 (en) Reducing electrostatic charge by roughening the susceptor
JP5657540B2 (en) Process kit shield and its usage
EP1728892A2 (en) Bonding of sputtering target to target holder
KR101441858B1 (en) Reducing electrostatic charge by roughening the susceptor
US11920237B2 (en) Providing multifunctional shutter disk above the workpiece in the multifunctional chamber during degassing or pre-cleaning of the workpiece, and storing the multifunctional shutter disc during deposition process in the same multifunctional chamber
US20230383397A1 (en) Shutter disc for a semiconductor processing tool
JP2011202190A (en) Sputtering apparatus and sputtering method
US7799190B2 (en) Target backing plate for sputtering system
JP3470557B2 (en) Plasma processing equipment
KR102454433B1 (en) Apparatus of forming a film and cleaning method thereof
KR20230004767A (en) Methods and Apparatus for Reducing Defects in Preclean Chambers
KR101098858B1 (en) Cleaning method and vacuum processing apparatus
JP4099328B2 (en) Method for preventing particle generation in sputtering apparatus, sputtering method, sputtering apparatus, and covering member
TWI816448B (en) Recycling method of interior wall components
JP2002069627A (en) Sputtering target and sputtering apparatus using the same
JP2015141956A (en) Plasma processing device, and plasma processing method
US11251024B2 (en) Coating for chamber particle reduction
JP2004084043A (en) Mask for thin film deposition and thin film deposition system
JP2008108953A (en) Method for removal of foreign matter in semiconductor substrate rear face
TW202407802A (en) Wafer handling methods
JP2002173766A (en) Sputtering target and sputtering system provided with the target
JPH09129616A (en) Etching device