KR20060109307A - 향상된 포토리소그래피 공정 윈도우를 제공하는 포토마스크구조 및 그 제조 방법 - Google Patents

향상된 포토리소그래피 공정 윈도우를 제공하는 포토마스크구조 및 그 제조 방법 Download PDF

Info

Publication number
KR20060109307A
KR20060109307A KR1020060027093A KR20060027093A KR20060109307A KR 20060109307 A KR20060109307 A KR 20060109307A KR 1020060027093 A KR1020060027093 A KR 1020060027093A KR 20060027093 A KR20060027093 A KR 20060027093A KR 20060109307 A KR20060109307 A KR 20060109307A
Authority
KR
South Korea
Prior art keywords
mask
printed
photomask
pattern
light
Prior art date
Application number
KR1020060027093A
Other languages
English (en)
Other versions
KR100763222B1 (ko
Inventor
김호철
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Publication of KR20060109307A publication Critical patent/KR20060109307A/ko
Application granted granted Critical
Publication of KR100763222B1 publication Critical patent/KR100763222B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

향상된 포토리소그래피 공정 윈도우를 제공하는 포토마스크 구조 및 그 제조 방법이 제공된다. 특정 파장의 노광 빛이 투과하는 마스크 기판 및 마스크 기판의 표면 상에 형성된 마스크 패턴으로, 마스크 패턴은 반도체 기판으로 투과되는 이미지의 제 1 패턴을 포함하며, 제 1 패턴은 프린트되는 피처를 포함하되, 프린트되는 피처는 상기 노광 빛의 위상 및 강도를 조절하는 프린트되지 않는 피처를 가지며 형성된다.
공정 윈도우, 위상 바, 트렌치

Description

향상된 포토리소그래피 공정 윈도우를 제공하는 포토마스크 구조 및 그 제조 방법{Photomask structures providing improved photolithographic process windows and methods of manufacturing the same}
도 1a, 1b 및 1c는 바이너리형 마스크 구조를 이용한 종래의 포토리소그래피 공정을 나타낸 도면이다.
도 2a, 2b 및 2c는 EAPSM(Embedded Attenuated Phase Shift Mask)을 이용한 종래의 포토리소그래피 공정을 나타낸 도면이다.
도 3a, 3b 및 3c는 AAPSM(Alternating Aperture Phase Shift Mask)을 이용한 종래 기술의 포토리소그래피 공정을 나타낸 도면이다.
도 4a는 파라미터로써 임계 치수(CD) 대 노광량에 따른 초점에 대한 파라메트릭 곡선들을 포함하는 전형적인 Bossung(초점-노광)도이다.
도 4b는 포토레지스트가 코팅된 기판을 노광하기 위한 레티클을 이용하는 리소그래피 투영 공정을 개략적으로 나타낸다.
도 5a 및 5b는 본 발명의 일 실시예에 따른 포토마스크 구조를 개략적으로 나타낸다.
도 5c는 도 5a 및 5b의 일 실시예에 따른 포토마스크를 이용하는 포토리소그래피 공정을 개략적으로 나타낸다.
도 6a 내지 6f는 본 발명의 일 실시예에 따른 포토마스크 제조 방법을 개략적으로 나타낸다.
도 7을 전형적인 포토마스크 패턴을 나타낸다.
도 8은 본 발명의 일 실시예에 따른 포토마스크 패턴을 나타낸다.
도 9a 및 9b는 도 7의 마스크 패턴에 대해 컴퓨터 시뮬레이션을 통해 얻어진 리소그래피 공정 윈도우를 나타낸 그래프이다.
도 10a 및 10b는 도 8의 포토 마스크 패턴에 대해 컴퓨터 시뮬레이션을 통해 얻어진 리소그래피 공정 윈도우를 나타낸 그래프이다.
도 11a 및 도 11b는 도 8의 포토마스크 패턴에 대해 컴퓨터 시뮬레이션을 통해 얻어진 리소그래피 공정 윈도우를 나타낸 그래프이다.
도 12a는 초점 변화를 모니터링하기 위한 테스트 패턴을 포함하는 본 발명의 일 실시예에 따른 포토마스크 구조를 개략적으로 나타낸다.
도 12b는 도 12a의 실시예에 따른 포토마스크 구조를 이용하여 레지스트가 코팅된 웨이퍼를 노광함으로써 얻어진 프린트된 테스트 패턴을 개략적으로 나타낸다.
도 13a 및 13b는 초점 변화를 목표 테스트 패턴에 대해 측정된 CD값과 연관시키는 공정 파라미터들을 포함하는 초점-노광 행렬들을 나타낸 그래프이다.
도 13c는 본 발명의 일 실시예에 따라 측정된 CD를 기초로 초점 방향 이동을 결정하는 것을 나타내는 초점 응답 곡선이다.
도 14는 본 발명의 일 실시예에 따라 공정 변화를 측정하는데 이용되는 광학 웨이퍼 주사 시스템을 개략적으로 나타낸다.
본 발명은 반도체 소자 제조 공정을 위한 향상된 포토리소그래피 방법을 제공한다. 더욱 상세하게는 서브-파장 피처들을 프린팅하기 위해 향상된 리소그래피 공정 윈도우를 제공하는 포토마스크 구조에 관한 것이다.
포토리소그래피는 반도체 집적 회로(IC) 장치를 제조하는데 필수적이 공정이다. 일반적으로 포토리소그래피 공정은 반도체 기판에 포토레지스트를 도핑하고, 집적 회로 이미지를 갖는 포토마스크를 통해 화학선(actinic) 광원(예를 들어, 엑시머 레이저(excimer laser), 수은 램프 등)을 조사하여 포토레지스트를 노광한다. 예를 들어, 리소그래피 장치, 포토마스크와 하이 어퍼쳐 렌즈를 통해 포토레지스트층으로 빛을 조사하는 딥 UV 스텝퍼(deep UV setepper), 빛을 투사하여 포토레지스트 상에 포토마스크 패턴을 형성한다. 향상된 리소그래피 공정을 위한 포토마스크로는 바이너리 마스크(binary mask), 내장된 감쇠형 위상 변이 마스크(EAPSM: Embedded Attenuated Phase Shift Masks), 교대 구경 위상 변이 마스크(AAPSM: Alternating Aperture Phase-Shift Masks), 및 다양한 하이브리드형 마스크(hybrid mask) 등을 포함한다.
현재, 고집적 회로 장치들은 작은 임계 치수를 갖도록 설계되고 있다. 임계 치수(CD: Critical Dimensions)란, 한 라인의 최소 폭 또는 제조 공정에 따른 디자 인 룰(design rule)에 의한 두 라인 간의 최소 스페이스를 말한다. 사실, IC 장치들은 점차 서브-파장(sub-wavelength)의 미세 사이즈로 설계되고 있다. 실리콘 웨이퍼 상에 프린트된 회로 이미지들은 패턴을 노광하는데 이용되는 광원의 파장보다 작다. 예를 들어, 최신의 DUV 스텝퍼는 100nm(0.1 마이크론) 이하의 미세 사이즈를 갖는 집적 회로를 형성하기 위해 193nm 파장의 ArF 레이저를 이용한다.
피처 패턴은 점차 줄어들고 있으나, 서브-파장 피처들을 프린팅하기 위한 리소그래피 공정 윈도우(process window)를 감소시키는 광 근접 효과(OPE: optical proximity effects)로 인해 임계 치수(CD)를 만족시키기는 점차 어려워지고 있다. OPE 현상은 근접하게 위치하는 광 파장의 회절 때문에 발생한다. 예를 들어, 때로 광 파장의 상호 작용을 야기시키는 인접한 회로 피처들은 전사되는 패턴 피처들을 왜곡시키고, 광 파장들의 상호 작용 야기시키며 패턴 의존적 공정 변이를 발생시킨다. 이에 따라, 서브-파장 피처를 프린팅할 때 OPE 효과를 보상 또는 완화시키기 위한 다양한 기술들이 개발되었다.
예를 들어, 광 근접 효과 보정(OPC: optical proximity correction) 및 위상 변이 마스크(PSM) 기술과 같이 잘 알려진 레티클 향상 기술들이 포토마스크를 형성하는데 이용된다. OPC와 함께, 작은 서브-해상도(프린팅되지 않는) 피처들(예를 들어, 산란 바(scatter bars))은 근접 효과를 보상하기 위해 회로 마스크 패턴에 표시된다. 게다가, PSM 기술들은 근접 효과를 줄이고 서브- 파장 피처들의 가장자리에서의 명암을 향상시키도록 설계된 위상 변이 구조를 갖는 마스크 패턴을 가지는 포토마스크들(예를 들어, 감쇠 구경 위상 변이 마스크, 내장된 감쇠 위상 변이 마스크 등)을 형성하는데 이용된다. 반면에, PSM 구조와 비교할 때, 일반적으로 바이너리형 마스크들은 서브-파장 피처들의 리소그래피 프린팅을 위한 바이너리형 마스크들을 이용하는 능력을 제한하는 회절 때문에 OPE에 보다 민감하다고 알려져 있다.
도 1a, 1b 및 1c는 바이너리형 마스크 구조를 이용하는 종래의 포토리소그래피 공정을 개략적으로 나타낸다. 특히, 도 1a는 바이너리형 포토마스크의 상부 평면도이고, 도 1a는 도 1a의 1B-1B' 선을 따라 자른 바이너리형 포토마스크의 단면도이다. 일반적으로, 바이너리형 마스크(10)는 마스크 기판(12) 위에 형성된 마스크 패턴(11)으로 구성된다. 마스크 기판(12)은 노광 광에서의 제공된 파장의 노광 광이 투과되는 투명한 물질로 형성된다. 예를 들어, 기판(12)은 전형적으로 고순도의 석영 또는 유리로 형성된다. 바이너리형 마스크에서, 이미지 패턴(11)은 전형적으로 크롬(chormium)과 같이 특정 파장에서 약 0%의 투과율을 갖는 빛 차단 물질로 형성되며, 이미지 패턴(11)은 빛의 경로를 차단(반사)한다. 이 점에서, 바이너리형 마스크는 반사 마스크로 간주된다.
도 1a 및 도 1b에서, 마스크 패턴(11)은 피치(P)를 갖는 다수의 긴 병렬 라인 피처(11a)들과, 마스크 기판(12) 상의 광 차단 물질(예를들어, 크롬)을 식각함으로써 형성된 스페이스들(11b)을 포함한다. 마스크 패턴(11)은 리소그래피 공정을 통해 기판 상의 포토레지스트층으로 전사될 수 있다. 특히, 도 1b에 도시된 바와 같이, 빛에 노출된 스페이스들(11b)에 정렬된 포토레지스트의 영역들을 빛에 노출시키기 위해, 노광 공정 동안 패터닝된 마스크(10) 표면에 특정 파장의 입사된 빛 이 포토마스크(10)의 노출된 영역들(예를 들어 스페이스들(11b))을 통해 포토레지스트가 코팅된 웨이퍼로 투영될 수 있다. 예를 들어, 포지티브 레지스트인 경우, 포토레지스트에 마스크 패턴(11)을 프린트하기 위해 포토레지스트의 노출된 영역들은 현상할 때 제거될 수 있다.
프린트된 피처들의 임계 치수가 점차 감소하고 리소그래피 노광 장치의 해상도(resolution)에 근접함에 따라, 바이너리 마스크 기술들을 이용하여 정확하게 작은 피처들을 프린트하는 능력이 회절에 의한 광 근접 효과 때문에 현저하게 감소된다. 이와 같은 제약은 도 1c에 개략적으로 도시되어 있다. 특히, 도 1c는 반도체 기판(예를 들어, 웨이퍼)(16) 상에 형성된 포토레지스트층(15)을 포함하는 반도체 장치(14)를 나타낸다. 도 1c에서, 포토레지스트층(15)은 1X 축소를 갖는 도 1a 및 도 1b의 바이너리 마스크(10)를 이용하여 노광된 "포지티브 레지스트(positive resist)"라고 가정한다. 프린트된 라인 피처들(11a) 및 스페이스들(11b)의 임계 치수들은 노광 시스템의 해상도 한계에 근접하다고 가정한다.
도 1c에 도시된 바와 같이, 근접하게 위치하는 라인 피처들(11a)로 인한 광 근접 효과는 라인-스페이스(line-space) 패턴들이 포토레지스트(15)에 프린트되는 것을 막는다. 특히, 도 1c는 포토레지스트(15)가 가로지르는 웨이퍼 평면에서의 회절 효과(diffraction effects)로 인한 전기장 곡선(13)(크기와 방향)을 도시한다. 특히, 라인 및 스페이스 피처들(11a, 11b)의 사이즈가 작기 때문에 포토레지스트(15)로 입사된 광의 회절 효과는 라인 피처들(11a)에 얼라인된 포토레지스트(15) 영역에서 빛의 강도를 증가시키기 위해 인접한 스페이스 피처들(11b)의 전기장 벡 터가 구조적으로 상호 작용되고 추가되는 것을 유발시킨다. 도 1c는 라인-스페이스 패턴에 정렬된 포토레지스트의 전 영역에 걸쳐 포토레지스트 노광 임계치(Tp)를 초과 또는 만족시키는 전기장(13)을 상태를 나타낸다. 이에 따라, 라인 피처들(11b)은 프린트되지 않고 스페이스 피처들(11b)은 개별적인 스페이스 피처들보다 넓은 스페이스 피처 하나로 포토레지스트에 프린트된다. 이러한 회절 효과들은 PSM 기술을 이용함으로써 완화될 수 있다.
예를 들어, 도 2a, 2b 및 2c는 EAPSM 구조를 이용하는 종래 포토리소그래피 공정을 개략적으로 나타낸다. 특히, 도 2a는 EAPSM 구조(20)의 상부 평면도를 나타내며, 도 2b는 도 2a의 2B-2B' 선을 따라 자른 EAPSM 구조(20)의 개략단면도를 나타낸다. 일반적으로, EAPSM 구조(20)는 마스크 기판(22) 상에 형성된 마스크 패턴(21)으로 구성된다. 마스크 기판(22)는 노광 빛의 제공된 파장이 투과되는 고순도 석영 또는 유리와 같은 물질로 형성된다. MoSi와 같이 빛을 차단하는 물질 (또는 위상 변이 물질)로 형성된 마스크 패턴(21)은 제공된 파장에서 약 2~10% 범위의 투과율을 갖는다. 도 2a 및 도 2b는 피치(P)를 갖는 다수의 긴 병렬 라인 피처들(21a)과 도 1a 및 도 1b의 라인-스페이스와 유사한 스페이스들(21b)을 포함하는 마스크 패턴(21)을 나타낸다. 도 1a 및 도 1b의 포토마스크(10)와 비교하면, 도 2a 및 도 2b의 포토마스크(20)는 빛의 파장보다 작은 서브-파장 치수로 라인 피처들을 보다 정확하게 프린트하기 위해 발생하는 DUV의 파괴적인 간섭이 웨이퍼 레벨에서 유발된다. 이것은 도 2c에서 개념적으로 도시된다.
특히, 도 2c는 반도체 장치(24)는 반도체 기판(26) (예를 들어, 웨이퍼) 상 에 형성된 포토레지스트층(25)을 포함한다. 도 2c에서, 포토레지스트층(25)은 1X 축소를 갖는 도 1a 및 도 1b의 바이너리 마스크(20)를 이용하여 노광된 "포지티브 레지스트"라고 가정한다. 도 2c는 포토레지스트(25)가 가로지르는 웨이퍼 평면에서 전기장 곡선(23) 크기와 방향) 결과를 나타낸다. 라인 피처들(21a)은 입사광의 작은 비율이 마스크 기판(22)을 통해 포토레지스트로 투과하는 것을 가능하게 한다. 반도체 기판(22)의 노출된 영역(스페이스 피처들(21b)에서 마스크를 투과한 빛과 비교할 때, 가장자리의 마스크 피처들의 이미지 콘트라스트를 증가시키는 마스크 라인 피처들(21a)은 마스크를 통과한 빛의 180도 위상 변이를 발생시킨다. 이에 따라 리소그래피 공정의 분해능이 증가한다. 보다 상세하게, 도 2c는 유리와 인접한 라인 피처들의 가장자리에서 파괴적인 간섭이 발생한다. 이러한 점에서, 전기장 강도는 마스크 라인 피처들(21a)에 정렬된 포토레지스트의 영역에서 저항 문턱값(Tp) 아래로 잘 유지된다. 현재 사용되는 리소그래피 장치를 이용하여 서브 파장의 임계 치수(CD)를 갖는 라인-스페이스 패턴을 프린트하기 위해 분해능을 증가시키는 것이 가능하다.
교대 어퍼처는 OPE 효과를 줄이고 서브-파장 피처들을 프린트할 수 있는 DUV 비파괴적인 간섭에 의한 다른 PSM 기술이다. 예를 들어, 도 3a, 3b 및 3c는 AAPM을 이용한 종래의 포토리소그래피 공정을 개략적으로 나타낸다. 특히, 도 3a는 AAPSM 구조(30)의 상부 평면도이고, 도 3b는 도 3a의 3B-3B' 선을 따라 자른 AAPSM의 개략 단면도이다. 일반적으로, AAPSM 구조(30)는 마스크 기판 상에 형성된 마스크 패턴으로 구성된다. 마스크 기판(32)는 고순도 석영 및 유리와 같이 노광 빛의 제공 된 파장에서 투과하는 물질로 형성된다. 마스크 패턴(31)은 크롬과 같이 제공된 파장에서 약 0%의 투과율을 갖는 빛 차단 물질로 형성되어 빛의 투과를 차단(그리고 반사)한다. 도 3a 및 도 3b는 피치(P)를 갖는 다수의 긴 병렬 라인 패턴들(31a)과 도 1a및 도 1b의 라인-스페이스 마스크 패턴과 유사한 스페이스들(31b)을 포함하는 마스크 패턴(31)을 나타낸다. 도 1a 및 도1b의 포토마스크(10)와 비교할 때, 도 3a 및 도 3b의 포토마스크(30)는 스페이스 피처들(31b) 사이 사이에 선택적으로 마스크 기판(32) 안으로 식각된 트렌치들(32a)을 더 포함한다. 트렌치들(32a)은 식각되지 않은 마스크 기판의 영역들에 대응하여 180도 위상 변이를 발생시킨다. 위상 차이의 결과로써 이미지 콘트라스트를 향상시키는 DUV 파괴적인 간섭을 초래한다. 이것은 도 3c에서 개념적으로 도시된다.
특히, 도 3c는 반도체 기판(36)(예를 들어, 웨이퍼) 상에 형성된 포토레지스트층(35)을 포함하는 반도체 장치(34)를 나타낸다. 도 3c에서, 포토레지스트층(35)은 1X 축소를 갖는 도 3a 및 도 3b의 바이너리 마스크(30)를 이용하여 노광된 "포지티브 레지스트"라고 가정한다. 도 3c는 포토레지스트(35)가 가로지르는 웨이퍼 평면에서 전기장 곡선(33)(크기 및 방향) 결과를 나타낸다. 라인 피처들(31a)이 빛을 반사하는 반면, 스페이스 피처들(31b)은 입사광이 반도체 기판(32)을 통해 포토레지스트로 투과하는 것을 허락한다. 스페이스 피처들(31b)에서 기판(32)의 식각되지 않은 영역들이 노출된 마스크(30)를 통해 투과하는 빛과 비교할 때 트렌치들(32a)은 마스크(30)를 통과하는 빛의 180도 위상 변이를 발생시킨다. 이에 따라, 전기장(33)은 동일한 크기를 갖고 라인 피처들(31a)과 반대 위상을 갖을 것이다. 그리고, 높은 정확도를 갖는 레지스트(36)에서 라인-스페이스 피처들(31a, 31b)을 프린팅하는 동안 이미지 콘트라스트를 강조시키는 어두운 영역을 발생시키는 식각 영역과 식각되지 않은 영역 사이의 변화에서 파괴적인 간섭이 발생한다.
위에서 언급된 PSM 기술들이 일반적으로 서브-파장 피처들을 프린트하는 동안 해상도를 증가시는데 이용될지라도, 리소그래피 공정으로 복제될 수 있는 피처들의 질은 주로 리소그래피 공정 윈도우의 사이즈에 의존한다. 일반적으로, 종래 기술에 잘 알려진 "공정 윈도우"라는 용어는 프린트된 포토레지스트 피처들의 특성들(예를 들어, 라인폭, 벽 각도, 레지스트 두께)은 규정된 스펙 내에서 유지되도록 허용될 수 있는 노광량 및 초점의 변화량을 말한다. 주어진 리소그래피 환경에서, 노광량 및 초점에서 포토레지스트 피처들의 변화들과 같은 민감도는 실험적으로 초점-노광 데이터의 행렬을 획득함으로써(또는 컴퓨터 시뮬레이션을 통해) 정의될 수 있다. 예를 들어, 주어진 리소그래피 공정과 마스크에서, 초점-노광 행렬 데이터는 초점 및 노광량의 함수로써 라인 폭의 변동을 정의하는데 이용될 수 있다.
도 4a는 파라미터로써 임계 치수(CD) 대 노광량에 따른 초점에 대한 파라메트릭 곡선들을 포함하는 전형적인 Bossung(초점-노광)도이다. 특히, 전형적인 보썽도는 다른 노광 에너지들(E1~E5)에서 초점 오차(x-축)의 작용에 따른 CD(y-축)의 변동을 나타낸다 도 4a에서, 점선(40)은 목표(명목상) CD를 나타내며, 점선들(41, 42)은 목표 CD(40)와 다르며, 허용될 수 있는 상하(CD+, CD-) 값을 상대적으로 나타낸다. 초점 오차 파라미터(x-축)은 최적의 초점 위치로부터 상대적인 편차를 나타낸다.
초점 및 노광량에서 큰 변동이 목표 CD(40)(허용되는 CD들의 원하는 범위 내에서 프린트된 CD들로 유지되는)에 최소한으로 영향을 준다면 리소그래피 공정은 견고한(강력한) 것으로 간주될 것이다. 특히, 가능한 공정 윈도우는 DOF와 목표 CD의 ±10% 이내에서 프린트된 피처들로 유지되는 노광 관용도(EL: Exposure Latitude)의 조합으로써 지정될 수 있다. 노광 관용도(EL)는 지정된 제한 이내의 CD로 유지되는 노광 에너지의 백분율 양으로 나타낸다. 사용 가능한 초점 범위 또는 초점 심도(DOF: Depth of focus)는 전형적으로 초점 셋팅 범위를 말한다. 여기에서 프린트된 피처들 또는 피처들 사이 스페이스의 측면 치수는 전형적으로 정해진 라인 폭 또는 CD 의 ±10%인 스펙 내에 놓여진다. DOF의 개념은 도 4b에서 개략적으로 도시된다.
특히, 도 4b는 포토레지스가 코팅된 기판을 노출시키는 레티클을 이용한 리소그래피 투영 공정을 도시한다. 특히, 도 4b는 광원(43), 콘덴서 렌즈(44) 및 투영 렌즈(46)로 구성되는 투영 시스템의 하이-레벨 개략도이다. 광원(43)은 콘덴서 렌즈(44)로 입사하는 빛을 방출한다. 빛은 콘덴서 렌즈(44)를 통과하고 미리 결정된 패턴이 형성된 레티클의 전체 표면에 균일하게 조사된다. 그 후에, 레티클(45)을 통과하는 빛은 투영 렌즈(46)를 통해 미리 결정된 스케일 팩터로 축소되고 반도체 기판(48) 상의 포토레지스트층(47)을 노광한다. 투영 광학(46)을 이용함으로써 레티클(45) 상의 마스크 피처들의 사이즈는 포토레지스(47)에 프린트된 동일한 피처들보다 대략 4 또는 5배 크다. 예를 들어, 레티클 상에서 1 마이크론 폭을 갖는 마스크 라인 피처들은 5X 축소 투영 시스템을 통해 포토레지스트의 0.2 마이크론 라인으로 변형된다.
도 4b는 초점 심도를 개념적으로 도시한다. 일반적으로, 광학 시스템의 초점면은 초점(FP: Focla Plain)를 포함하는 평면이다. 초점면은 전형적으로 광학 시스템의 최적 초점의 평면으로 언급된다. 초점(focus)이란 용어는 광학 축(optical axis)(즉, 최적 초점면에 수직한 축)을 따라 측정되는 레지스트 층의 상부 표면 또는 포토레지스트의 중심과 같은 기준면(reference plane)에 대한 광학 시스템의 최적 초점면의 위치를 의미한다. 예를 들어, 도 4b에서 도시된 최적 초점의 평면(초점면)은 포토레지스트층(47)의 표면 가까이 위치한다. 도 4b의 전형적인 예에서, 초점은 이미지 시스템의 초점면과 상대적으로 레지스트층(47)의 표면의 위치에 의해 설정된다. 초점 오차는는 레지스트가 코팅된 웨이퍼의 기준 평면의 실제 위치와 최적 초점에서의 웨이퍼 위치 사이의 광학 축을 따라 측정된 차이로 언급된다. 포토리소그래피 공정 동안, 초점은 최적의 초점에서 ± 초점 오차 위치로 변할 수 있다. DOF는 ± 초점 오차의 허용 가능한 범위로 언급된다.
다시 도 4a를 언급하면, 초점의 변동 및 도즈량은 CD들의 허용가능한 범위 밖의 프린트된 피처들(목표 CD로부터)의 CD들의 증가 또는 감소를 초래할 수 있다. 일반적으로, 초점 변화 함수로써 라인 폭이 극적으로 변화하면 좁은 공정 윈도우가 달성(실행)될 것이다.
예를 들어, 도 4a에 도시된 바와 같이, 노광량에 대응하는 파라메트릭 곡선들(E1, E2, E3, E4 및 E5)은 CD가 최적 초점(defocus=0)로부터의 초점 편차에 대해 보다 민감하다는 것을 나타낸다. 반대로, 주어진 노광량 동안 나타내는 E3 곡선은 좀 더 선형적이며, CD가 최적의 초점 위치(초점 오차=0)로부터 초점의 편차에 대해 덜 민감하다는 것을 나타낸다.
위에서 언급한 AAPSM 및 EAPSM과 같은 향상된 기술들이 해상도 향상을 위해 이용될지라도, 이러한 기술들은 비싸며 복잡할 수 있고, 칩 사이즈를 증가시킬 수 있다. 게다가, PSM 기술은 공정 윈도우의 감소 결과로써 "금지 피치(forbidden pitch)" 현상이 제시된다.
보다 상세하게, 특정 피처 및 목표 CD에 대해 사입사 조명을 갖는 경우의 피처들의 조밀한 패턴의 공정 관용도는 하나 이상의 피치들이 동일한 사이즈의 고립된 피처의 조밀한 패턴의 공정 관용도 보다 나쁠 수 있다. 주어진 피치(예를 들어, 마스크 상의 최소 피치)로 사입사 조명이 최적화될 때, 조명 각도는 회절 각도와 함께 피치에 대해 감소된 DOF를 야기하는 회절이 발생하는 피치를 갖는 패턴일 수 있다. 금지 피치 현상은 서브-파장 피처들을 프린트하는 앞선 포토리소그래피에서 제한 요소가 된다.
노광 장치들은 노광 장치의 초점 편차를 커버하기 위해 요구되는 포토리소그래피 공정의 최소 DOF 요구를 말하는 "초점 예산(focus budget)"을 갖는다. 주어진 레이아웃 패턴 피치의 DOF는 노광 장치에 요구되는 초점 예산보다 크지 않다면, 레이아웃 패턴 피치는 금지 피치로 알려져 있다. 이러한 점에서, 금지 피치 현상을 완화시키기 위한 능력은 이용하는 현재 반도체 소자 제조 장치들 및 기술들 얻을 수 있는 CD들 및 공정 관용도를 일반적으로 향상시킬 것이다.
서브-파장 피처들을 프린팅할 때, CD 균일도를 제어하는 것이 중요하다. 그 러나, 포토리소그래피 노광 장비(스캐너/스텝퍼)에서의 노광 공정의 파라미터들의 미세한 편차는 허용 가능한 제조 관용도 밖으로 떨어뜨리기 위한 프린트된 피처들의 임계 치수(CD)를 야기시킬 수 있다. 예를 들어, DOF는 일반적으로 리소그래피 투영 장치의 해상도를 결정하는 대부분의 미세한 요소들 중 하나로 보여진다. 포토리소그래피 공정 동안, 노광 시스템의 초점은 온도 또는 압력 변화, 기판 평탄도 변화 또는 다른 요소들 때문에 요구되는 포토레지스트가 코팅된 기판의 기준 평면의 위 또는 아래로 이동할 수 있다. 공정 윈도우에 의존하는 최적 초점으로부터의 초점 이동량은 프린트된 피처의 크기에 극적인 영향을 줄 수 있다. 이러한 점에서, 초점을 각 웨이퍼에 대해 이용 가능한 범위 내에서 유지시키기 위해 공정을 조절할 수 있는 것이 매우 바람직하다. 이 점에서, 초점 오차 량은 최적 초점 측정의 적절한 방법 없이 결정될 수 없다.
위와 같은 점에서, 리소그래피 공정 윈도우를 향상시키 위한 마스크 기술들 및 OPC 해결법들을 향상시키고, 서브-파장 피처들의 정밀한 프린팅을 위한 현재 광학 노광 시스템의 해상도를 증가시키는 것이 매우 바람직하다. 더욱이, 서브 파장 리소그라피 공정에 있어 초점 변화에 따른 CD 변화의 정도가 민감하다면, 광리소그라피 공정 동안 초점 변화(크기 및 방향)를 효율적으로 측정하는 기술들을 개발할 필요가 있으며, 초점을 맞추고 CD를 균일하게 하기 위해 노광 장치를 자동 조절할 필요가 있다.
본 발명이 이루고자 하는 기술적 과제는 서브-파장 피처들을 프린트하기 위 해 증가된 리소그래피 공정 윈도우를 제공하는 포토마스크 구조들을 제공하는데 있다.
본 발명이 이루고자 하는 기술적 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위하여 본 발명의 일 실시예에 따른 포토마스크는 특정 파장의 노광 빛이 투과하는 마스크 기판 및 마스크 기판의 표면 상에 형성된 마스크 패턴으로, 마스크 패턴은 반도체 기판으로 투과되는 이미지의 제 1 패턴을 포함하며, 제 1 패턴은 프린트되는 피처를 포함하되, 프린트되는 피처는 상기 노광 빛의 위상 및 강도를 조절하는 프린트되지 않는 피처를 가지며 형성된다.
상기 기술적 과제를 달성하기 위하여 본 발명의 다른 실시예에 따른 포토마스크는 특정 파장의 노광 빛이 투과하는 마스크 기판 및 기판 표면에 형성된 마스크 패턴으로, 마스크 패턴은 프린트되는 긴 바 요소를 포함하며, 프린트되는 긴 바 요소는 프린트되는 긴 바 요소의 폭(W4)을 정의하는 제 1 및 제 2 가장자리, 및 제 1 및 제 2 가장자리 사이에 위치하는 프린트되지 않는 내부 위상 바 요소를 포함하고, 내부 위상 바 요소는, 프린트되는 긴 바 요소의 제 1 및 제 2 내부 가장자리 사이에 프린트되지 않는 긴 스페이스 피처 및 프린트되는 긴 바 요소의 제 1 및 제 2 내부 가장자리들 사이의 긴 스페이스 피처에 정렬된 마스크 기판에 형성된 긴 트렌치를 포함한다.
상기 기술적 과제를 달성하기 위하여 본 발명의 또 다른 실시예에 따른 포토 마스크는 특정 파장의 노광 빛이 투과하는 마스크 기판 및 기판의 표면 상에 형성된 마스크 패턴으로, 마스크 패턴은 제 1 및 제 2 가장자리에 의해 정의되고, 내부를 포함하는 프린트되는 요소와, 노광 빛의 특정 파장에 대해 상기 프린트되는 요소의 제 1 및 제 2 가장자리에서 이미지 콘트라스트를 증가시키기 위한 제 1 및 제 2 가장자리 사이에 형성된 프린트되지 않는 피처를 포함한다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예를 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예는 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다.
발명의 실시예들에 따른 소자들을 제조하기 위해 초점을 측정하고 리소그래피 공정 윈도우를 향상시키기 위한 포토마스크 구조들을 이용하는 실시예에 따른 포토마스크 구조들 및 방법들은 도면들과 함께 보다 완전히 설명될 것이다. 도면들은 다양한 요소들의 두께 및 치수들, 층들 및 영역들 일정한 비례가 아니며, 보다 명확하게 하기 위해 다소 과장된다. 층이 다른 층 또는 기판 "위" 또는 "상부"에라고 언급될 때, 다른 층 또는 기판에 직접적으로 접촉되거나 기판들 사이에도 위치 할 수 있다. 명세서 전문에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다. 이하, 첨부된 도면들을 참조하여 본 발명의 일 실시예를 상세히 설명하기로 한다.
도 5a 및 5b는 본 발명의 일 실시예에 따른 포토마스크를 개략적으로 나타낸다. 특히, 도 5a는 일 실시예에 따른 포토마스크(50)의 상부 평면도를 나타내며, 도 5b는 도 5a의 5B-5B' 선을 따라 자른 포토마스크(50)의 단면도이다. 일반적으로, 포토마스크(50)는 마스크 기판(55) 상에 형성된 마스크 패턴으로 이루어진다. 본 발명의 일 실시예에 따른 마스크 패턴은 긴 바 요소(51)를 포함한다. 긴 막대(51) 요소는 두께(t)와 크리티컬 가장자리들(51a, 51b) 사이의 폭(W4)을 갖는 프린트할 수 있는 피처이다. 긴 막대(51)는 W1 폭의 긴 제 1 빛 차단 요소(52), W2 폭의 긴 제 2 빛 차단 요소(54) 및 제 1 및 제 2 빛 차단 요소 사이에 위치하는 내부 위상 변이 피처(53) (또는 "위상 바"라고 불림)를 포함한다. 위상 바(53)는 마스크 기판(55)의 표면 아래로 d 만큼의 깊이를 갖고 마스크 기판(55)으로 연장되는 W3만큼의 폭을 갖는 내부 영역이다.
일반적으로, 위상 바(53)는 프린트되지 않는 해상도 향상 피처로써 서브-파장 피처들을 프린트하는 동안 공정 윈도우 향상시키기 위해 다양한 마스크 기술들을 이용할 수 있다. 위상 바(53)는 프린트되지 않도록 하기 위해 서브-해상도 치수들(예를 들어, W3 폭은 설계 CD보다 작다)을 갖도록 형성된다. 필수적으로, 위상 바(53)는 빛을 100% 투과하는 긴 바 요소(51)의 내부 빛 투과 영역이다. 이러한 위상 바(53)는 바 요소(51) 둘레의 기판(55)의 노출된 빛 투과 영역을 통해 투과된 빛에 비례하여 위상이 이동한다. 위상 이동량은 위상바(53)의 트렌치 깊이(d), 마 스크 기판(55)의 물질 및 빛의 파장에 따라 결정된다. 일 실시예에서, 위상바(53)는 빛 투과 영역 둘레에서 투과된 빛으로부터 180도 위상이 이동된 빛이 투과하도록 설계된다. 특히, 180도 위상 변화를 제공하기 위해, 트렌치의 깊이(d)는
Figure 112006020962852-PAT00001
에 따라 결정된다. 위상 변이 결과 이미지 콘트라스트를 향상시키는 간섭이 발생한다.
더욱이, 바 요소(51)의 전반적인 투과율은 구성 요소들(52, 53, 54)(예를 들어 W1, W2 및 W3 폭)의 치수 및/또는 빛 차단 쵸소(52, 54)를 형성하는 물질의 종류를 변경함으로써 조절될 수 있다. 특히, 바 요소(51)는 효과적인 투과율
Figure 112006020962852-PAT00002
을 갖는 하나의 바 요소로써 선택적으로 작용하는 3개의 바들을 포함한다. 여기서, T1, T2 및 T3는 각각 제 1 및 제 2 빛 차단 바(52, 53)와 위상 바(53)의 투과율을 나타낸다. 위에서 언급한 바와 같이, 위상 바(53)는 100%의 투과율을 제공한다. 빛 차단 요소(52, 54)의 투과율 T1 및 T2는 물질에 따라 변화할 것이다. 예를 들어, 크롬과 같이 실직적으로 0%의 투과율을 갖는 빛 차단 물질이 이용되거나, MoSi와 같이 약 5~10%의 낮은 투과율을 갖는 빛 차단 물질이 이용될 수 있다. 효과적으로, 이미지 콘트라스트를 최적화하기 위해, 제조된 빛 차단 요소(52, 54)들과 치수는 빛 투과의 백분율 및 외부쪽 빛의 투과 영역과 내부쪽 빛의 투과 영역 사이의 빛의 강도 분포를 조절한다. 바들의 투과율을 변경하지 않는다는 점에서 이것은 종래의 포토마스크 기술들과 구별된다. 바 요소(51)의 다양한 구성 요소들(52, 53, 54)은 피처의 가장자리에서 어느 정도 광학적 으로 광학 콘트라스트를 향상시키는 방법으로 포토레지스트 표면에 걸쳐 빛의 강도가 분포되도록 설계할 수 있다. 이에 따라 바 요소(510)를 프린팅하기 위한 해상도 및 공정 윈도우가 향상된다. 예를 들어, 도 5c는 실시예에 따른 포토마스크(50)를 이용하는 포토리소그래피 공정을 개략적으로 나타낸다. 도 5c는 웨이퍼 레벨에서 기판 상의 포토레지스트층을 따른 전기장 곡선(57)을 나타낸다. 이것은 실시예에 따른 포토마스크(50)를 이용하는 포지티브 레지스트가 코팅된 기판(58)을 노광한 결과이다. 예를 들어, 막대 요소(51)는 MoSi와 같이 특정 파장에서 약 2~10% 범위의 투과율을 갖는 같은 빛 차단 물질(또는 위상 이동 물질)로 형성되고, 위상 바(53)의 트렌치 요소의 깊이는 180도의 위상 이동을 제공하는 것으로 가정한다. 도 5c는 긴 막대 요소(51)에 해당하는 폭(W4)의 프린트된 레지스트 패턴(59)을 나타낸다. 내부 위상 이동 영역(53)은 180도 이동된 빛을 100% 투과시키나, 레지스트 피처(59)는 프린트되지 않는다.
도 6a 내지 6F는 본 발명의 일 실시예에 따른 포토마스크를 제조하는 방법을 개략적으로 나타낸다. 특히, 도 6a 내지 6F는 도 5a 및 5b의 실시예에 따른 포토마스크(50)를 제조하는 방법을 개략적으로 나타낸다. 먼저, 도 6a에 도시된 바와 같이, 마스크 물질 층(51') 및 포토레지스트층(60)들은 마스크 기판(55) 위에 순차적으로 형성된다. 포토레지스트층(60)을 도 6b에 도시된 바와 같이 레지스트 패턴(60a)으로 형성한다. 일 실시예에서, 포토레지스트 패턴(60a)은 미리 정해진 마스크 레이아웃을 따라 포토레지스트층(60)의 원하는 영역들을 노광하기 위해 레이저 노광 공정을 수행하고, 이어서 포토레지스트(60)의 레이저 노광된 영역을 제거하기 위한 현상 공정을 수행함으로써 형성된다.
도 6c에 도시된 바와 같이, 층(51')을 패터닝하고 포토마스크 패턴을 형성하는 알려진 기술들을 이용함으로써 포토레지스트 패턴(60a)은 마스크 물질 층(51')을 식각하기 위한 식각 마스크로 이용된다. 예를 들어, 도 6c에 도시된 바와 같이, 긴 막대 요소(51)를 위한 빛 차단 요소 (52, 54)들은 제 1 식각 공정동안 형성된다. 도 6d에 도시된 바와 같이, 제 2 포토레지스트 패턴(61)은 빛 차단 요소(52, 54) 사이의 스페이스 영역을 노광하여 형성된다. 도 6e에서, 식각 공정은 마스크 기판 내로 원하는 깊이(d)를 갖도록 트렌치를 식각하기 위해 식각 마스크로 포토레지스트 패턴(61)을 이용하여 형성된다. 도 6f에서, 포토레지스트 마스크(61)는 제거되고 나면, 위에서 설명한 도 5a 및 5b와 같은 포토마스크 구조가 완성된다. 도 6a 내지 6F의 일 실시예에 따른 방법에서, 마스크 패턴(51)을 형성하기 위해 단지 두 번의 마스크 공정이 수행된다. 마스크 패턴을 형성하고 위상의 가장자리를 정의하는 것을 포함하는 제 1 마스크 공정(도 6a, 6b)는 레이정 공정을 이용하여 정확하게 수행될 수 있는 미세한 공정이다. 마스크 기판(55)으로 위상 바의 트렌치를 식각하는 제 2 마스크 공정(도 5D, 5E)는 덜 미세하다. 특히, 제 2 마스크 공정은 트렌치들이 빛 차단 요소(52, 54)에 의해 자기 정렬되는 사실 때문에 포토마스크(61)의 정확한 오버레이를 요구하지 않는다. 특히, 포토레지스트 마스크(61)는 식각된 마스크 기판(55)의 다른 영역들을 마스크하는 반면 빛 차단 요소(52, 54)는 기판(55)에 트렌치를 식각할 때 식각 마스크로써 필히 제공된다.
본 발명에 따른 프린트되지 않는 내부 위상 이동(nonprinting inner phase shifting) 영역들을 갖는 포토마스크 구조들을 이용하여 얻을 수 있는 향상된 공정 윈도우들을 나타내기 위해, 도 7 및 도 8에 도시된 포토마스크 패턴들에 관한 다양한 시뮬레이션들이 수행되었다. 특히, 도 7은 실질적으로 서로 평행하고 피치 P만큼 분리되어 배열된 긴 바들(71)(프린트할 수 있는 패턴들)을 포함하는 통상적인 포토마스크 패턴(70)을 나타낸다. 또한 패턴(70)은 긴 바들(71) 사이에 배치된 다수의 서브-해상도 (프린트할 수 없는) 보조 피처들(72)(AF, assistant features)을 포함한다. 보조 피처들(72)은 회절 효과를 보상하기 위해 마스크에서 제공된 프린트될 수 없는 피처들이다. 도 8은 도 7과 유사한 패턴을 나타내고 있으나, 여기서 메인 바들(71)이 도 5a 및 5bb갖는 바들(81)로 대체되어 있다.
포토리소그래피 시뮬레이션들은 아래의 조건들과 같은 목표 CD 65nm의 마스크 패턴(70, 80)을 이용하여 수행되었다. 광원은 DUV/ArF (193nm), NA(numeric aperture)=0.85인 4 : 1 배율의 퀘이사 조명(qusar illumination), 0.53 내지 0.80 범위의 노광량으로 정의되었다. 마스크들(70, 80)은 6.5 투과율을 갖는 마스크 물질 및 180도 위상 이동을 제공하는 두께를 갖는 감쇠형 PSM 마스크들을 이용하였다. 피치 P는 600nm로 설정되었고, 바들(71, 81)의 폭은 105nm이며, 보조 피처들(72)은 35nm로 정의되었다. 추가로, 그림 8의 바 요소들(81)에 대해, 빛 차단 요소들 및 내부 위상 이동 영역의 폭들은 동일한 폭(35nm/35nm/35nm)을 갖는 것으로 정의되었으며, 트렌치의 깊이는 특정 빛의 파장에 대해 180도 위상 이동을 제공하는 것으로 정의되었다.
도 9a 및 9b는 위에서 설명한 조건하에서 도 7의 전형적인 마스크 패턴에 대 한 시뮬레이션 결과를 나타낸다. 특히, 도 9a는 0.53부터 0.80까지 변화된 노광 문턱값에 대한 곡선들을 갖는 Bossung 그래프 (90)를 나타낸다. 선들(91, 92, 93)은 상한 값(CD+ = 69nm) 및 하한 값(CD- = 61nm)의 목표 CD(65nm)를 나타내며, 목표 CD로부터 약 ±6.2%의 마진(margin)을 제공한다. 도 9b는 노광 및 초점 변화에 따라 높고 낮은 CD 수치의 곡선들(96, 97)을 각각 포함하는 공정 윈도우(95)(CD 공정 윈도우)를 그래프로 나타낸다. 도 7의 실시예에 따른 패턴에 대한 시뮬레이션에서, 최적 초점은 0.2㎛으로, 최적 노광량은 20으로 정의되었다. 이와 같은 조건에서, DOF 및 EL은 0과 동일하다(이러한 변수들은 원하는 공정 윈도우를 벗어나게 된다.).
도 10a 및 10b는 위에서 설명한 조건하에 도 8의 마스크 패턴에 대한 시뮬레이션 결과들을 나타낸다. 특히, 도 10a는 0.53부터 0.80까지 변화된 노광 문턱값에 대한 곡선들을 갖는 Bossung 그래프 (100)를 나타낸다. 선들(101, 102, 103)은 상한 값(CD+ = 69nm) 및 하한 값(CD- = 61nm)의 목표 CD(65nm)를 나타낸다. 이 값들은 목표 CD로부터 약 ±6.2%의 CD 변화 마진(margin)에 기초한 것이다. 도 10b는 노광 및 초점 변화에 따라 높고 낮은 CD 수치의 각각의 곡선들(106, 107)을 포함하는 공정 윈도우(105)(CD 공정 윈도우)를 그래프로 나타낸다. 도 8의 실시예에 따른 패턴에 대한 이와 같은 시뮬레이션에서, 최적 초점은 0㎛으로, 최적 노광량은 28.30으로 정의되었으며, 도 10b에 도시된 바와 같이 사용할 수 있는 공정 윈도우(108) 결과를 얻는다. 공정 윈도우(108)는 상대적으로 넓으며, 이는 상당한 초점 오차의 여유(capability)(DOF는 0.25㎛이다.)가 있음을 의미한다. 공정 윈도우 (108)는 높이가 상대적으로 낮으며, 이는 상대적으로 작은 노광 관용도(EL = 0.71%)가 있음을 의미한다.
도 11a 및 도 11b는 위에서 설명한 조건을 갖는 도 8의 마스크 패턴에 대한 시뮬레이션 결과를 나타내는데, 다만, 도 8에서 긴 바들(81)은 내부 위상 이동 영역들이 폭 55nm를 갖고, 빛 차단 요소들이 동일한 폭인 25nm을 갖도록 하였다.(반면, 위에서 설명한 시뮬레이션에서 처럼 전체적인 폭은 105nm로 유지한다.) 도 11a는 0.53부터 0.80까지 변화된 노광 문턱값에 대한 곡선들을 갖는 Bossung 그래프 (100)를 나타낸다. 선들(1101, 1102, 1103)은 목표 CD(65nm)를 나타내며, 상한 값(CD+ = 69nm) 및 하한 값(CD- = 61nm)은 목표 CD로부터 약 ±6.2%의 CD 변화 마진(margin)에 기초한 것이다.
도 11b는 노광 및 초점 변화에 따라 높고 낮은 CD 수치의 곡선들(1106, 1107) 각각을 포함하는 공정 윈도우(105)(CD 공정 윈도우)를 그래프로 나타낸다. 도 8의 실시예에 따른 패턴에 대한 이와 같은 시뮬레이션에서, 최적 초점은 0㎛, 최적 노광량은 29.10으로 정의되었으며, 도 10b에 도시된 바와 같이 사용할 수 있는 공정 윈도우(1108) 결과를 얻는다. 공정 윈도우(1108)는 상대적으로 넓으며, 이는 상다한 초점 오차의 여유(capability)(DOF = 0.25㎛)가 있음을 의미한다. 공정 윈도우(1108)는 증가된 높이를 가지며(도 10b와 비교하여), 이는 도 10b의 경우에 비해 증가된 노광 관용도(EL = 3.44%)가 있음을 의미한다.
도 11a 및 11b의 Bossung 곡선들은 도 9a의 Bossung 곡선들에 비해 증가된 CD 선형도(linearity)를 나타낸다. 더욱이, 도 11a의 Bossung 곡선들은 도 10a의 경우에 비해 증가된 CD 선형도를 나타낸다. 전반적으로, 시뮬레이션 결과들은 프린트되지 않는 내부 위상 이동 영역들을 갖도록 디자인된 마스크 피처들을 이용하여 서브-파장 피처들의 정밀한 프린팅의 위해 얻어질 수 있는 증가된 공정 윈도우를 나타낸다. 도 5a 및 도 5b에 나타난 내부 위상 막대 패턴들을 갖는 예시적인 막대 패턴들은 단지 예시적인 것이며, 본 발명의 사상은 다른 형태 및 구조의 서브-파장의 피처들을 프린트 위한 공정 윈도우를 증가시키기 위해 용이하게 적용될 수 있다.
본 발명의 다른 측면에서, 내부 위상 이동 영역들을 갖는 마스크 피처들은 테스트 패턴들을 제조하기 위해 사용되며, 이 테스트 패턴은 포토리소그라피 공정 동안 초점 변화의 크기 및 방향이 보다 효율적으로 측정될 수 있도록 한다. 이에 따라, 상기 마스크 패턴들은 노광 시스템의 초점이 CD 균일성을 나타내도록 조절될 수 있게 한다. 실제로, 이하에서 설명하는 본 발명의 실시예들에 따라, 초점 측정과 함께 노광 공정의 자동 조절이 수행될 수 있으며, 이렇게 하여 포토레지스트가 초점 깊이 범위 내에 있는 투사 광학 시스템 최적의 이미지 형성 평면(즉, 최적의 초점 평면)에 맞춰질 수 있다. 그리하여 높은 해상도 및 정밀도로 포토마스크 패턴들이 포토레지스트층에 전사될 수 있다. 투사 광학 시스템의 최적 초점 평면 위치로부터 초점 변화의 크기 및 방향을 측정하는 예시적인 방법들이 제공된다.
도 12a 및 12b는 본 발명의 일 실시예에 따른 초점을 검출하는 방법을 개략적으로 나타낸다. 특히, 도 12a는 본 발명의 일 실시예에 따른 마스크 기판(1201) 및 마스크 테스트 패턴(1202)을 포함하는 실시예에 따른 포토마스크(1200)을 나타 낸다. 마스크 테스트 패턴(1202)는 피치(P)만큼 떨어진 두개의 테스트 구조 T1 및 T2를 포함한다. 일반적으로, 테스트 구조(T1, T2)는 각각 내부 위상 이동 영역들(B1, B2)를 갖는 긴 막대 요소들이다. 테스트 구조들은 도 5를 참조하여 설명한 긴 막대 요소와 구조에 있어 유사하며, 도 6을 참조하여 설명한 방법들을 사용하여 제조될 수 있다. 테스트 구조들 T1 및 T2는 위상 막대 B1 및 B2에 의해 이루어진 위상 이동 차이가 180도가 되도록 디자인된다. 예를 들면, 제 1 테스트 구조 T1은 주변의 빛 투과 영역들 내에서 투과되는 빛과 90도 위상 이동된 빛을 투과하도록 디자인된 위상 막대 B1을 갖도록 형성될 수 있다. 특히, 90도 위상 이동을 일으키려면, 트렌치의 깊이(d1)는
Figure 112006020962852-PAT00003
에 따라 결정된다. 제 2 테스트 구조(T2)는 주변의 빛 투과 영역들 내에서 투과되는 빛과 270도 위상 이동된 빛을 투과하도록 디자인된 위상 바(B2)를 갖도록 형성될 수 있다. 특히, 270도 위상 이동을 일으키려면, 트렌치의 깊이(d2)는
Figure 112006020962852-PAT00004
에 따라 결정된다. 테스트 구조들(T1, T2)는 가장자리들에서 동일한 CD를 갖도록 형성되며, 여기서 CD는 마스크 패턴에 대한 가장 작은 CD와 동일하도록 선택된다. 1 마이크론 또는 이보다 작은 CD들에 대해, 피치(P)는 CD의 약 10배 또는 그 이상이 되도록 선택된다.
도 12a의 마스크 패턴은 도 12b에 도시된 프린트된 테스트 패턴을 갖도록 빛에 노광된다. 특히, 도 12b는 그 위에 형성된 포토레지스트 패턴(1211)을 갖는 기판(1210)을 개략적으로 나타낸다. 포토레지스트 패턴(1211)은 도 12a에 있는 각각 의 마스크 테스트 패턴(T1, T2)에 대응되는 프린트된 테스트 패턴(T1', T2')를 포함한다. 프린트된 테스트 패턴(T1')는 폭 CD1을 갖고, 프린트된 테스트 패턴(T2')는 폭 CD2를 갖는것으로 나타나 있다. 도 12a에서, 마스크 테스트 패턴들(T1, T2)는 같은 CD 폭을 갖도록 형성된다. 본 발명의 일 실시예에 따라, 용이하게 초점 변화를 측정하기 위해, 동일한 조명에 의해 형성된 프린트된 테스트 패턴(T1', T2')의 폭 차이(즉, CD2 - CD1)가 측정되고 분석될 수 있다. 특히, 도 13a 내지 13b를 참조하여 이하에서 상세하게 설명될 것이지만, 폭 차이 CD2 - CD1는 초점 변화의 크기 및 방향을 결정하기 위해 사용된다. 그리하여 포토리소그라피 공정 동안 초점 조정이 이루어진다.
도 13a 내지 도 13c는 본 발명의 일 실시예에 따른 초점 측정 방법을 개략적으로 나타내는데, 이러한 방법은 초점 변화의 크기 및 방향이 포토리소그라피 공정 동안 프린트된 테스트 구조들의 측정된 CD 값들에 기초하여 결정될 수 있게 한다. 특히, 도 13a 및 13b는 도 12a에 도시된 바와 같은 예시적인 마스크 테스트 패턴에 대해 실험 및/또는 컴퓨터 시뮬레이션에 의해 유도된 초점-노광 메트릭스 테스트 데이터를 그래프로 나타낸다. 도 13a 및 도 13b는 초점 및 노광 에너지 변화에 따른 각각의 인쇄된 테스트 구조들(도 12b의 T1', T2')에 대한 CD(라인 폭) 변화를 나타내는 Bossung도이다. 초점-노광 메트릭스 테스트 데이터는 수학적 모델들을 수립하는데 사용되는데, 이 모델들은 프린트된 테스트 구조들에 대해 측정된 CD 값들에 따른 초점 및 노광 변화들 사이의 상관 관계를 정의하고, 일시적인 (웨이퍼간) 변화들 또는 다이(die) 내에서 웨이퍼의 (공간적) 변화를 확인한다.
도 13c는 도 12b에서 프린트된 테스트 구조들(T1', T2')에 대한 CD (CD2 - CD1) 측정치의 차이에 따른 (최적 초점으로부터의) 초점 변화의 크기 및 방향을 결정하는 방법을 그래프로 나타낸다.
도 12a의 예시적인 마스크 테스트 패턴은 일정한 방식으로 디자인되는데. 이 방식은 테스트 구조들 (T1, T2)의 전-초점(through-focus) CD 특성들이, 대응되는 Bossung 곡선들을 갖고, 이 곡선들이 최적 초점 위치(예를 들면, 0 초점 오차)를 기준으로 하여 대향하는 방향으로 이동되도록 하고, 실질적으로 서로 대칭적인 이미지를 갖도록 하는 것이다. 특히, 도 13a에 도시된 바와 같이, 예시적인 테스트 구조(T1)(90도)에 대한 Bossung 곡선들은 초점 오차 위치 D+에 그 중심이 놓여지고, 최적 초점 위치 D(일 실시예에서 0 초점 오차를 갖는 것으로 가정된다.)의 오른쪽으로 이동된다. 더욱이, 도 13b에 도시된 바와 같이, 예시적인 테스트 구조(T2)(270도)에 대한 Bossung 곡선들은 초점 오차 위치 D-에 그 중심이 놓여지고, 최적 초점 위치 D의 왼쪽으로 이동된다. 또한, 도 13a의 Bossung 곡선들은 도 13b의 Bossung 곡선들과 대칭적이 이미지들이다. 다시 말하면, 특정 노광 에너지에 대해, D+의 크기는 D-와 동일하고 초점 변화들은 측정된 CD2의 변화와 반대되는 측정된 CD1의 변화를 야기한다. 이러한 특징은 일정한 관계를 나타내는데, 이는 특정 공정에 대해 최적 초점 위치(예를 들면, 0 초점 오차)로부터의 ±초점 변화에 따라 CD 변화 차이(CD2-CD1)의 크기가 선형적으로 변화하는 관계이다.
예를 들면, 도 13은 도 13a 및 13b의 윈도우에 나타난 데이터에 대해 초점 오차 (㎛, x-축)에 따른 CD(CD2-CD1) 변화(nm, y-축)를 나타낸 것이다. 일 실시예 에서, 0인 초점 오차 위치 D(최적 초점)에서, CD 차이 (CD2-CD1) = 0는 상기 공정의 초점이 최적 초점에 있다는 것을 의미한다. 점 P1에서, CD2-CD1의 수치가 약 ±20nm인 것은 상기 공정에서 약 -0.10마이크론 정도의 초점 오차가 있는 상태로 초점 변화가 있음을 의미한다. 반면, 점 P2에서, CD2-CD1의 수치가 약 -20nm인 것은 상기 공정에서 약 +0.10마이크론 정도의 초점 오차가 있는 상태로 초점 변화가 있음을 의미한다 이에 따라, 도 13c는 초점 변화의 크기 및 방향 모두를 측정할 수 있는 방법을 나타낸다.
도 12의 예시적인 마스크 테스트 패턴은 포토마스크 구조들에 구현되어 프린트된 테스트 구조들을 제공하는데, 이러한 구조들은 리소그라피 제조 공정에 있어서, 프린트된 테스트 구조들의 측정된 CD들(라인 폭들) 사이의 상대적인 차이에 기초하여, 초점 변화의 크기 및 방향을 정확하고 효율적으로 결정하는데 사용될 수 있다. 포토마스크 구조들은 회로 레이아웃을 갖도록 제조될 수 있으며, 하나 또는 그 이상의 테스트 패턴 구조들이 계획에 따라 반도체 소자 패턴 내에 서로 다른 위치에 배치될 수 있는데, 얻어진 프린트된 테스트 패턴들이 CD 측정에 있어서 쉽게 발견될 수 있고 확인될 수 있으면서도 프린트된 테스트 패턴들을 갖는 반도체 소자의 성능을 저해하지 않도록 배치된다. 예를 들면, 포토마스크 테스트 구조들은 얻어진 프린트된 테스트 구조들이 웨이퍼로부터 칩을 분리할 수 있게 하는 서로 다른 웨이퍼 다이 사이의 스크라이브(scribe) 라인들 (또는 공간들) 내에 형성될 수 있다.
특정한 포토리소그라피 공정에 대해, 도 13a 및 도 13b에 나타난 바와 같은 초점-노광 메트릭스 데이터는 특정 공정에 대한 각 단계의 포토마스크에 대해 얻어질 수 있으며 그리하여 (도 13c에 그래프로 나타난 바와 같은) 프린트된 테스트 구조들의 CD들 사이의 차이에 기초한 초점 오차의 정도와 방향을 정량화하는 모델 또는 공식을 수립할 수 있다. 예를 들면, 포토마스크 제조에 앞서, 리소그라피 제조 공정들을 정확히 시뮬레이션하고 리소그라피 공정 변수들에 따른 (도 12a에 나타난 바와 같은) 예시적인 마스크 테스트 패턴들을 갖는 회로 레이아웃의 행동을 예측하기 위해 포토리소그라피 시뮬레이션 장치들이 사용될 수 있다. 예를 들면, 주어진 레이아웃 패턴에 대해 공정 변수들(예를 들어, 초점 변화)의 변화에 따른 최소 선폭 변화를 시뮬레이션하기 위해, 알려진 상업용 시뮬레이션 장비를 사용하여 시뮬레이션이 수행될 수 있다. 시뮬레이션을 위해, 초점, 노광량 및 스텝퍼 설정, 레지스트 변수 및 CD에 영향을 미치는 다른 변수들과 같은 포토리소그라피 장비의 설정 값들이 시뮬레이션 장비에 입력되고 처리될 수 있다. 시뮬레이션 장치는 노광 장치의 노광량 및 초점 변화에 따른 최소 선폭의 변화를 계산할 수 있으며, 초점-노광량 데이터 행렬을 만들어 낸다. 리소그라피 시뮬레이션 장비들은 전체적인 초점 및 노광 윈도우에 대한 포괄적인 리소그라피 공정 모델을 수립하는 방법을 포함한다. 시뮬레이션 결과들은 테스트 레티클들을 제조하는데 사용될 수 있다. 이러한 테스트 레티클들은 실험적으로 FEM(focus-exposure matrix) 데이터를 얻기 위해 사용될 수 있는데, 이 데이터들은 시뮬레시션 데어터와 함께 예를 들면, 초점 변화(도 13)를 결정하는 리소그라피 공정 모델 및 공식을 수정 또는 최적화하는데 사용된다.
도 14는 포토리소그라피 시스템(1400)의 개략적인 다이어그램(diagram)으로, 본 발명의 일 실시예에 따른 초점 측정 시스템을 포함한다. 일반적으로, 시스템(1400)은 노광 시스템(1401), 포토레지스트 현상 시스템(1402), CD 측정 시스템(1403), 초점 측정 시스템(1404), 공정 변수 모델 및 FEM 데이터 저장소(repository)(1405), 및 공정 변수 제어 시스템(1406)을 포함한다. 노광 시스템(1401)은 본 발명의 일 실시예에 따른 테스트 구조 패턴뿐만 아니라, 회로 레이아웃 패턴을 포함하는 마스크 패턴을 가지는 포토마스크를 통하여 포토레지스트가 코팅된 웨이퍼를 노광시키기 위한 노광 장치를 포함한다.
노광 시스템(1401)은 축소(reduction) 투사 노광 시스템(스텝퍼)과 같은 알려진 시스템들 중 어느 하나를 포함할 수 있는데, 여기서 마스크 패턴은 축소된 크기로 포토레지스트 위에 투사된다. 최적 초점 및 최적 노광량과 같은 노광 장비의 초기 공정 변수들은 특정 포토마스크와 관련된 FEM 데이터에 의해 결정되는 최적의 변수들에 맞추어 설정된다.
노광 후, 노광된 웨이퍼는 현상 시스템(1402)으로 보내어지는데, 여기서 노광된 포토레지스트 패턴은 포스트 노광 베이크 공정(post exposure bake process)을 거친 다음, 포토레지스트의 노광된(또는 노광되지 않은) 영역을 제거하기 위한 화학 공정을 거친다. 노광 및 현상 공정의 결과로 패턴화된 레지스트층을 갖는 웨이퍼를 얻는다. 현상 공정 후에, 레지스트가 패턴화된 웨이퍼는 CD 측정 시스템(1403)으로 보내지는데, 여기서 예를 들면, 프린트된 테스트 구조들의 CD들이 측정된다.
CD 측정 시스템(1403)은 웨이퍼 분석 시스템의 일부일 수 있는데, 이 분석 시스템은 자동 및/또는 수동으로 웨이퍼를 분석하여 결함을 발견하거나 패턴들의 수치를 측정하는 등의 분석을 행할 수 있다. CD 측정 장비(1403)는 광학 오버레이(optical overlay) 장비들, 산란 측정기(scattrometers), 주사 전자 현미경(scanning electron microscope), 원자력 현미경(atomic force microscope)을 포함하는 알려진 계측 장비들을 이용하여 수행될 수 있다.
CD 측정 시스템 장비(1403)는 프린트된 테스트 구조(들)의 CD를 측정할 수 있는데, 라인 폭을 광학적으로 직접 측정하거나 이미지 처리 방법을 사용하여 측정할 수 있으며, 이러한 이미지 처리 방법은 특정 포토마스크 및 노광 조건들과 관련된 하나 또는 그 이상의 기본(baseline) 이미지들을 현재의 광학 이미지와 비교함으로 CD를 결정한다.
초점 측정 시스템(1404)은 측정된 CD 데이터를 처리하여, 웨이퍼가 프린트될 때 초점 변화를 측정한다. 특히, 위에서 설명한 바와 같이, 리소그라피 공정의 초점 변화의 크기 및 방향은 프린트된 테스트 구조들의 측정된 CD들의 차이를 결정하고, 특정한 프린트된 테스트 구조에 대한 해당 공정 변수의 수학적 모델(들)을 이용하여 CD 차이 값을 초점 및 노광 변화와 연관시킴으로써 결정할 수 있다. 만약 측정된 CD들이 변화한다면, 초점 측정 시스템(1404)은 적절한 제어 신호 및 변수를 공정 변수 제어 시스템(1406)에 발생 및 출력하여 노광 장비(1401)의 공정 변수들(초점)을 필요에 따라 조절하게 된다. 일 실시예에서, 측정 시스템(1404) 및 제어 시스템(1406)의 기능들은 전체적으로 자동화될 수 있다. 다른 실시예에서, 이러한 기능들은 반 자동화 될 수 있는데, 여기서, 예를 들면, 초점 측정 시스템(1404)은 초점 변화에 관하여 작업자에게 경보음을 울리며, 이리하여 작업자가 공정 변화를 확인하게 하고 그런 다음 수동으로 노광 시스템의 공정 변수(들)을 조절하게 한다거나, 필요한 조절(들)을 위하여 공정 변수 제어 시스템에 적절한 명령을 제공하게 할 수 있다.
여기서 설명한 예시적인 시스템 및 방법들은 하드웨어, 소프트웨어, 펌웨어(firmware), 특별한 목적의 프로세서들 또는 이것들의 다양한 조합 형태로 수행될 수 있다. 일 실시예에서, 상기 예시적인 실시예들은 하나 또는 그 이상의 프로그램 저장 장치들(예를 들면, 하드 디스크, 마그네틱 플로피 디스크, RAM, CD ROM, DVD, ROM, 플래쉬 메모리 등)로 구체화되며 적절한 구조(architecture)를 포함하는 어떠한 장치 또는 기기로도 실행 가능한 프로그램 설명을 포함하는 에플리케이션(application)인 소프트웨어 내에서 수행될 수 있다. 첨부된 그림들에 도시된 예시적인 시스템 모듈들 및 방법의 단계들은 소프트웨어 내에서 보다 바람직하게 수행될 수 있으므로, 시스템 구소 요소들(또는 공정 단계들의 흐름) 사이의 실제 관계들은 상기 에플리케이션이 프로그램되는 방식에 따라 다르다. 여기서 설명한 바에 기초하여, 관련된 기술 분야에서 통상의 기술을 가진 자는 본 발명으로서 수행된 것(implementation)들 및 이와 유사한 것들 또는 본 발명의 구성(configuration)들을 유추해 낼 수 있을 것이다.
본 발명의 일 실시예에 따른 마스크 테스트 패턴들은 브라이트 필드(bright field), 다크 필드(dark field), 또는 위상 이동 마스크들과 함께 사용될 수 있으며, 또는 다른 발광 소스(radiation source)를 위해 디자인된 레티클들과 함께 사 용될 수 있고, 그리고 포지티브 또는 네가티브 포토레지스트, 이중층, 다중층 또는 표면 이미징(imaging) 레지스트를 포함하는 리소그라피 공정에 사용될 수 있다.
비록 첨부된 도면들을 참조하여 실시예들이 설명되었지만, 본 발명의 일 실시예에 따은 여기서 설명한 실시예에 한하는 것은 아니며, 본 발명의 영역 또는 사상을 벗어남이 없이 본 발명이 속하는 분야에서 통상의 기술을 가진 자에 의해 쉽게 다양한 변화 및 변형이 예상될 수 있다. 모든 변화 및 변형들은 첨부된 청구항에 의해 정의된 바와 같이 본 발명의 사상 내에 포함되어야 한다.
상기한 바와 같이 본 발명의 향상된 포토리소그래피 공정 윈도우를 제공하는 포토마스크 구조 및 그 제조 방법에 따르면 공정 윈도우를 충분히 확보할 수 있으므로, 특정 피치에서 공정 마진 부족으로 인한 금진 피치의 발생을 방지할 수 있다.

Claims (30)

  1. 특정 파장의 노광 빛이 투과하는 마스크 기판; 및
    상기 마스크 기판의 표면 상에 형성된 마스크 패턴으로, 상기 마스크 패턴은 반도체 기판으로 투과되는 이미지의 제 1 패턴을 포함하며, 상기 제 1 패턴은 프린트되는 피처를 포함하되, 상기 프린트되는 피처는 상기 노광 빛의 위상 및 강도를 조절하는 프린트되지 않는 피처를 가지며 형성된 포토마스크.
  2. 제 1 항에 있어서,
    상기 포토마스크는 바이너리 마스크이며, 상기 제 1 패턴은 특정 파장에서 약 0%의 투과율을 갖는 물질로 형성된 포토마스크.
  3. 제 1 항에 있어서,
    상기 포토마스크는 위상 변이 마스크이며, 상기 제 1 패턴은 특정 파장에서 0% 보다 큰 투과율을 갖는 물질로 형성된 포토마스크.
  4. 제 3 항에 있어서,
    상기 포토마스크는 내장된 감쇠형 위상 변이 마스크인 포토마스크.
  5. 제 1 항에 있어서,
    상기 프린트되는 피처는 상기 기판 표면에 형성된 긴 바 요소이며, 상기 긴 바 요소는 긴 바 요소의 폭(W4)을 정의하는 제 1 및 제 2 가장자리를 갖으며, 상기 긴 바 요소는 상기 제 1 가장자리와 상기 제 1 내부 가장자리 사이만큼의 폭(W1)을 갖는 제 1 빛 차단 바, 상기 제 2 가장자리와 제 2 내부 가장자리 사이만큼의 폭(W2)을 갖는 제 2 빛 차단 바, 및 상기 제 1 및 제 2 빛 차단 바의 상기 제 1 및 제 2 내부 가장자리 사이에 배치된 폭(W3)의 내부 위상 이동 피처로 형성되며, 상기 W1, W2 및 W3는 서브-해상도 치수를 갖는 포토마스크.
  6. 제 5 항에 있어서,
    상기 제 1 및 제 2 빛 차단 바들은 특정 파장에서 약 0%보다 큰 투과율을 갖는 물질로 형성된 포토마스크.
  7. 제 6 항에 있어서,
    상기 제 1 및 제 2 빛 차단 바들은 특정 파장에서, 상기 제 1 및 제 2 빛 차단 바들에 정렬된 상기 마스크 기판의 영역들을 통해 투과된 광선들과, 상기 긴 바 요소의 상기 제 1 및 제 2 가장자리에 인접한 상기 마스크 기판의 노출된 영역들을 통해 투과된 광선들 사이에 약 180도 이하의 위상 차를 결정하는 두께(t)를 가지며 형성된 포토마스크.
  8. 제 5 항에 있어서,
    상기 내부 위상 변이 피처는 상기 각각의 제 1 및 제 2 빛 차단 바들의 상기 제 1 및 제 2 내부 가장자리들 사이의 상기 마스크 기판에 형성된 폭(W3)의 긴 트렌치를 포함하는 포토마스크.
  9. 제 8 항에 있어서,
    상기 긴 트렌치는 상기 제 1 및 제 2 빛 차단 요소들의 상기 제 1 및 제 2 가장자리와 인접한 상기 마스크 기판의 노출된 영역들을 통해 투과된 광선들과, 상기 제 1 및 제 2 빛 차단 바들의 상기 제 1 및 제 2 내부 가장자리들 사이의 상기 긴 트렌치에 정렬된 상기 마스크 기판의 노출된 영역을 통해 투과된 광선들 사이에 약 180도 위상 차이를 결정하는 깊이를 가지며 형성된 포토마스크.
  10. 제 1 항에 있어서,
    상기 마스크 패턴은 상기 제 1 패턴의 하나 이상의 프린트되는 피처들의 빛의 강도를 조절하거나, 상기 제 1 패턴의 하나 이상의 프린트되는 피처들의 위상을 조절하거나, 상기 제 1 패턴의 하나 이상의 프린트되는 피처의 위상 및 강도를 조절하는 하나 이상의 서브-해상도 피처들로 이루어진 제 2 패턴을 더 포함하는 포토마스크.
  11. 제 5 항에 있어서,
    상기 W1, W2 및 W3 폭은 실질적으로 동일한 포토마스크.
  12. 제 5 항에 있어서,
    상기 W1 및 W2 폭은 실질적으로 동일하며, 상기 W3보다 작은 포토마스크.
  13. 제 5 항에 있어서,
    상기 긴 바는 반도체 기판 상에 형성될 트렌치 패턴에 해당하는 포토마스크.
  14. 특정 파장의 노광 빛이 투과하는 마스크 기판; 및
    상기 기판 표면에 형성된 마스크 패턴으로, 상기 마스크 패턴은 프린트되는 긴 바 요소를 포함하며,
    상기 프린트되는 긴 바 요소는 상기 프린트되는 긴 바 요소의 폭(W4)을 정의하는 제 1 및 제 2 가장자리, 및 상기 제 1 및 제 2 가장자리 사이에 위치하는 프린트되지 않는 내부 위상 바 요소를 포함하고,
    상기 내부 위상 바 요소는,
    상기 프린트되는 긴 바 요소의 상기 제 1 및 제 2 내부 가장자리 사이에 프린트되지 않는 긴 스페이스 피처; 및
    상기 프린트되는 긴 바 요소의 상기 제 1 및 제 2 내부 가장자리들 사이의 상기 긴 스페이스 피처에 정렬된 상기 마스크 기판에 형성된 긴 트렌치를 포함하는 포토마스크.
  15. 제 14 항에 있어서,
    상기 포토마스크는 바이너리 마스크이며, 상기 마스크 패턴은 특젖ㅇ 파장에서 약 0%의 투과율을 갖는 물질로 형성된 포토마스크.
  16. 제 14 항에 있어서,
    상기 포토마스크는 위상 변이 마스크이며, 상기 마스크 패턴은 특정 파장에서 0%보다 큰 투과율을 갖는 물질로 형성된 포토마스크.
  17. 제 16 항에 있어서,
    상기 포토마스크 장치는 내장된 감쇠형 위상 변이 마스크인 포토마스크.
  18. 제 14 항에 있어서,
    상기 제 1 가장자리 및 내부 가장자리는 폭(W1)의 제 1 바 요소를 정의하며, 상기 제 2 가장자리 및 내부 가장자리는 폭(W2)의 제 2 바 요소를 정의하며, 상기 제 1 및 제 2 가장자리 및 내부 가장자리는 폭(W3)의 상기 스페이스 피처를 정의하며, 상기 W1, W2 및 W3는 서브-해상도 치수를 갖는 포토 마스크.
  19. 제 18 항에 있어서,
    상기 W1, W2 및 W3 폭들은 실질적으로 동일한 포토마스크.
  20. 제 18 항에 있어서,
    상기 W1 및 W2 폭들은 실질적으로 동일하고, 상기 W3 폭보다 작은 포토마스크.
  21. 제 18 항에 있어서,
    상기 제 1 및 제 2 바 요소들은 특정 파장에서 약 0%의 투과율을 갖는 물질로 형성된 포토마스크.
  22. 제 21 항에 있어서,
    상기 제 1 및 제 2 바 요소들은 특정 파장에서, 상기 제 1 및 제 2 바 요소들에 정렬된 상기 마스크 기판의 영역들을 통해 투과된 광선들과, 상기 프린트되는 긴 바요소의 상기 제 1 및 제 2 가장자리에 인접한 상기 마스크 기판의 노출된 영역들을 통해 투과된 광선들 사이에 약 180도의 위상 차이를 결정하는 두께(t)를 갖는 포토마스크.
  23. 제 14 항에 있어서,
    상기 긴 트렌치는 상기 프린트되는 긴 바용소의 상기 제 1 및 제 2 가장자리에 인접한 상기 마스크 기판의 노출된 영역들을 통해 투과된 광선들과, 상기 프린트되는 긴 바요소의 상기 제 1 및 제 2 내부 가장자리들 사이의 상기 긴 트렌치에 정렬된 상기 마스크 기판의 영역들을 통해 투과된 광선들 사이에 약 180도 위상 차 이를 결정하는 깊이를 가지며 형성된 포토마스크.
  24. 제 14 항에 있어서,
    상기 마스크 패턴은 상기 마스크 패턴의 하나 이상의 프린트되는 요소의 빛의 강도를 조절하거나, 상기 마스크 패턴의 하나 이상의 프린트되는 요소의 위상을 조절하거나, 상기 마스크 패턴의 하나 이상의 프린트되는 요소의 빛 및 위상을 조절하는 하나 이상의 서브-해상도 피처들을 더 포함하는 포토마스크.
  25. 특정 파장의 노광 빛이 투과하는 마스크 기판; 및
    상기 기판의 표면 상에 형성된 마스크 패턴으로, 상기 마스크 패턴은 제 1 및 제 2 가장자리에 의해 정의되고, 내부를 포함하는 프린트되는 요소와, 노광 빛의 특정 파장에 대해 상기 프린트되는 요소의 상기 제 1 및 제 2 가장자리에서 이미지 콘트라스트를 증가시키기 위한 제 1 및 제 2 가장자리 사이에 형성된 프린트되지 않는 피처를 포함하는 포토마스크.
  26. 제 25 항에 있어서,
    상기 프린트되지 않는 피처는 상기 제 1 및 제 2 가장자리 사이의 상기 프린트되는 요소에 정렬된 상기마스크 기판의 영역을 노출하는 스페이스 피처, 및 상기 스페이스 피처에 정렬되고 상기 마스크 기판에 형성된 트렌치 피처를 포함하는 포토마스크.
  27. 제 26 항에 있어서,
    상기 트렌치 피처는 상기 프린트되는 요소의 상기 제 1 및 제 2 가장자리에 인접한 상기 마스크 기판의 노출된 영역들을 통해 투과된 광선들과, 상기 스페이스 피처에 의해 노출되고 상기 트렌치 피처에 정렬된 상기 마스크 기판의 영역을 통해 투과된 광선들 사이에 약 180도의 위상 차이를 결정하는 깊이를 가지며 형성된 포토마스크.
  28. 제 25 항에 있어서,
    상기 프린트되는 요소는 긴 바 요소를 포함하는 포토마스크.
  29. 제 25 항에 있어서,
    상기 포토마스크는 바이너리 마스크이며, 상기 마스크 패턴은 특정 파장에서 약 0%의 투과율을 갖는 물질로 형성된 포토마스크.
  30. 제 25 항에 있어서,
    상기 포토마스크는 위상 변이 마스크이며, 상기 마스크 패턴은 주어진 파장에서 약 0%보다 큰 투과율을 갖는 물질로 형성된 포토마스크.
KR1020060027093A 2005-04-15 2006-03-24 향상된 포토리소그래피 공정 윈도우를 제공하는 포토마스크구조 및 그 제조 방법 KR100763222B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US67162605P 2005-04-15 2005-04-15
US60/671,626 2005-04-15
US67366905P 2005-04-21 2005-04-21
US60/673,669 2005-04-21
US11/325,081 2006-01-03
US11/325,081 US20060234137A1 (en) 2005-04-15 2006-01-03 Photomask structures providing improved photolithographic process windows and methods of manufacturing same

Publications (2)

Publication Number Publication Date
KR20060109307A true KR20060109307A (ko) 2006-10-19
KR100763222B1 KR100763222B1 (ko) 2007-10-04

Family

ID=37108868

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060027093A KR100763222B1 (ko) 2005-04-15 2006-03-24 향상된 포토리소그래피 공정 윈도우를 제공하는 포토마스크구조 및 그 제조 방법

Country Status (5)

Country Link
US (1) US20060234137A1 (ko)
JP (1) JP2006301631A (ko)
KR (1) KR100763222B1 (ko)
DE (1) DE102006018074A1 (ko)
TW (1) TW200702906A (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102346384A (zh) * 2010-07-30 2012-02-08 上海微电子装备有限公司 将硅片调整至最佳焦平面的方法及其曝光装置
KR20160022092A (ko) * 2014-08-19 2016-02-29 삼성전자주식회사 리소그래피 공정의 초점 이동 체크 방법 및 이를 이용한 전사 패턴 오류 분석 방법
KR20190014224A (ko) * 2017-07-28 2019-02-12 삼성전자주식회사 기판 검사 장치, 기판 검사 방법 및 이를 이용한 반도체 소자의 제조 방법

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7642019B2 (en) 2005-04-15 2010-01-05 Samsung Electronics Co., Ltd. Methods for monitoring and adjusting focus variation in a photolithographic process using test features printed from photomask test pattern images; and machine readable program storage device having instructions therefore
US7493590B1 (en) * 2006-07-11 2009-02-17 Kla-Tencor Technologies Corporation Process window optical proximity correction
KR100762245B1 (ko) * 2006-09-29 2007-10-01 주식회사 하이닉스반도체 포토마스크의 패턴 결함 수정 방법
US7821061B2 (en) * 2007-03-29 2010-10-26 Intel Corporation Silicon germanium and germanium multigate and nanowire structures for logic and multilevel memory applications
KR100909629B1 (ko) * 2007-10-31 2009-07-27 주식회사 하이닉스반도체 포토마스크의 형성방법
US8006203B2 (en) * 2008-08-28 2011-08-23 Synopsys, Inc. Bulk image modeling for optical proximity correction
US8071262B2 (en) * 2008-11-05 2011-12-06 Micron Technology, Inc. Reticles with subdivided blocking regions
TWI467125B (zh) 2012-09-24 2015-01-01 Ind Tech Res Inst 量測系統與量測方法
WO2016198283A1 (en) 2015-06-12 2016-12-15 Asml Netherlands B.V. Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
US9711420B1 (en) * 2016-03-14 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Inline focus monitoring
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
US10650111B2 (en) * 2017-11-30 2020-05-12 International Business Machines Corporation Electrical mask validation
US10811492B2 (en) 2018-10-31 2020-10-20 Texas Instruments Incorporated Method and device for patterning thick layers

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3416973B2 (ja) * 1992-07-21 2003-06-16 ソニー株式会社 位相シフト・マスクの製造方法
JPH0455857A (ja) * 1990-06-25 1992-02-24 Matsushita Electron Corp フォトマスク
US5718829A (en) * 1995-09-01 1998-02-17 Micron Technology, Inc. Phase shift structure and method of fabrication
KR100219548B1 (ko) * 1996-08-19 1999-09-01 윤종용 위상반전마스크 및 그 제조방법
DE10136291B4 (de) * 2001-07-25 2008-05-08 Qimonda Ag Photolithographische Maske
TWI274969B (en) * 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
KR20040079613A (ko) * 2003-03-08 2004-09-16 삼성전자주식회사 포토 마스크와 그를 이용한 콘택-홀 형성 방법
KR20050002372A (ko) * 2003-06-30 2005-01-07 주식회사 하이닉스반도체 반도체 소자의 마스크 제작 방법
US7172840B2 (en) * 2003-12-05 2007-02-06 Sandisk Corporation Photomask features with interior nonprinting window using alternating phase shifting

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102346384A (zh) * 2010-07-30 2012-02-08 上海微电子装备有限公司 将硅片调整至最佳焦平面的方法及其曝光装置
CN102346384B (zh) * 2010-07-30 2014-04-16 上海微电子装备有限公司 将硅片调整至最佳焦平面的方法及其曝光装置
KR20160022092A (ko) * 2014-08-19 2016-02-29 삼성전자주식회사 리소그래피 공정의 초점 이동 체크 방법 및 이를 이용한 전사 패턴 오류 분석 방법
KR20190014224A (ko) * 2017-07-28 2019-02-12 삼성전자주식회사 기판 검사 장치, 기판 검사 방법 및 이를 이용한 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
JP2006301631A (ja) 2006-11-02
US20060234137A1 (en) 2006-10-19
TW200702906A (en) 2007-01-16
DE102006018074A1 (de) 2006-11-16
KR100763222B1 (ko) 2007-10-04

Similar Documents

Publication Publication Date Title
KR100714480B1 (ko) 포토마스크의 테스트 패턴 이미지로부터 인쇄된 테스트피쳐들을 이용하는 포토리소그래피 공정에 있어서 초점변화를 측정하는 시스템 및 방법
KR100763222B1 (ko) 향상된 포토리소그래피 공정 윈도우를 제공하는 포토마스크구조 및 그 제조 방법
US7327436B2 (en) Method for evaluating a local flare, correction method for a mask pattern, manufacturing method for a semiconductor device and a computer program product
CN106019850B (zh) Euv焦点监控系统和方法
US5965306A (en) Method of determining the printability of photomask defects
US8372565B2 (en) Method for optimizing source and mask to control line width roughness and image log slope
CN1862385B (zh) 使用测试特征检测光刻工艺中的焦点变化的系统和方法
KR100571373B1 (ko) 리소그래피장치의 교정방법, 리소그래피장치의 교정에사용하는 마스크, 리소그래피장치, 디바이스제조방법,이것에 의해 제조된 디바이스
JP4398852B2 (ja) プロセス・ラチチュードを向上させるためにマスク・パターンの透過率調整を行う方法
US7352451B2 (en) System method and structure for determining focus accuracy
US9213233B2 (en) Photolithography scattering bar structure and method
JP4620048B2 (ja) 計測学ツール較正方法および装置
US7564556B2 (en) Method and apparatus for lens contamination control
US9383657B2 (en) Method and structure for lithography processes with focus monitoring and control
TW201324029A (zh) 光罩
Pawloski et al. Comparative study of mask architectures for EUV lithography
US7033708B2 (en) Image focus monitor for alternating phase shift masks
JP2006054213A (ja) プロセス管理方法、モニターマーク形成方法及びプロセス管理用のマスク
US20080057410A1 (en) Method of repairing a photolithographic mask
US20210255542A1 (en) Method for forming semiconductor device
US20130309869A1 (en) Lithography mask and method of manufacturing semiconductor device
US20060001858A1 (en) Method for adapting structure dimensions during the photolithographic projection of a pattern of structure elements onto a semiconductor wafer
JP2008192834A (ja) 露光装置のフレア測定方法と露光装置の管理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee