KR20060070050A - Method for forming dual damascene pattern - Google Patents

Method for forming dual damascene pattern Download PDF

Info

Publication number
KR20060070050A
KR20060070050A KR1020040108671A KR20040108671A KR20060070050A KR 20060070050 A KR20060070050 A KR 20060070050A KR 1020040108671 A KR1020040108671 A KR 1020040108671A KR 20040108671 A KR20040108671 A KR 20040108671A KR 20060070050 A KR20060070050 A KR 20060070050A
Authority
KR
South Korea
Prior art keywords
layer
interlayer insulating
film
forming
capping
Prior art date
Application number
KR1020040108671A
Other languages
Korean (ko)
Other versions
KR101098274B1 (en
Inventor
류상욱
Original Assignee
매그나칩 반도체 유한회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 매그나칩 반도체 유한회사 filed Critical 매그나칩 반도체 유한회사
Priority to KR1020040108671A priority Critical patent/KR101098274B1/en
Publication of KR20060070050A publication Critical patent/KR20060070050A/en
Application granted granted Critical
Publication of KR101098274B1 publication Critical patent/KR101098274B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures

Abstract

본 발명은, 하부 배선이 형성된 반도체 기판 상에 식각 정지막을 형성하는 단계와, 상기 식각 정지막 상에 무기 절연막으로 제1 층간절연막을 형성하는 단계와, 상기 제1 층간절연막을 선택적으로 식각하여 비아홀을 형성하는 단계와, 상기 비아홀이 형성된 반도체 기판 상에 상기 제1 층간절연막에 대하여 식각 선택비를 갖는 유기 절연막으로 상기 비아홀을 매립하면서 상기 제1 층간절연막을 덮도록 제2 층간절연막을 형성하는 단계와, 상기 제2 층간절연막 상에 캡핑막을 형성하는 단계와, 상기 캡핑막 상에 트렌치를 정의하는 포토레지스트 패턴을 형성하는 단계와, 상기 포토레지스트 패턴을 식각 마스크로 사용하여 상기 캡핑막을 선택적으로 식각하는 단계와, 상기 포토레지스트 패턴 및 상기 캡핑막을 식각 마스크로 사용하여 상기 비아홀을 매립하는 상기 제2 층간절연막을 선택적으로 식각하여 제거하면서 트렌치를 형성하는 단계와, 상기 비아홀을 통해 노출된 상기 식각 정지막을 식각하여 제거하는 단계를 포함하는 듀얼 다마신 패턴 형성방법에 관한 것이다. According to an embodiment of the present invention, an etching stop layer is formed on a semiconductor substrate on which a lower wiring is formed, a first interlayer insulating layer is formed of an inorganic insulating layer on the etch stop layer, and the first interlayer insulating layer is selectively etched to form a via hole. Forming a second interlayer insulating film so as to cover the first interlayer insulating film while filling the via hole with an organic insulating film having an etch selectivity with respect to the first interlayer insulating film on the semiconductor substrate on which the via hole is formed; And forming a capping film on the second interlayer insulating film, forming a photoresist pattern defining a trench on the capping film, and selectively etching the capping film using the photoresist pattern as an etching mask. And filling the via hole using the photoresist pattern and the capping layer as an etching mask. Relates to the steps of the method for forming a dual damascene pattern including a removing by etching said etch stop film is exposed through the via hole to form a trench and removed by selectively etching the second interlayer insulating film.

듀얼 다마신, 비아, 트렌치, 유기 절연막, 유전 상수Dual damascene, via, trench, organic insulating film, dielectric constant

Description

듀얼 다마신 패턴 형성방법{Method for forming dual damascene pattern} Method for forming dual damascene pattern             

도 1은 페이시트(Facet) 프로파일 구조를 갖는 듀얼 다마신 패턴을 보여주는 도면이다. 1 illustrates a dual damascene pattern having a facet profile structure.

도 2 내지 도 6은 본 발명의 바람직한 제1 실시예에 따른 듀얼 다마신 패턴 형성방법을 설명하기 위하여 도시한 단면도들이다.2 to 6 are cross-sectional views illustrating a method for forming a dual damascene pattern according to a first embodiment of the present invention.

도 7 내지 도 11은 본 발명의 바람직한 제2 실시예에 따른 듀얼 다마신 패턴 형성방법을 설명하기 위하여 도시한 단면도들이다.
7 to 11 are cross-sectional views illustrating a method for forming a dual damascene pattern according to a second exemplary embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

100, 200: 반도체 기판 102, 202: 하부 배선100, 200: semiconductor substrate 102, 202: lower wiring

104, 204: 식각 정지막 106, 206: 층간절연막104, 204: etch stop film 106, 206: interlayer insulating film

116, 216: 비아홀 120, 220: 층간절연막116 and 216: via holes 120 and 220: interlayer insulating film

122, 222: 캡핑막 124, 224: 유기 BARC막122, 222: capping film 124, 224: organic BARC film

128, 228: 트렌치
128, 228: trench

본 발명은 반도체 소자의 제조방법에 관한 것으로, 더욱 상세하게는 저유전 상수를 갖는 유기 절연막을 이용한 듀얼 다마신 패턴 형성방법에 관한 것이다.The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a low dielectric Using an organic insulating film having a constant It relates to a dual damascene pattern formation method.

반도체 소자는 고집적화 및 고성능화 되고 있는데, 구리 배선은 반도체 소자의 고집적화 및 고성능화를 구현할 수 있는 수단으로서 각광받고 있다. 그러나, 구리 배선은 일반적인 식각물질로는 식각이 거의 되지 않기 때문에 층간절연막을 식각한 후 구리를 매립하고 평탄화시키는 다마신(damascene) 공정을 이용하고 있다. 고성능 반도체 소자를 구현하기 위하여 저전력, 고속, 높은 커패시턴스 특성을 구현하기 위한 노력을 기울이고 있는데, 저전력, 고속(high speed) 특성은 금속배선의 RC 딜레이(delay)에 많은 영향을 받으며, 커패시턴스(capacitance)는 유전 상수에 많은 영향을 받는다. 3.0 이하의 유전 상수를 갖는 저유전 물질 중, 특히 유기 절연막의 경우 막(film)의 기계적 특성(강도, 경도, 인성 등)이 무기 절연막보다 취약하여 플라즈마 식각 공정에서는 페이시트(Facet) 등이 수반된 프로파일 구조를 얻게 되며(도 1 참조), 애슁(ashing) 공정에서는 산소, 질소 등의 가스에 노출될 경우 쉽게 산화되므로 손상을 받기가 쉽다. 이러한 문제점들을 극복하기 위하여 자기정렬방식의 듀얼 다마신 패턴 형성방법을 이용하기도 하지만 이 경우에는 플라즈마 식각시 발생하는 페이시트, 마이크로트렌치(Microtrench) 등이 발생하기가 쉽다. 또한, 비아를 먼저 형성하고 트렌치를 나중에 형성하는 듀얼 다마신 패턴 형성방법이나, 트렌치를 먼저 형성하고 비아를 나중에 형성하는 듀얼 다마신 패턴 형성 방법에서 유기 절연막이 층간절연막으로 사용되는 경우 비아 또는 트렌치 형성을 위한 식각 시에 유기 절연막의 물리적 손상 및 화학적 손상이 유발될 수 있다. 이와 같은 유기 절연막의 손상은 EM(Electromigration), SM(Stressmigration), 스트레스 유기 보이드(stress induced void), 유기 절연막으로의 구리 확산 등에 의해 구리 배선의 신뢰성에 심각한 문제를 초래하게 된다. BACKGROUND Semiconductor devices have been highly integrated and high performance, and copper wiring has been in the spotlight as a means to realize high integration and high performance of semiconductor devices. However, since the copper wiring is hardly etched by general etching materials, a damascene process is used to bury and planarize copper after etching the interlayer insulating film. Efforts are being made to implement low power, high speed, and high capacitance characteristics in order to realize high performance semiconductor devices. Is strongly influenced by the dielectric constant. Among the low dielectric materials having a dielectric constant of 3.0 or less, especially in the case of the organic insulating film, mechanical properties (strength, hardness, toughness, etc.) of the film are weaker than those of the inorganic insulating film. In the ashing process, it is easily oxidized when exposed to a gas such as oxygen, nitrogen, and the like. In order to overcome these problems, a self-aligned dual damascene pattern formation method may be used, but in this case, a pay sheet, a micro trench, etc., generated during plasma etching may be easily generated. In addition, in the dual damascene pattern formation method in which vias are first formed and the trenches are later formed, or the dual damascene pattern formation method in which the trenches are first formed and the vias are formed later, vias or trenches are formed when the organic insulating layer is used as an interlayer insulating film. During the etching may cause physical and chemical damage to the organic insulating layer. Such damage of the organic insulating layer causes serious problems in the reliability of the copper wiring due to EM (Electromigration), SM (Stress migration), stress induced void, copper diffusion into the organic insulating layer.

또한, 비아홀을 먼저 형성하고 트렌치를 나중에 형성하는 듀얼 다마신 공정에서, 트렌치 형성을 위한 포토레지스트 패턴 형성시에 비아홀 내에 포토레지스트가 채우거나 유기 BARC막을 채워 하부 금속배선을 보호하고 있으나, 비아홀의 밀도(density)에 따른 유기 BARC 또는 포토레지스트의 채워짐 정도 차이에 따라 측벽 펜스(Sidewall Fence)가 발생하거나 심한 경우에는 트렌치 형성을 위한 식각 시에 식각 정지막이 손상됨으로 인하여 하부 구리 배선이 손상되기도 한다.
Also, in the dual damascene process in which the via hole is formed first and the trench is formed later, the photoresist is filled in the via hole or the organic BARC film is filled to protect the lower metal wiring when the photoresist pattern for forming the trench is formed. Depending on the degree of filling of the organic BARC or photoresist according to the density, the side copper fence (Sidewall Fence) occurs or, in severe cases, the lower copper wiring may be damaged due to damage of the etch stop layer during etching for trench formation.

본 발명이 이루고자 하는 기술적 과제는 저유전 상수를 갖는 유기 절연막을 이용한 듀얼 다마신 패턴 형성방법을 제공함에 있다.
An object of the present invention is to provide a dual damascene pattern forming method using an organic insulating film having a low dielectric constant.

본 발명은, 하부 배선이 형성된 반도체 기판 상에 식각 정지막을 형성하는 단계와, 상기 식각 정지막 상에 무기 절연막으로 제1 층간절연막을 형성하는 단계와, 상기 제1 층간절연막을 선택적으로 식각하여 비아홀을 형성하는 단계와, 상기 비아홀이 형성된 반도체 기판 상에 상기 제1 층간절연막에 대하여 식각 선택비를 갖는 유기 절연막으로 상기 비아홀을 매립하면서 상기 제1 층간절연막을 덮도록 제2 층간절연막을 형성하는 단계와, 상기 제2 층간절연막 상에 캡핑막을 형성하는 단계와, 상기 캡핑막 상에 트렌치를 정의하는 포토레지스트 패턴을 형성하는 단계와, 상기 포토레지스트 패턴을 식각 마스크로 사용하여 상기 캡핑막을 선택적으로 식각하는 단계와, 상기 포토레지스트 패턴 및 상기 캡핑막을 식각 마스크로 사용하여 상기 비아홀을 매립하는 상기 제2 층간절연막을 선택적으로 식각하여 제거하면서 트렌치를 형성하는 단계와, 상기 비아홀을 통해 노출된 상기 식각 정지막을 식각하여 제거하는 단계를 포함하는 듀얼 다마신 패턴 형성방법을 제공한다.According to an embodiment of the present invention, an etching stop layer is formed on a semiconductor substrate on which a lower wiring is formed, a first interlayer insulating layer is formed of an inorganic insulating layer on the etch stop layer, and the first interlayer insulating layer is selectively etched to form a via hole. Forming a second interlayer insulating film so as to cover the first interlayer insulating film while filling the via hole with an organic insulating film having an etch selectivity with respect to the first interlayer insulating film on the semiconductor substrate on which the via hole is formed; And forming a capping film on the second interlayer insulating film, forming a photoresist pattern defining a trench on the capping film, and selectively etching the capping film using the photoresist pattern as an etching mask. And filling the via hole using the photoresist pattern and the capping layer as an etching mask. And forming a trench by selectively etching and removing the second interlayer insulating layer, and etching and removing the etch stop layer exposed through the via hole.

또한, 본 발명은, 하부 배선이 형성된 반도체 기판 상에 식각 정지막을 형성하는 단계와, 상기 식각 정지막 상에 유기 절연막으로 제1 층간절연막을 형성하는 단계와, 상기 제1 층간절연막 상에 제1 캡핑막을 형성하는 단계와, 상기 캡핑막 상에 비아홀을 정의하는 포토레지스트 패턴을 형성하는 단계와, 상기 포토레지스트 패턴을 식각 마스크로 사용하여 상기 캡핑막을 선택적으로 식각하는 단계와, 상기 포토레지스트 패턴 및 상기 캡핑막을 식각 마스크로 사용하여 제1 층간절연막을 선택적으로 식각하여 비아홀을 형성하는 단계와, 상기 비아홀이 형성된 반도체 기판 상에 제2 캡핑막을 단차를 따라 형성하는 단계와, 상기 제2 캡핑막 상에 상기 제2 캡핑막에 대하여 식각 선택비를 갖는 유기 절연막으로 상기 비아홀을 매립하면서 상기 제2 캡핑막 상부를 덮도록 제2 층간절연막을 형성하는 단계와, 상기 제2 층간절연막 상에 제3 캡핑막을 형성하는 단계와, 상기 제3 캡핑막 상에 트렌치를 정의 하는 포토레지스트 패턴을 형성하는 단계와, 상기 포토레지스트 패턴을 식각 마스크로 사용하여 상기 제3 캡핑막을 선택적으로 식각하는 단계와, 상기 포토레지스트 패턴 및 상기 제3 캡핑막을 식각 마스크로 사용하여 상기 비아홀을 매립하는 상기 제2 층간절연막을 선택적으로 식각하여 제거하면서 트렌치를 형성하는 단계와, 상기 트렌치를 통해 노출된 상기 제2 캡핑막을 식각하여 제거하는 단계와, 상기 비아홀을 통해 노출된 상기 식각 정지막을 식각하여 제거하는 단계를 포함하는 듀얼 다마신 패턴 형성방법을 제공한다.
In addition, the present invention may include forming an etch stop film on a semiconductor substrate having a lower wiring, forming a first interlayer insulating film on the etch stop film with an organic insulating film, and forming a first interlayer insulating film on the first interlayer insulating film. Forming a capping film, forming a photoresist pattern defining a via hole on the capping film, selectively etching the capping film using the photoresist pattern as an etch mask, the photoresist pattern and Selectively etching the first interlayer dielectric layer using the capping layer as an etching mask to form via holes, and forming a second capping layer along a step on the semiconductor substrate on which the via holes are formed, An upper portion of the second capping layer while the via hole is filled with an organic insulating layer having an etch selectivity with respect to the second capping layer Forming a second interlayer insulating film so as to cover the third layer; forming a third capping film on the second interlayer insulating film; forming a photoresist pattern defining a trench on the third capping film; Selectively etching the third capping layer using a photoresist pattern as an etch mask, and selectively etching the second interlayer insulating layer filling the via hole using the photoresist pattern and the third capping layer as an etch mask Forming a trench while removing the trench, etching and removing the second capping layer exposed through the trench, and etching and removing the etch stop layer exposed through the via hole. It provides a formation method.

이하, 첨부된 도면을 참조하여 본 발명에 따른 바람직한 실시예를 상세하게 설명하기로 한다. 그러나, 이하의 실시예는 이 기술분야에서 통상적인 지식을 가진 자에게 본 발명이 충분히 이해되도록 제공되는 것으로서 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 다음에 기술되는 실시예에 한정되는 것은 아니다. 이하의 설명에서 어떤 층이 다른 층의 위에 존재한다고 기술될 때, 이는 다른 층의 바로 위에 존재할 수도 있고, 그 사이에 제3의 층이 게재될 수도 있다. 또한, 도면에서 각 층의 두께나 크기는 설명의 편의 및 명확성을 위하여 과장되었다. 도면상에서 동일 부호는 동일한 요소를 지칭한다. Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the following embodiments are provided to those skilled in the art to fully understand the present invention, and may be modified in various forms, and the scope of the present invention is limited to the embodiments described below. It doesn't happen. In the following description, when a layer is described as being on top of another layer, it may be present directly on top of another layer, with a third layer interposed therebetween. In the drawings, the thickness and size of each layer are exaggerated for clarity and convenience of explanation. Like numbers refer to like elements in the figures.

<제1 실시예><First Embodiment>

도 2 내지 도 6은 본 발명의 바람직한 제1 실시예에 따른 듀얼 다마신 패턴 형성방법을 설명하기 위하여 도시한 단면도들이다.2 to 6 are cross-sectional views illustrating a method for forming a dual damascene pattern according to a first embodiment of the present invention.

도 2를 참조하면, 트랜지스터(미도시) 등을 포함하는 반도체 소자가 형성되 고, 하부 배선(102)이 형성된 반도체 기판(100)을 준비한다. 하부 배선(102)은 구리(Cu) 배선, 알루미늄(Al)일 수 있다. 하부 배선(102)이 형성된 상기 반도체 기판 상에 식각 정지막(104)을 형성한다. 식각 정지막(104)은 상부에 형성되는 층간절연막(106)과의 식각 선택비가 큰 물질, 예컨대 실리콘 질화막(Si3N4), 실리콘 카바이드막(SiC) 또는 실리콘 산화 질화막(SiON)으로 형성하는 것이 바람직하다. 상기 식각 정지막(104)은 100∼1000Å 정도의 두께로 형성한다. 식각 정지막(104)은 비아홀 형성을 위한 식각시 식각 정지층의 역할을 하게 된다.Referring to FIG. 2, a semiconductor device including a transistor (not shown) or the like is formed, and a semiconductor substrate 100 having a lower wiring 102 is prepared. The lower wiring 102 may be copper (Cu) wiring or aluminum (Al). An etch stop layer 104 is formed on the semiconductor substrate on which the lower wiring 102 is formed. The etch stop layer 104 is formed of a material having a high etching selectivity with respect to the interlayer insulating layer 106 formed thereon, for example, silicon nitride layer (Si 3 N 4 ), silicon carbide layer (SiC), or silicon oxynitride layer (SiON). It is preferable. The etch stop film 104 is formed to a thickness of about 100 ~ 1000Å. The etch stop layer 104 may serve as an etch stop layer during etching to form via holes.

식각 정지막(104) 상에 층간절연막(106)을 형성한다. 층간절연막(106)은 FSG(Fluorinated Silicate Glass) 등의 무기 절연막으로 형성한다. 층간절연막(106)은 1000∼10000Å 정도의 두께로 형성한다. An interlayer insulating layer 106 is formed on the etch stop layer 104. The interlayer insulating film 106 is formed of an inorganic insulating film such as Fluorinated Silicate Glass (FSG). The interlayer insulating film 106 is formed to a thickness of about 1000 to 10000 GPa.

층간절연막(106) 상에 포토레지스트를 도포한 후, 비아홀을 정의하는 포토레지스트 패턴(114)을 형성한다. After the photoresist is applied on the interlayer insulating film 106, a photoresist pattern 114 defining a via hole is formed.

도 3을 참조하면, 포토레지스트 패턴(114)을 식각 마스크로 사용하여 층간절연막(106)을 식각하여 비아홀(116)을 형성한다. 비아홀(116)은 하부 배선(102)과 연결될 수 있도록 하부 배선(102) 상부에 형성한다. 상기 비아홀(116)은 CxFyHz(x,y,z은 0 또는 자연수) 가스를 주 식각가스로 하고 불활성 가스(He, Ar, Ne 등) 및/또는 분자 기체 가스(O2, H2 등)를 첨가하여 건식 식각하여 형성할 수 있다. 식각 정지막(1104)은 비아홀(116) 형성을 위한 식각시 식각 정지층의 역할을 하게 된다. Referring to FIG. 3, the via hole 116 is formed by etching the interlayer insulating layer 106 using the photoresist pattern 114 as an etching mask. The via hole 116 is formed on the lower wiring 102 to be connected to the lower wiring 102. The via hole 116 uses a CxFyHz (x, y, z is 0 or natural water) gas as a main etching gas and an inert gas (He, Ar, Ne, etc.) and / or a molecular gas gas (O 2 , H 2, etc.). It may be added to form a dry etching. The etch stop layer 1104 may serve as an etch stop layer during etching for forming the via hole 116.

이어서, 포토레지스트 패턴(114)을 제거한다. 포토레지스트 패턴(114)은 O2, N2, He 등의 가스를 이용하여 애슁(ashing) 공정으로 제거할 수 있다. Next, the photoresist pattern 114 is removed. The photoresist pattern 114 may be removed by an ashing process using gases such as O 2 , N 2 , and He.

도 4를 참조하면, 비아홀(116)이 형성된 반도체 기판(100) 상에 층간절연막(120)을 형성한다. 층간절연막(120)은 스핀-온(Spin-On) 방법 또는 스프레이(Spray) 방법을 이용하여 유기 절연막으로 형성할 수 있다. 층간절연막(120)은 1000∼10000Å 정도의 두께로 형성한다. 층간절연막(120)을 유기 절연막으로 형성한 후, 경화시키기 위하여 적어도 50℃ 보다 높은 온도에서 큐어링(Curing) 또는 베이크(Bake) 공정을 수행할 수 있다. Referring to FIG. 4, an interlayer insulating layer 120 is formed on the semiconductor substrate 100 on which the via holes 116 are formed. The interlayer insulating layer 120 may be formed of an organic insulating layer using a spin-on method or a spray method. The interlayer insulating film 120 is formed to a thickness of about 1000 to 10000 GPa. After the interlayer insulating layer 120 is formed of an organic insulating layer, a curing or baking process may be performed at a temperature higher than at least 50 ° C. to cure the interlayer insulating layer 120.

층간절연막(120) 상에 캡핑막(122)을 형성한다. 캡핑막(122)은 TEOS(Tetra Ethyl Ortho Silicate)막으로 형성할 수 있으며, 10∼5000Å 정도의 두께로 형성한다. 캡핑막(122) 상에 유기 바텀반사방지코팅(Bottom Anti-Reflective Coating; BARC)막(124)을 형성할 수도 있는데, 유기 BARC막(124)은 200∼2000Å 정도의 두께로 형성한다. 본 실시예에서는 유기 BARC막(124)을 형성하는 경우를 예를 들어 설명한다. The capping layer 122 is formed on the interlayer insulating layer 120. The capping film 122 may be formed of a TEOS (Tetra Ethyl Ortho Silicate) film, and may be formed to have a thickness of about 10 to 5000 microns. An organic bottom anti-reflective coating (BARC) film 124 may be formed on the capping film 122. In this embodiment, the case where the organic BARC film 124 is formed will be described by way of example.

유기 BARC막(124) 상에 포토레지스트를 도포한 후, 트렌치를 정의하는 포토레지스트 패턴(126)을 형성한다. After applying the photoresist on the organic BARC film 124, a photoresist pattern 126 defining the trench is formed.

도 5를 참조하면, 포토레지스트 패턴(126)을 식각 마스크로 사용하여 유기 BARC막(124) 및 캡핑막(122)을 선택적으로 식각한다. 유기 BARC막(124)은 O2, N2, He, HBr 등의 가스를 사용하여 식각할 수 있다. 캡핑막(122)은 CxFyHz(x,y,z은 0 또는 자연수) 가스를 식각가스로 사용하여 식각할 수 있다. Referring to FIG. 5, the organic BARC film 124 and the capping film 122 are selectively etched using the photoresist pattern 126 as an etching mask. The organic BARC film 124 may be etched using gases such as O 2 , N 2 , He, HBr, and the like. The capping layer 122 may be etched using CxFyHz (x, y, z is 0 or natural water) as an etching gas.

이어서, 포토레지스트 패턴(126), 유기 BARC막(124) 및 캡핑막(122)을 식각 마스크로 사용하여 층간절연막(120)을 선택적으로 식각하여 트렌치(128)를 형성한다. 이때, 비아홀(116)에 매립된 층간절연막(120)도 제거되어 트렌치(128) 및 비아홀(116)을 구비하는 듀얼 다마신 패턴이 형성될 수 있게 된다. 층간절연막(120)은 유기 절연막으로 형성되므로 유기 BARC막(124)의 건식 식각조건과 동일하게 O2, N2, He, HBr 등의 가스를 사용하여 식각할 수 있다. 층간절연막(120)의 식각 시 HBr 및/또는 CxFyHz(x,y,z은 0 또는 자연수) 가스를 1∼300 sccm 정도 주입하면 트렌치(128) 측벽에 폴리머 성분의 억제제(inhibitor)가 형성되게 되고 따라서 측벽의 손실을 줄이면서 층간절연막(120)을 식각할 수 있다. 층간절연막(120)은 유기 절연막으로 형성하고, 유기 절연막과 유사 성분인 탄소(C), 수소(H)를 주성분으로 하는 포토레지스트 패턴(126) 및 유기 BARC막(124)은 트렌치 형성을 위한 건식 식각 시에 동시에 제거될 수 있으므로 별도의 제거 공정이 필요없어 공정 단순화를 구현할 수 있다. 층간절연막(106)은 무기 절연막으로 형성되므로 트렌치 형성을 위한 층간절연막(120)의 식각에서 식각 선택비를 가지므로 식각되지 않으며, 식각 정지막(104)도 식각 선택비를 가지므로 식각되지 않는다. Next, the trench 128 is formed by selectively etching the interlayer insulating layer 120 using the photoresist pattern 126, the organic BARC layer 124, and the capping layer 122 as an etching mask. In this case, the interlayer insulating layer 120 buried in the via hole 116 may also be removed to form a dual damascene pattern including the trench 128 and the via hole 116. Since the interlayer insulating layer 120 is formed of an organic insulating layer, the interlayer insulating layer 120 may be etched using gases such as O 2 , N 2 , He, HBr, and the like as the dry etching condition of the organic BARC layer 124. Injecting HBr and / or CxFyHz (x, y, z is 0 or natural water) gas at about 1 to 300 sccm during etching of the interlayer insulating layer 120 forms an inhibitor of polymer component on the sidewall of the trench 128. Accordingly, the interlayer insulating layer 120 may be etched while reducing sidewall loss. The interlayer insulating film 120 is formed of an organic insulating film, and the photoresist pattern 126 and the organic BARC film 124 containing carbon (C) and hydrogen (H), which are similar components to the organic insulating film, as the main components, are dry to form trenches. Simultaneous removal at the time of etching eliminates the need for a separate removal process, which simplifies the process. Since the interlayer insulating layer 106 is formed of an inorganic insulating layer, the interlayer insulating layer 106 has an etch selectivity in the etching of the interlayer insulating layer 120 to form a trench, and thus the etch stop layer 104 also does not etch.

도 6을 참조하면, 비아홀(116)을 통해 노출된 식각 정지막(104)을 식각하여 제거한다. 하부 배선(102) 상부에 형성된 자연산화막, 불순물, 오염 등을 제거하기 위하여 세정 공정을 실시한다.
Referring to FIG. 6, the etch stop layer 104 exposed through the via hole 116 is etched and removed. A cleaning process is performed to remove a natural oxide film, impurities, and contamination formed on the lower wiring 102.

<제2 실시예> Second Embodiment

도 7 내지 도 11은 본 발명의 바람직한 제2 실시예에 따른 듀얼 다마신 패턴 형성방법을 설명하기 위하여 도시한 단면도들이다.7 to 11 are cross-sectional views illustrating a method for forming a dual damascene pattern according to a second exemplary embodiment of the present invention.

도 7을 참조하면, 트랜지스터(미도시) 등을 포함하는 반도체 소자가 형성되고, 하부 배선(202)이 형성된 반도체 기판(200)을 준비한다. 하부 배선(202)은 구리(Cu) 배선, 알루미늄(Al)일 수 있다. 하부 배선(202)이 형성된 반도체 기판(200) 상에 식각 정지막(204)을 형성한다. 식각 정지막(204)은 상부에 형성되는 층간절연막(206)과의 식각 선택비가 큰 물질, 예컨대 실리콘 질화막(Si3N4), 실리콘 카바이드막(SiC) 또는 실리콘 산화 질화막(SiON)으로 형성하는 것이 바람직하다. 상기 식각 정지막(204)은 100∼1000Å 정도의 두께로 형성한다. 식각 정지막(204)은 비아홀 형성을 위한 식각시 식각 정지층의 역할을 하게 된다.Referring to FIG. 7, a semiconductor device including a transistor (not shown) or the like is formed, and a semiconductor substrate 200 on which a lower wiring 202 is formed is prepared. The lower wiring 202 may be copper (Cu) wiring or aluminum (Al). An etch stop layer 204 is formed on the semiconductor substrate 200 on which the lower wiring 202 is formed. The etch stop layer 204 is formed of a material having a high etching selectivity with respect to the interlayer insulating layer 206 formed thereon, such as silicon nitride film (Si 3 N 4 ), silicon carbide film (SiC), or silicon oxynitride film (SiON). It is preferable. The etch stop layer 204 is formed to a thickness of about 100 ~ 1000Å. The etch stop layer 204 serves as an etch stop layer during etching to form via holes.

식각 정지막(204) 상에 층간절연막(206)을 형성한다. 층간절연막(206)은 탄소(C), 수소(H)를 주성분으로 하는 저유전상수(low-k)를 갖는 유기 절연막으로 형성한다. 층간절연막(206)은 1000∼10000Å 정도의 두께로 형성한다. An interlayer insulating layer 206 is formed on the etch stop layer 204. The interlayer insulating film 206 is formed of an organic insulating film having a low dielectric constant (low-k) mainly composed of carbon (C) and hydrogen (H). The interlayer insulating film 206 is formed to a thickness of about 1000 to 10000 GPa.

층간절연막(206) 상에 캡핑막(210)을 형성한다. 캡핑막(210)은 저온 산화막(Low Temperature Oxide; LTO)으로 형성할 수 있다. 캡핑막 상에 유기 BARC막(212)을 형성할 수도 있다. 본 실시예에서는 유기 BARC막(212)을 형성한 경우를 예를 들어 설명한다. The capping film 210 is formed on the interlayer insulating film 206. The capping film 210 may be formed of a low temperature oxide (LTO). The organic BARC film 212 may be formed on the capping film. In this embodiment, the case where the organic BARC film 212 is formed will be described by way of example.                     

유기 BARC막(212) 상에 포토레지스트를 도포한 후, 비아홀을 정의하는 포토레지스트 패턴(214)을 형성한다. After applying the photoresist on the organic BARC film 212, a photoresist pattern 214 defining the via hole is formed.

도 8을 참조하면, 포토레지스트 패턴(214)을 식각 마스크로 사용하여 유기 BARC막(212) 및 캡핑막(210)을 선택적으로 식각한다. 유기 BARC막(212)은 O2, N2, He, Ar, SO2, HBr, CxFyHz(x,y,z은 0 또는 자연수) 또는 이들의 혼합 가스를 사용하여 식각할 수 있다. 캡핑막(210)은 CxFyHz(x,y,z은 0 또는 자연수) 가스를 주식각가스로 사용하고 O2, N2, He, Ar 등의 가스를 첨가하여 식각할 수 있다. Referring to FIG. 8, the organic BARC film 212 and the capping film 210 are selectively etched using the photoresist pattern 214 as an etching mask. The organic BARC film 212 may be etched using O 2 , N 2 , He, Ar, SO 2 , HBr, CxFyHz (x, y, z is 0 or natural water) or a mixed gas thereof. The capping layer 210 may be etched by using CxFyHz (x, y, z is 0 or natural water) gas as a stock angle gas and adding gases such as O 2 , N 2 , He, Ar, and the like.

이어서, 포토레지스트 패턴(214), 유기 BARC막(212) 및 캡핑막(210)을 식각 마스크로 사용하여 층간절연막(206)을 선택적으로 식각하여 비아홀(216)을 형성한다. 층간절연막(206)은 유기 절연막으로 형성되므로 유기 BARC막(212)의 건식 식각조건과 동일하게 O2, N2, He, Ar, SO2, HBr, CxFyHz(x,y,z은 0 또는 자연수) 또는 이들의 혼합 가스를 사용하여 식각할 수 있다. O2, N2 등의 가스는 유기 성분의 제거에 매우 효과적이고, 층간절연막(206)의 식각 시 HBr, SO2 또는 CxFyHz(x,y,z은 0 또는 자연수) 가스를 첨가하면 비아홀(206) 측벽에 폴리머 성분의 억제제(inhibitor)가 형성되게 하고 따라서 측벽의 손실을 줄이면서 층간절연막(206)을 식각할 수 있다. 층간절연막(206)은 유기 절연막으로 형성하고, 유기 절연막과 유사 성분인 탄소(C), 수소(H)를 주성분으로 하는 포토레지스트 패턴(214) 및 유기 BARC막(212)은 비아홀 형성을 위한 건식 식각 시에 동시에 제거될 수 있으므로 별 도의 제거 공정이 필요없어 공정 단순화를 구현할 수 있다. 식각 정지막(204)은 비아홀 형성을 위한 식각에서 식각 선택비를 가지므로 식각되지 않는다. Subsequently, the via hole 216 is formed by selectively etching the interlayer insulating film 206 using the photoresist pattern 214, the organic BARC film 212, and the capping film 210 as an etching mask. Since the interlayer insulating film 206 is formed of an organic insulating film, O 2 , N 2 , He, Ar, SO 2 , HBr, and CxFyHz (x, y, z are 0 or natural numbers) in the same manner as the dry etching conditions of the organic BARC film 212. ) Or a mixed gas thereof. Gases such as O 2 and N 2 are very effective for removing organic components, and when HBr, SO 2, or CxFyHz (x, y, z is 0 or natural water) is added to the interlayer insulating layer 206, the via holes 206 The interlayer insulating film 206 can be etched while forming an inhibitor of a polymer component on the sidewalls and thus reducing the loss of the sidewalls. The interlayer insulating film 206 is formed of an organic insulating film, and the photoresist pattern 214 and the organic BARC film 212 including carbon (C) and hydrogen (H), which are similar components to the organic insulating film, are dry for forming via holes. Simultaneous removal at the time of etching eliminates the need for a separate removal process, which simplifies the process. The etch stop layer 204 is not etched because it has an etching selectivity in etching for via hole formation.

비아홀(216)은 하부 배선(202)과 연결될 수 있도록 하부 배선(202) 상부에 형성한다. The via hole 216 is formed on the lower wiring 202 so as to be connected to the lower wiring 202.

도 9를 참조하면, 비아홀(216)이 형성된 반도체 기판(200) 상에 캡핑막(218)을 형성한다. 캡핑막(218)은 저온 산화막(Low Temperature Oxide; LTO)으로 형성할 수 있다. 상기 저온 산화막은 100∼350℃ 정도의 온도에서 형성할 수 있다. 캡핑막(218)은 50∼1000Å 정도의 두께로 형성한다. Referring to FIG. 9, a capping layer 218 is formed on the semiconductor substrate 200 on which the via holes 216 are formed. The capping layer 218 may be formed of a low temperature oxide (LTO). The low temperature oxide film may be formed at a temperature of about 100 to 350 ° C. The capping film 218 is formed to a thickness of about 50 to 1000 GPa.

캡핑막(218)이 형성된 반도체 기판(200) 상에 층간절연막(220)을 형성한다. 층간절연막(220)은 스핀-온(Spin-On) 방법 또는 스프레이(Spray) 방법을 이용하여 유기 절연막으로 형성할 수 있다. 층간절연막(220)은 1000∼10000Å 정도의 두께로 형성한다. 층간절연막(220)을 유기 절연막으로 형성한 후, 경화시키기 위하여 적어도 50℃ 보다 높은 온도에서 큐어링(Curing) 또는 베이크(Bake) 공정을 수행할 수 있다. An interlayer insulating layer 220 is formed on the semiconductor substrate 200 on which the capping layer 218 is formed. The interlayer insulating film 220 may be formed of an organic insulating film using a spin-on method or a spray method. The interlayer insulating film 220 is formed to a thickness of about 1000 to 10000 GPa. After the interlayer insulating layer 220 is formed of an organic insulating layer, a curing or baking process may be performed at a temperature higher than at least 50 ° C. to cure the interlayer insulating layer 220.

층간절연막(220) 상에 캡핑막(222)을 형성한다. 캡핑막(222)은 상부에 형성되는 층간절연막(220)과의 식각 선택비가 큰 물질, 예컨대 저온 산화막(LTO)으로 형성할 수 있으며, 10∼5000Å 정도의 두께로 형성한다. 캡핑막(222) 상에 유기 BARC막(224)을 형성할 수도 있는데, 유기 BARC막(224)은 200∼2000Å 정도의 두께로 형성한다. 본 실시예에서는 유기 BARC막(224)을 형성하는 경우를 예를 들어 설명한다. A capping film 222 is formed on the interlayer insulating film 220. The capping layer 222 may be formed of a material having a large etching selectivity with respect to the interlayer insulating layer 220 formed thereon, for example, a low temperature oxide layer (LTO), and has a thickness of about 10 to about 5000 kPa. The organic BARC film 224 may be formed on the capping film 222, and the organic BARC film 224 is formed to a thickness of about 200 to 2000 micrometers. In this embodiment, the case where the organic BARC film 224 is formed will be described by way of example.                     

유기 BARC막(224) 상에 포토레지스트를 도포한 후, 트렌치를 정의하는 포토레지스트 패턴(226)을 형성한다. After applying a photoresist on the organic BARC film 224, a photoresist pattern 226 defining a trench is formed.

도 10을 참조하면, 포토레지스트 패턴(226)을 식각 마스크로 사용하여 유기 BARC막(224) 및 캡핑막(222)을 선택적으로 식각한다. 유기 BARC막(224)은 O2, N2, He, Ar, SO2, HBr, CxFyHz(x,y,z은 0 또는 자연수) 또는 이들의 혼합 가스를 사용하여 식각할 수 있다. 캡핑막(222)은 CxFyHz(x,y,z은 0 또는 자연수) 가스를 주식각 가스로 사용하고, O2, N2, He, Ar 등의 가스를 첨가하여 식각할 수 있다.Referring to FIG. 10, the organic BARC layer 224 and the capping layer 222 are selectively etched using the photoresist pattern 226 as an etching mask. The organic BARC film 224 may be etched using O 2 , N 2 , He, Ar, SO 2 , HBr, CxFyHz (x, y, z is 0 or natural water) or a mixed gas thereof. The capping layer 222 may be etched by using CxFyHz (x, y, z is 0 or natural water) gas as a stock angle gas, and adding gases such as O 2 , N 2 , He, Ar, and the like.

이어서, 포토레지스트 패턴(226), 유기 BARC막(224) 및 캡핑막(222)을 식각 마스크로 사용하여 층간절연막(220)을 선택적으로 식각하여 트렌치(228)를 형성한다. 이때, 비아홀(216)에 매립된 층간절연막(220)도 제거되어 트렌치(228) 및 비아홀(216)을 구비하는 듀얼 다마신 패턴이 형성될 수 있게 된다. 층간절연막(220)은 유기 절연막으로 형성되므로 유기 BARC막(224)의 건식 식각조건과 동일하게 O2, N2, He, Ar, SO2, HBr, CxFyHz(x,y,z은 0 또는 자연수) 또는 이들의 혼합 가스를 사용하여 식각할 수 있다. O2, N2 등의 가스는 유기 성분의 제거에 매우 효과적이고, 층간절연막(220)의 식각 시 HBr, SO2 또는 CxFyHz(x,y,z은 0 또는 자연수) 가스를 첨가하면 트렌치(228) 측벽에 폴리머 성분의 억제제(inhibitor)가 형성되게 하고 따라서 측벽의 손실을 줄이면서 층간절연막(220)을 식각할 수 있다. Next, the trench 228 is formed by selectively etching the interlayer insulating film 220 using the photoresist pattern 226, the organic BARC film 224, and the capping film 222 as an etching mask. In this case, the interlayer insulating layer 220 buried in the via hole 216 may also be removed to form a dual damascene pattern including the trench 228 and the via hole 216. Since the interlayer insulating film 220 is formed of an organic insulating film, O 2 , N 2 , He, Ar, SO 2 , HBr, and CxFyHz (x, y, z is 0 or a natural number, as in the dry etching conditions of the organic BARC film 224). ) Or a mixed gas thereof. Gases such as O 2 and N 2 are very effective for removing organic components, and when the HBr, SO 2 or CxFyHz (x, y, z is 0 or natural water) is added to the interlayer insulating layer 220, the trench 228 The interlayer insulating layer 220 may be etched while the inhibitor of the polymer component is formed on the sidewalls, thereby reducing the loss of the sidewalls.

층간절연막(220)은 유기 절연막으로 형성하고, 유기 절연막과 유사 성분인 탄소(C), 수소(H)를 주성분으로 하는 포토레지스트 패턴(226) 및 유기 BARC막(224)은 트렌치 형성을 위한 건식 식각 시에 동시에 제거될 수 있으므로 별도의 제거 공정이 필요없어 공정 단순화를 구현할 수 있다. The interlayer insulating film 220 is formed of an organic insulating film, and the photoresist pattern 226 and the organic BARC film 224 containing carbon (C) and hydrogen (H), which are similar components to the organic insulating film, are dry for forming trenches. Simultaneous removal at the time of etching eliminates the need for a separate removal process, which simplifies the process.

캡핑막(218)은 트렌치 형성을 위한 층간절연막(220)의 식각에서 식각 선택비를 가지므로 식각되지 않는다. The capping layer 218 is not etched because the capping layer 218 has an etching selectivity in the etching of the interlayer insulating layer 220 for trench formation.

도 11을 참조하면, 트렌치(228)을 통해 노출된 캡핑막(218)을 제거한다. 캡핑막(218)은 CxFyHz(x,y,z은 0 또는 자연수) 가스를 주식각 가스로 사용하고, O2, N2, He, Ar 등의 가스를 첨가하여 식각할 수 있다. Referring to FIG. 11, the capping layer 218 exposed through the trench 228 is removed. The capping layer 218 may be etched by using CxFyHz (x, y, z is 0 or natural water) as a stock angle gas, and adding gases such as O 2 , N 2 , He, and Ar.

이어서, 비아홀(216)을 통해 노출된 식각 정지막(204)을 식각하여 제거한다. 하부 배선(202) 상부에 형성된 자연산화막, 불순물, 오염 등을 제거하기 위하여 세정 공정을 실시한다.
Subsequently, the etch stop layer 204 exposed through the via hole 216 is etched and removed. A cleaning process is performed to remove the native oxide film, impurities, and contamination formed on the lower wiring 202.

본 발명에 의한 듀얼 다마신 패턴 형성방법에 의하면, 안정적인 듀얼 다마신 패턴 구조를 가지면서 유전 상수도 낮은 유기 절연막을 적용할 수 있게 되어 RC 딜레이 측면에서 매우 유리한 금속 배선을 확보할 수 있다. 또한, 비아홀과 트렌치 건식 식각 단계에서 포토레지스트 패턴도 동시에 제거할 수 있으므로 공정 단순화를 얻을 수 있다. 또한, 비아홀과 트렌치 형성을 위한 식각시 건식 식각량이 크지 않으므로 포토레지스트 패턴의 높이를 낮출 수 있게 되므로 포토리스그라피 측면에 서 DOF(Depth Of Focus) 마진 또한 확장되는 효과를 얻을 수 있다. According to the dual damascene pattern formation method according to the present invention, an organic insulating layer having a stable dual damascene pattern structure and low dielectric constant can be applied, thereby securing metal wires which are very advantageous in terms of RC delay. In addition, since the photoresist pattern may be simultaneously removed in the via hole and the trench dry etching step, the process may be simplified. In addition, since the dry etching amount during the etching of the via hole and the trench is not large, the height of the photoresist pattern can be lowered, and thus the DOF (Depth Of Focus) margin can also be extended in terms of photolithography.

이상, 본 발명의 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되는 것은 아니며, 본 발명의 기술적 사상의 범위내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러 가지 변형이 가능하다.
As mentioned above, although preferred embodiment of this invention was described in detail, this invention is not limited to the said embodiment, A various deformation | transformation by a person of ordinary skill in the art within the scope of the technical idea of this invention is carried out. This is possible.

Claims (21)

하부 배선이 형성된 반도체 기판 상에 식각 정지막을 형성하는 단계;Forming an etch stop layer on the semiconductor substrate on which the lower wiring is formed; 상기 식각 정지막 상에 무기 절연막으로 제1 층간절연막을 형성하는 단계;Forming a first interlayer dielectric layer on the etch stop layer using an inorganic dielectric layer; 상기 제1 층간절연막을 선택적으로 식각하여 비아홀을 형성하는 단계; Selectively etching the first interlayer dielectric layer to form via holes; 상기 비아홀이 형성된 반도체 기판 상에 상기 제1 층간절연막에 대하여 식각 선택비를 갖는 유기 절연막으로 상기 비아홀을 매립하면서 상기 제1 층간절연막을 덮도록 제2 층간절연막을 형성하는 단계;Forming a second interlayer insulating film on the semiconductor substrate on which the via hole is formed to cover the first interlayer insulating film while filling the via hole with an organic insulating film having an etch selectivity with respect to the first interlayer insulating film; 상기 제2 층간절연막 상에 캡핑막을 형성하는 단계;Forming a capping film on the second interlayer insulating film; 상기 캡핑막 상에 트렌치를 정의하는 포토레지스트 패턴을 형성하는 단계;Forming a photoresist pattern defining a trench on the capping film; 상기 포토레지스트 패턴을 식각 마스크로 사용하여 상기 캡핑막을 선택적으로 식각하는 단계;Selectively etching the capping layer using the photoresist pattern as an etching mask; 상기 포토레지스트 패턴 및 상기 캡핑막을 식각 마스크로 사용하여 상기 비아홀을 매립하는 상기 제2 층간절연막을 선택적으로 식각하여 제거하면서 트렌치를 형성하는 단계; 및Forming a trench by selectively etching and removing the second interlayer insulating layer filling the via hole by using the photoresist pattern and the capping layer as an etching mask; And 상기 비아홀을 통해 노출된 상기 식각 정지막을 식각하여 제거하는 단계를 포함하는 듀얼 다마신 패턴 형성방법.And removing and removing the etch stop layer exposed through the via hole. 제1항에 있어서, 상기 제1 층간절연막은 무기 절연막인 FSG(Fluorinated Silicate Glass)막으로 형성하는 것을 특징으로 하는 듀얼 다마신 패턴 형성방법.The method of claim 1, wherein the first interlayer insulating film is formed of a Fluorinated Silicate Glass (FSG) film, which is an inorganic insulating film. 제1항에 있어서, 상기 제2 층간절연막은 스핀-온(Spin-On) 방법 또는 스프레이(Spray) 방법을 이용하여 유기 절연막으로 형성하는 것을 특징으로 하는 듀얼 다마신 패턴 형성방법.The method of claim 1, wherein the second interlayer insulating layer is formed of an organic insulating layer using a spin-on method or a spray method. 제3항에 있어서, 상기 제2 층간절연막은 유기 절연막으로 도포한 후, 적어도 50℃ 보다 높은 온도에서 큐어링(Curing) 또는 베이크(Bake) 공정을 수행하여 경화시키는 단계를 더 포함하는 듀얼 다마신 패턴 형성방법.4. The dual damascene of claim 3, further comprising: curing the second interlayer insulating layer by coating the organic insulating layer and performing curing or baking at a temperature higher than at least 50 ° C. 5. Pattern formation method. 제1항에 있어서, 상기 캡핑막은 상기 제2 층간절연막에 대하여 식각 선택비를 갖는 TEOS(Tetra Ethyl Ortho Silicate)막으로 형성하는 것을 특징으로 하는 듀얼 다마신 패턴 형성방법.The method of claim 1, wherein the capping layer is formed of a TEOS (Tetra Ethyl Ortho Silicate) layer having an etch selectivity with respect to the second interlayer insulating layer. 제1항에 있어서, 상기 식각 정지막은 상기 제1 층간절연막과의 식각 선택비 가 큰 물질인 실리콘 질화막(Si3N4), 실리콘 카바이드막(SiC) 또는 실리콘 산화 질화막(SiON)으로 형성하는 것을 특징으로 하는 듀얼 다마신 패턴 형성방법.The method of claim 1, wherein the etch stop layer is formed of a silicon nitride film (Si 3 N 4 ), a silicon carbide film (SiC), or a silicon oxynitride film (SiON), which is a material having a large etching selectivity with respect to the first interlayer insulating film. Dual damascene pattern forming method characterized in that. 제1항에 있어서, 상기 제2 층간절연막의 식각 시 유기 성분을 포함하는 상기 포토레지스트 패턴도 동시에 제거하는 것을 특징으로 하는 듀얼 다마신 패턴 형성방법.The method of claim 1, wherein the photoresist pattern including an organic component is simultaneously removed when the second interlayer insulating layer is etched. 제1항에 있어서, 상기 제2 층간절연막의 식각은 상기 제1 층간절연막에 대하여 식각 선택비를 갖는 O2, N2, He, Ar, SO2, HBr, CxFyHz(x,y,z은 0 또는 자연수) 또는 이들의 혼합 가스를 사용하는 것을 특징으로 하는 듀얼 다마신 패턴 형성방법.The method of claim 1, wherein the etching of the second interlayer insulating film is O 2 , N 2 , He, Ar, SO 2 , HBr, CxFyHz having an etch selectivity with respect to the first interlayer insulating film is 0, Or natural water) or a mixed gas thereof. 제1항에 있어서, 상기 캡핑막 상에 유기 바텀반사방지코팅막을 형성하는 단계를 더 포함하는 듀얼 다마신 패턴 형성방법.The method of claim 1, further comprising forming an organic bottom anti-reflective coating layer on the capping layer. 하부 배선이 형성된 반도체 기판 상에 식각 정지막을 형성하는 단계;Forming an etch stop layer on the semiconductor substrate on which the lower wiring is formed; 상기 식각 정지막 상에 유기 절연막으로 제1 층간절연막을 형성하는 단계;Forming a first interlayer insulating layer on the etch stop layer using an organic insulating layer; 상기 제1 층간절연막 상에 제1 캡핑막을 형성하는 단계;Forming a first capping film on the first interlayer insulating film; 상기 캡핑막 상에 비아홀을 정의하는 포토레지스트 패턴을 형성하는 단계;Forming a photoresist pattern defining a via hole on the capping film; 상기 포토레지스트 패턴을 식각 마스크로 사용하여 상기 캡핑막을 선택적으로 식각하는 단계;Selectively etching the capping layer using the photoresist pattern as an etching mask; 상기 포토레지스트 패턴 및 상기 캡핑막을 식각 마스크로 사용하여 제1 층간절연막을 선택적으로 식각하여 비아홀을 형성하는 단계; Selectively etching the first interlayer insulating layer using the photoresist pattern and the capping layer as an etching mask to form via holes; 상기 비아홀이 형성된 반도체 기판 상에 제2 캡핑막을 단차를 따라 형성하는 단계;Forming a second capping layer along a step on the semiconductor substrate on which the via hole is formed; 상기 제2 캡핑막 상에 상기 제2 캡핑막에 대하여 식각 선택비를 갖는 유기 절연막으로 상기 비아홀을 매립하면서 상기 제2 캡핑막 상부를 덮도록 제2 층간절연막을 형성하는 단계;Forming a second interlayer dielectric layer on the second capping layer to cover the upper portion of the second capping layer while filling the via hole with an organic insulating layer having an etch selectivity with respect to the second capping layer; 상기 제2 층간절연막 상에 제3 캡핑막을 형성하는 단계;Forming a third capping film on the second interlayer insulating film; 상기 제3 캡핑막 상에 트렌치를 정의하는 포토레지스트 패턴을 형성하는 단계;Forming a photoresist pattern defining a trench on the third capping layer; 상기 포토레지스트 패턴을 식각 마스크로 사용하여 상기 제3 캡핑막을 선택적으로 식각하는 단계;Selectively etching the third capping layer using the photoresist pattern as an etching mask; 상기 포토레지스트 패턴 및 상기 제3 캡핑막을 식각 마스크로 사용하여 상기 비아홀을 매립하는 상기 제2 층간절연막을 선택적으로 식각하여 제거하면서 트렌치 를 형성하는 단계; Forming a trench by selectively etching and removing the second interlayer insulating layer filling the via hole by using the photoresist pattern and the third capping layer as an etching mask; 상기 트렌치를 통해 노출된 상기 제2 캡핑막을 식각하여 제거하는 단계; 및Etching and removing the second capping layer exposed through the trench; And 상기 비아홀을 통해 노출된 상기 식각 정지막을 식각하여 제거하는 단계를 포함하는 듀얼 다마신 패턴 형성방법.And removing and removing the etch stop layer exposed through the via hole. 제10항에 있어서, 상기 제1 및 제2 층간절연막은 스핀-온(Spin-On) 방법 또는 스프레이(Spray) 방법을 이용하여 유기 절연막으로 형성하는 것을 특징으로 하는 듀얼 다마신 패턴 형성방법.The method of claim 10, wherein the first and second interlayer insulating layers are formed of an organic insulating layer using a spin-on method or a spray method. 제11항에 있어서, 상기 제1 및 제2 층간절연막은 유기 절연막으로 도포한 후, 적어도 50℃ 보다 높은 온도에서 큐어링(Curing) 또는 베이크(Bake) 공정을 수행하여 경화시키는 단계를 더 포함하는 듀얼 다마신 패턴 형성방법.The method of claim 11, further comprising: applying the first and second interlayer insulating films to an organic insulating film and then curing the same by curing or baking at a temperature higher than at least 50 ° C. 13. Dual damascene pattern formation method. 제10항에 있어서, 상기 제1 캡핑막은 상기 제1 층간절연막에 대하여 식각 선택비를 갖는 저온 산화막으로 형성하는 것을 특징으로 하는 듀얼 다마신 패턴 형성방법.The method of claim 10, wherein the first capping layer is formed of a low temperature oxide layer having an etch selectivity with respect to the first interlayer insulating layer. 제10항에 있어서, 상기 제2 캡핑막은 상기 제2 층간절연막에 대하여 식각 선택비를 갖는 저온 산화막으로 형성하는 것을 특징으로 하는 듀얼 다마신 패턴 형성방법.The method of claim 10, wherein the second capping layer is formed of a low temperature oxide layer having an etch selectivity with respect to the second interlayer insulating layer. 제10항에 있어서, 상기 제3 캡핑막은 상기 제2 층간절연막에 대하여 식각 선택비를 갖는 저온 산화막으로 형성하는 것을 특징으로 하는 듀얼 다마신 패턴 형성방법.The method of claim 10, wherein the third capping layer is formed of a low temperature oxide layer having an etch selectivity with respect to the second interlayer insulating layer. 제10항에 있어서, 상기 식각 정지막은 상기 제1 층간절연막과의 식각 선택비가 큰 물질인 실리콘 질화막(Si3N4), 실리콘 카바이드막(SiC) 또는 실리콘 산화 질화막(SiON)으로 형성하는 것을 특징으로 하는 듀얼 다마신 패턴 형성방법.The method of claim 10, wherein the etch stop layer is formed of a silicon nitride film (Si 3 N 4 ), a silicon carbide film (SiC), or a silicon oxynitride film (SiON), which is a material having a large etching selectivity with respect to the first interlayer insulating film. Dual damascene pattern formation method. 제10항에 있어서, 상기 제1 층간절연막의 식각 시 유기 성분을 포함하는 상기 비아홀을 정의하는 포토레지스트 패턴도 동시에 제거하는 것을 특징으로 하는 듀얼 다마신 패턴 형성방법.The method of claim 10, wherein the photoresist pattern defining the via hole including an organic component is simultaneously removed when the first interlayer insulating layer is etched. 제10항에 있어서, 상기 제2 층간절연막의 식각 시 유기 성분을 포함하는 상기 트렌치를 정의하는 포토레지스트 패턴도 동시에 제거하는 것을 특징으로 하는 듀얼 다마신 패턴 형성방법.The method of claim 10, wherein the photoresist pattern defining the trench including the organic component is simultaneously removed when the second interlayer insulating layer is etched. 제10항에 있어서, 상기 제2 층간절연막의 식각은 상기 제2 캡핑막에 대하여 식각 선택비를 갖는 O2, N2, He, Ar, SO2, HBr, CxFyHz(x,y,z은 0 또는 자연수) 또는 이들의 혼합 가스를 사용하는 것을 특징으로 하는 듀얼 다마신 패턴 형성방법.The method of claim 10, wherein the etching of the second interlayer insulating film is O 2 , N 2 , He, Ar, SO 2 , HBr, CxFyHz (x, y, z is 0) having an etch selectivity with respect to the second capping film Or natural water) or a mixed gas thereof. 제10항에 있어서, 상기 제1 캡핑막 상에 유기 바텀반사방지코팅막을 형성하는 단계를 더 포함하는 듀얼 다마신 패턴 형성방법.The method of claim 10, further comprising forming an organic bottom anti-reflective coating layer on the first capping layer. 제10항에 있어서, 상기 제3 캡핑막 상에 유기 바텀반사방지코팅막을 형성하는 단계를 더 포함하는 듀얼 다마신 패턴 형성방법.The method of claim 10, further comprising forming an organic bottom anti-reflective coating layer on the third capping layer.
KR1020040108671A 2004-12-20 2004-12-20 Method for forming dual damascene pattern KR101098274B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040108671A KR101098274B1 (en) 2004-12-20 2004-12-20 Method for forming dual damascene pattern

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040108671A KR101098274B1 (en) 2004-12-20 2004-12-20 Method for forming dual damascene pattern

Publications (2)

Publication Number Publication Date
KR20060070050A true KR20060070050A (en) 2006-06-23
KR101098274B1 KR101098274B1 (en) 2011-12-26

Family

ID=37163874

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040108671A KR101098274B1 (en) 2004-12-20 2004-12-20 Method for forming dual damascene pattern

Country Status (1)

Country Link
KR (1) KR101098274B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008151166A1 (en) * 2007-06-01 2008-12-11 Texas Instruments Incorporated Damascene process having retained capping layer through metallization for protecting low-k dielectrics

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102622266B1 (en) 2016-01-11 2024-01-08 삼성디스플레이 주식회사 Thin film transistor array panel and manufacturing method thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008151166A1 (en) * 2007-06-01 2008-12-11 Texas Instruments Incorporated Damascene process having retained capping layer through metallization for protecting low-k dielectrics

Also Published As

Publication number Publication date
KR101098274B1 (en) 2011-12-26

Similar Documents

Publication Publication Date Title
US7741224B2 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US7351635B2 (en) Method of fabricating microelectronic device using super critical fluid
KR100416596B1 (en) Method of manufacturing interconnection wire in semiconductor device
US7015133B2 (en) Dual damascene structure formed of low-k dielectric materials
KR100430472B1 (en) Method for forming wiring using dual damacine process
US7365021B2 (en) Methods of fabricating a semiconductor device using an organic compound and fluoride-based buffered solution
US8415799B2 (en) Dual damascene interconnect in hybrid dielectric
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
US7332449B2 (en) Method for forming dual damascenes with supercritical fluid treatments
US6774031B2 (en) Method of forming dual-damascene structure
US7172964B2 (en) Method of preventing photoresist poisoning of a low-dielectric-constant insulator
US7488687B2 (en) Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers
KR100532446B1 (en) Method for forming metal interconnection layer of semiconductor device
US20070232062A1 (en) Damascene interconnection having porous low k layer followed by a nonporous low k layer
US6876081B2 (en) Composite dielectric layers
US6632707B1 (en) Method for forming an interconnect structure using a CVD organic BARC to mitigate via poisoning
KR100780680B1 (en) Method for forming metal wiring of semiconductor device
KR101098274B1 (en) Method for forming dual damascene pattern
KR100576367B1 (en) method of forming interconnection line in semiconductor device
KR100440080B1 (en) Method for forming metal line of semiconductor device
KR101138075B1 (en) Method for Forming Dual Damascene Pattern
KR100439111B1 (en) Method for forming metal line in semiconductor device
KR20010109958A (en) Method of fabricating via hole for copper wiring of semiconductor device
KR100481889B1 (en) Method of manufacturing a semiconductor device
KR20050116479A (en) Method of forming a via contact structure using a dual damascene process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141119

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161118

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171117

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20181120

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20191119

Year of fee payment: 9