KR20050120641A - 대형 기판 상에 실리콘옥사이드를 증착하기 위한 방법 및장치 - Google Patents

대형 기판 상에 실리콘옥사이드를 증착하기 위한 방법 및장치 Download PDF

Info

Publication number
KR20050120641A
KR20050120641A KR1020057017242A KR20057017242A KR20050120641A KR 20050120641 A KR20050120641 A KR 20050120641A KR 1020057017242 A KR1020057017242 A KR 1020057017242A KR 20057017242 A KR20057017242 A KR 20057017242A KR 20050120641 A KR20050120641 A KR 20050120641A
Authority
KR
South Korea
Prior art keywords
evaporator
approximately
processing chamber
teos
substrate
Prior art date
Application number
KR1020057017242A
Other languages
English (en)
Other versions
KR101160357B1 (ko
Inventor
산제이 디. 야다브
쿠안유안 샹
웬델 티. 블로니간
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050120641A publication Critical patent/KR20050120641A/ko
Application granted granted Critical
Publication of KR101160357B1 publication Critical patent/KR101160357B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Inorganic Insulating Materials (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

적어도 대략 0.35 입방 미터의 표면적을 갖는 대형 기판 상에 분당 적어도 4000 옹스트롬의 속도로 유전체를 증착하기 위한 방법 및 장치가 제공된다. 일 실시예에서, 유전체는 실리콘옥사이드이다. 또한 다수의 홈을 포함한 증발기가 제공된다.

Description

대형 기판 상에 실리콘옥사이드를 증착하기 위한 방법 및 장치{METHOD AND APPARATUS FOR SILICONE OXIDE DEPOSITION ON LARGE AREA SUBSTRATES}
본 발명의 실시예들은 일반적으로 대형 면적의 기판 상에 실리콘옥사이드를 증착하기 위한 방법 및 장치에 관한 것이다.
박막 트랜지스터(TFT)는 종래 모니터, 평판 디스플레이, 태양전지, 개인 휴대용 단말기(PDA), 셀룰라 폰 등에 사용하기 위해 대형 유리 기판 또는 플레이트에서 제조된다. 많은 TFT 제조자들은 훨씬 큰 크기의 수요에 맞춰 550 mm × 650 mm를 초과하는 치수를 갖는 TFT 제조용 대형 기판을 이용한다. 이러한 치수는 근시일 내에 4 입방미터를 초과할 수 있다.
TFT는 통상적으로 중앙 이송 챔버 주위에 배치된 진공 챔버 내에서 비정질 실리콘, 도핑 및 비도핑된 실리콘옥사이드, 실리콘 나이트라이드 등을 포함하는 여러 막을 순차적으로 증착시킴으로써 클러스터 툴 내에서 제조된다. 일반적으로 TFT는 액정 재료층이 그 사이에 끼워진 두 개의 유리판을 포함한다. 적어도 하나의 유리판은 전력원에 연결된 적어도 하나의 도전성 막이 위에 배치된다. 전력원으로부터 도전성 막에 공급된 전력은 액정 재료의 방향을 바꾸어, 디스플레이 상에 보여진 문자 또는 그래픽과 같은 패턴을 형성한다. 평판을 제조하는 종종 사용되는 제조 공정은 플라즈마 강화 화학 기상 증착(PECVD)이다.
플라즈마 강화 화학 기상 증착은 일반적으로 평판 또는 반도체 웨이퍼와 같은 기판 상에 박막을 증착하는데 사용된다. 플라즈마 강화 화학 기상 증착은 기판을 포함하는 진공 챔버 내로 선구체 가스를 유입시킴으로써 이루어진다. 선구체 가스는 통상적으로 챔버 상부 부근에 위치한 분배판을 통해 제어된다. 챔버 내의 선구체 가스는 챔버에 결합된 하나 이상의 RF 소스로부터 챔버로 RF 전력을 인가함으로써 에너지가 공급되어(즉, 여기되어) 플라즈마를 형성한다. 여기된 가스는 반응하여 온도 제어 기판 지지부 상에 위치한 기판 표면 상에 재료층을 형성한다. 기판이 저온 폴리실리콘 층을 수용하는 어플리케이션에서, 기판 지지부는 섭씨 400도를 초과하여 가열될 수 있다. 반응 동안 형성된 휘발성 부산물은 배출 시스템을 통해 챔버로부터 배출된다.
막 증착의 장애물 중 한가지, 특히 TEOS 선구체로부터 형성된 실리콘옥사이드는 대형 기판의 표면 상에 미리설정된 두께로 막을 증착하는데 오랜 시간이 필요하다는 것이다. 특히, 증착율은 처리 가스가 상업적으로 이용되는 증착율을 가능하게 하는 속도로 챔버에 제공될 수 없기 때문에 현저히 낮아진다. 예컨대, 액체 TEOS를 CVD 처리에 적합한 TEOS 증기로 변화시키는데 사용된 종래 증발기는 약 10g/m으로 제한되고 이에 따라 증착율이 통상적인 처리에서 대략 1500 내지 최대로 대략 2500Å/m로 제한된다. 처리 가스의 고휘발성 유동(즉, 15g/m을 초과하는 유동)을 제공하기에 적합한 발생기가 없다는 것이 차세대 대형 기판 상에 상업적으로 이용되는 실리콘옥사이드 증착의 주된 장애물이다.
또한, 많은 대형 기판 CVD 어플리케이션에 이용되는 종래 TEOS 버블러와 같은 TEOS 증발기는 작동 종료시에 대략 10g/m으로 제한되는 액체 방울을 발생시키고 부유시키는 경향이 있다. 처리 챔버로 진입하는 방울은 기판을 오염시키고 및/또는 그로 인해 공정 변형을 일으킨다. 대형 기판의 크기가 재료 및 러리 비용에 있어서 개선될 것이 요구됨에 따라, 방울 또는 부적절한 선구체 가스 발생으로 인한 과도한 결함은 허용될 수 없다. 더욱이, 처리 챔버로 진입하는 가스에 부유된 방울은 진공 펌핑-다운 시간을 오래 걸리게 한다. 예컨대, 종래 대형 기판 CVD 시스템은 5g/분 TEOS를 발생시키는 종래 증발기의 경우 대략 23-30초의 펌핑-다운 시간이 필요하고 10/분 TEOS를 발생시키는 종래 증발기의 경우에 대략 30-34초의 펌핑-다운 시간을 필요로 한다. 펌핑-다운 시간의 최소화는 직접 기판 수율을 증가시키기 때문에 매우 바람직하다.
따라서, 대형 기판 상에서 적어도 2000Å/m의 속도로 유전체를 증착시키는 (다른 선구체 또는 처리 가스 중에서) TEOS 증기를 발생시키기 위한 방법 및 장치가 필요하다.
도 1은 본 발명의 증발기 모듈의 일 실시예를 포함하는 예시적인 대형 기판 처리 시스템의 단면도이다.
도 2는 본 발명의 증발기 모듈의 일 실시예를 포함하는 도 1의 처리 챔버의 단면도이다.
도 3a는 본 발명의 증발기 모듈의 일 실시예의 단면도이다.
도 3b는 도 3a의 증발기 모듈에 포함된 증발기의 단면도이다.
도 4는 도 3a의 증발기 모듈이 사용될 수 있는 공정의 일 실시예에 대한 흐름도를 도시한다.
표면적이 적어도 대략 0.35 입방미터인 대형 기판 상에 적어도 분당 3000 옹스트롬의 속도로 유전체를 증착하기 위한 방법과 장치가 제공된다. 일 실시예에서, 유전체는 실리콘옥사이드이다. 또한, 분당 대략 3000 옹스트롬을 초과하는 증착율을 달성하는 공정 및 이와 같은 제조를 위한 처리 챔버에 의해 증착된 유전체층을 갖는 대형 기판이 제공된다.
본 발명의 또 다른 태양에서, 반도체 공정에 사용되기에 적합한 증발기 모듈이 제공된다. 일 실시예에서, 증발기 어셈블리를 형성하는 제 2 플레이트 열 전도성 플레이트에 대해 두께가 적어도 0.125 인 제 1 열 전도성 플레이트가 배치된다. 다수의 홈이 제 1 플레이트에 적어도 부분적으로 형성되고 제 2 플레이트에 의해 덮인다. 제 1 포트 및 제 2 포트는 증발기 어셈블리의 각각의 단부에 형성되고 홈에 의해 유동적으로 결합된다.
상기 간략하게 요약한 본 발명은 첨부된 도면에 예시된 실시예들을 참조하여 설명된다. 그러나, 첨부된 도면은 단순히 본 발명의 전형적인 예시일 뿐이며, 따라서 이러한 실시예들은 본 발명의 범위를 제한하지 않으며 동일한 효과를 갖는 여러 실시예들이 구현될 수 있다.
이해를 돕기 위하여, 도면에 공통적인 동일한 부재들을 지칭하기 위하여 가능한 동일한 참조번호가 사용되었다.
도 1은 분당 3000 내지 14000 옹스트롬에 이르고 이를 초과하는 속도로 대형 기판 상에 유전체를 증착시키는 플라즈마 강화 화학 기상 증착 시스템(100)의 일 실시예에 대한 단면도이다. 통상적으로, 대형 기판은 대략 0.35 입방미터보다 크고 또는 이와 같은 (일측면의) 면적을 갖는다. 시스템(100)은 다수의 처리 챔버(104)가 결합된 중앙 이송 챔버(102)를 포함한다. 선택적으로, 처리 챔버(104) 중 하나는 가열 챔버(104')일 수 있다. 적어도 하나의 로드 락 챔버(106)는 팩토리 인터페이스(108)와 처리 챔버(104)(두 개가 도시됨) 사이에서 기판(140)의 이송을 용이하게 하도록 이송 챔버(102)와 팩토리 인터페이스(108) 사이에 결합된다. 또한 시스템(100)은 로드 락 챔버(106)를 통과하고 시스템(100) 주위에서 기판이 이동할 수 있도록 팩토리 인터페이스(108) 내에 배치된 인터페이스 로봇(110) 및 이송 챔버(102) 내에 배치된 이송 로봇(112)을 포함한다. 본 발명의 장점을 갖는 대형 기판 처리 시스템은 캘리포니아, 산타 클라라에 위치한 어플라이드 머티어리얼스 인코포레이티드 회사의 분사인 AKT로부터 이용가능한 AKT-5500 플라즈마 강화 화학 기상 증착(PECVD) 시스템이다.
각각의 처리 챔버(104)는 대형 기판을 처리하며 적어도 대략 360 리터의 체적을 갖는다. 각각의 처리 챔버(104)는 통상적으로 각각의 가스 전달 시스템(114)에 연결된다. 가스 전달 시스템(114)은 처리 가스를 처리 챔버에 제공한다. 각각의 가스 전달 시스템(114)은 각각의 처리 챔버(104)에 하나 이상의 가스를 제공하도록 구성될 수 있다. 도 1에 도시된 실시예에서, 적어도 하나의 가스 전달 시스템(114)은 대략 1160 sccm(예컨대, 분당 10 내지 적어도 대략 100 그램의 TEOS)보다 큰 속도로 액체 선구체로부터 발생한 처리 또는 선구체 가스를 제공한다.
도 2는 일 예의 가스 전달 시스템(114)이 결합된 도 1의 처리 챔버(104)의 단면도를 도시한다. 처리 챔버(104)는 처리 볼륨(212)을 형성하는 벽(206), 바닥부(208), 및 리드 어셈블리(210)를 갖는다. 통상적으로 처리 볼륨(212)은 처리 챔버(104)의 안으로 및 밖으로 기판(140)의 이동을 용이하게 하는 벽(206)에 포트(미도시)를 통해 액세스된다. 벽(206)과 바닥부(208)는 단일 블록의 알루미늄 또는 처리 화학제와 호환가능한 재료로 제조된다. 리드 어셈블리(210)는 (다양한 펌핑 성분을 포함하는, 미도시) 배출 포트에 처리 볼륨(212)을 연결하는 펌핑 플레넘(214)을 포함한다.
리드 어셈블리(210)는 벽(206)에 의해 지지되고 처리 챔버(104)를 수리하기 위해 제거될 수 있다. 리드 어셈블리(210)는 일반적으로 알루미늄으로 제조되고 열 전달 유체를 유동시킴으로써 리드 어셈블리(210)의 온도를 조절하기 위해 열 전달 유체 채널을 추가로 포함할 수 있다.
분배판(218)은 리드 어셈블리(210)의 내측부(220)에 연결된다. 분배판(218)은 가스 전달 시스템(114)으로부터 공급된 처리 및 다른 가스가 기판 지지부(238) 상에 안착된 기판(140)으로 전달되는 관통 영역을 포함한다. 분배판(218)의 관통 영역은 기판 (140) 상에 균일한 재료 증착을 촉진하는 방식으로 처리 가스를 분배하도록 구성된다.
가열된 기판 지지 어셈블리(238)는 처리 챔버(104) 내의 중심에 배치된다. 지지 어셈블리(238)는 처리 동안 기판(140)을 지지한다. 지지 어셈블리(238)는 이동가능하게 관통되게 배치된 다수의 리프트 핀(250)을 갖는다. 리프트 핀(250)은 지지 표면(260)으로부터 돌출하도록 작동하여 이송 로봇(112)을 이용하여 기판 이송을 용이하게 하도록 지지 어셈블리(238)와 이격되게 기판을 위치시킨다.
진공 포트(미도시)는 지지 어셈블리(238)를 관통하게 배치되고 기판(140)과 지지 어셈블리(238) 사이에 진공을 제공하는데 사용되어, 처리 동안 기판(140)을 지지 어셈블리(238)에 고정한다. 지지 어셈블리(238)에 배치된 전ㄱ그과 같은 가열 부재(232)는 전력원(230)에 연결시켜, 미리설정된 온도로 지지 어셈블리(238)와 지지 어셈블리 위에 위치된 기판(140)을 가열시킨다. 통상적으로, 가열 부재(232)는 대략 섭씨 150 내지 적어도 대략 460도의 균일한 온도로 기판(140)을 유지시킨다.
지지 어셈블리(238)는 외접 섀도우 프레임(248)을 추가로 지지한다. 섀도우 프레임(248)은 기판(140)의 에지를 덮도록 구성되고 세라믹으로 제조된다. 일반적으로, 섀도우 프레임(248)은 기판(140)과 지지 어셈블리(238)의 에지에서 증착되는 것을 방지하여 기판이 지지 어셈블리(238)에 고정되지 않게 한다. 선택적으로, 소제 가스는 기판 에지에서의 증착 방지를 돕도록 섀도우 프레임(248)과 지지 어셈블리(238) 사이에 공급된다.
지지 어셈블리(238)는 스템(242)에 의해 상승 위치(미도시)와 하강 위치 사이에 지지 어셈블리(238)를 이동시키는 리프트 시스템(미도시)에 결합된다. 벨로우즈(246)는 지지 어셈블리(238)의 이동을 용이하게 하면서 챔버 볼륨(212)과 처리 챔버(104)의 외측의 분위기 사이에 진공 밀봉부를 제공한다. 스템(242)은 지지 어셈블리(238)과 시스템(100)의 다른 부품 사이의 전기 리드, 진공 및 가스 공급 라인을 위한 콘딧을 추가로 제공한다.
지지 어셈블리(238)는 전력원(222)에 의해 분배판(218)(또는 챔버의 리드 어셈블리 내에 또는 부근에 위치한 다른 전극)에 공급된 RF 전력이 지지 어셈블리(238)와 분배판(218) 사이의 처리 볼륨(212)에 배치된 가스를 여기시키도록 접지된다. 일반적으로 수 Hz 내지 13 MHz 사이의 주파수 또는 그 이상의 주파수인 RF 전력은 기판 표면적에 적합한 와티지(wattage)에 제공된다. 일 실시예에서, 전력원(222)은 대략 2MHz 보다 작은 (바람직하게는 대략 200 내지 500 kHz) 고주파수 전력 및 13 MHz 보다 큰 (바람직하게 대략 13.56 MHz) 고주파수 전력을 제공하는 이중 주파수 소스를 포함한다. 주파수는 고정적이거나 가변적일 수 있다. 예시적으로, 550mm × 650mm 기판의 경우에, 저주파수 전력은 대략 0.3 내지 대략 2kW이고 고주파수는 대략 1 내지 대략 5 kW이다. 일반적으로, 전력 조건은 기판 크기의 감소 또는 증가에 따라 감소 또는 증가한다.
가스 전달 시스템(114)은 증발기 출력 라인(288)에 의해 처리 챔버(104)에 연결된 테트라에톡시실란(TEOS) 소스(272), 헬륨 소스(274), 및 증발기 모듈(280)을 포함한다. TEOS 소스(272)는 제어된 양의 액체 TEOS를 TEOS 소스(272)와 증발기 모듈(280) 사이에서 뻗는 증발기 입력 라인(276)을 통해 증발기 모듈(280)에 전달하기 위해, 파이프, 밸브, 유동 제어기 등을 포함한다.
헬륨 소스(274)는 제어된 양의 헬륨 가스를 전달하기 위해 파이프, 밸브, 유동 제어기 등을 포함한다. 헬륨은 헬륨을 헬륨 소스(274)로부터 증발기 입력 라인(276)을 통해 증발기 모듈(280)로 라우팅시킴으로써 소제 가스로서 공정에 사용될 수 있다. 또한 헬륨은 헬륨을 헬륨 소스(274)로부터 증발기 출력 라인(288)과 접속되는 운반 가스 라인(278)을 통해 라우팅시킴으로써 증발된 TEOS를 처리 챔버(104) 안으로 운반시키는 운반 가스로서 사용될 수 있다.
도 3a는 증발기 모듈(280)의 개략도를 도시한다. 증발기 모듈은 액체 유동 제어기(340) 및 증발기(330)를 포함하는 콘테이너(320)이다. 또한 콘테이너(320)는 유동 제어기(340)를 증발기(330)와 열적으로 분리하는 절연 분리기(322)를 포함한다. 콘딧(326)은 절연 분리기(322)에 형성된 통로를 통해 연장하고 유동 제어기(340)를 증발기(330)에 결합시킨다. 콘테이너(320)와 절연 분리기(322)는 임의의 적절한 재료로 제조될 수 있다. 도시된 실시예에서, 콘테이너(320)는 스테인레스 강으로 제조되고 절연 분리기(322)는 실리콘 고무로 제조된다.
유동 제어기(340)는 증발기 입력라인(276)에 의해 TEOS 소스(272)에 결합된다. 열싱크(342)는 유동 제어기(340)의 바닥부에 장착된다. 팬(346)은 열싱크(342) 부근에 배치되고 공기가 열싱크(342)를 가로지르게 이동하게 향하여 유동 제어기가 대략 실온 또는 대략 섭씨 25도에서 유지되게 한다. 유동 제어기(340)는 질량 또는 휘발성 유동 계측기와 같이 액체 유동을 제어하는 임의의 장치일 수 있다. 적절한 유동 제어기(340)는 펜실베니아 해트필드에 위치한 포터 인스트루먼트 컴퍼니 회사로부터 상업적으로 이용할 수 있는 질량 유동 계측기, 모델 200PI이다. 유동 제어기(340)를 증발기(330)와 열적으로 분리함으로써, 유동 제어기(340)의 온도는 제어기(340)에 의해 제공된 유동 판독값이 정확히 알려진 편차 내에 있는 미리 설정된 값에서 용이하게 유지될 수 있어 보다 정밀하게 선구체 생성을 제어한다.
증발기(330)는 증발기 배출라인(288)에 의해 처리 챔버(104)에 연결되고 콘딧(326)에 의해 유동 제어기(342)에 연결된다. 적어도 하나의 히터(312)가 증발기 모듈(280)에 연결되고 액체 TEOS를 가스 상태로 증발시키는 것을 촉진시키기 위해 TEOS를 가열시킨다. 비록 증발기(330)에 결합된 것으로 도시되었지만, 히터(312)는 선택적으로 콘딧(326) 내에 배치되거나 또는 콘딧(326)에 결합되거나 증발기(330) 내에 배치될 수 있다.
도 3b는 증발기(330)의 일 실시예에 대한 분해도이다. 일 실시예에서, 증발기(330)는 열 전도성 캡(354)에 의해 밀봉된 열 전도성 몸체를 포함한다. 몸체(352)는 다수의 횡방향 채널(360)과 일 측부에 형성된 다수의 종방향 홈(362)을 포함한다. 몸체(352)는 다수의 채널(360)과 다수의 홈(362)의 제조 및 증발기(330)의 동작동안 대략 섭씨 90도 및 그 이상의 온도에서 처리 화학제에 영향을 받지 않는 재료로 편평함을 유지하기에 충분한 두께로 제조된다. 몸체(352)는 적어도 대략 0.125 인치의 두께를 갖는 스테인레스 강으로 제조될 수 있다. 대략 0.100 또는 그 이하의 두께를 갖는 스테인레스 강 몸체는 출력시 높은 액체 함량으로 인해 낮은 결함 증착에 적합하지 않은 불량한 TEOS 증발 성능을 갖는데, 이는 몸체(352)가 홈 형성동안 너무 휘어지거나 및/또는 쉽게 비틀어져 몸체(352)와 캡(354) 사이의 갭이 몸체(352)에 대해 변하고 이로써 액체/가스가 홈(362) 외부로 흘러 실질적으로 완전한 증발을 방해하기 때문이다.
횡방향 채널(360)은 증발기(330)을 통과하는 흐름의 방향에 수직으로 배치된다. 채널(360)들 중 하나는 증발기(330)의 인입 측부(370) 부근에 배치되고 적어도 부분적으로 몸체(352)를 통과하도록 형성된 인입 포트(356)를 통해 콘딧(326)에 결합된다. 채널(360)들 중 제 2 채널은 증발기(330)의 배출 측부(372) 부근에 배치되고 적어도 부분적으로 몸체(352)에 형성된 (도 3b에서 일부가 분명하지 않게 도시된) 배출 포트(358)를 통해 증발기 배출 라인(288)에 결합된다.
다수의 종방향 홈(362)은 몸체(352) 내에 형성되고 증발기(330)를 통과하는 흐름 방향에 평행하게 뻗고 다수의 채널(360)을 서로 유동적으로 결합한다. 홈(362)은 채널(360)보다 얕고 완전한 증발을 보장하기 위해 인접한 홈(362)을 통과하는 독립된 TEOS 유동 흐름을 유지하는데 필요한 편평도를 유지하도록 머시닝된다. 홈(362)의 화학 에칭에 의해 발생된 열은 몸체(352)가 비틀리게 하고 이로써 TEOS의 완전한 증발을 실질적으로 방해하는 홈(362) 내의 흐름 분리를 방해한다는 것이 알려졌다. 유동 흐름의 혼합은 작업을 불량하게 하고 과도한 방울을 발생시키는데, 이는 대형 기판 처리에 허용될 수 없다. 캡(354)은 몸체(352)에 고정되고, 이로써 증발기(330)를 통해 유동하는 유체가 다수의 채널(360)과 채널 내에 형성된 다수의 홈(362) 내에서만 이동하게 한다.
홈(362)은 분당 적어도 대략 10 내지 적어도 대략 100 그램의 TEOS의 실질적인 완전한 증발을 보장하기 위해 충분한 면적을 갖도록 구성된다. 일 실시예에서, 적어도 45 개의 홈(362)은 몸체(352) 내에 형성된다. 각각의 홈(362)은 깊이가 대략 0.007 인치이고 폭이 대략 0.015 인치이다.
몸체(352)와 캡(354)은 채널(360)과 홈(362)을 통과하여 유동하는 TEOS를 섭씨 대략 90 내지 150도 사이까지 가열시키기 위해 몸체(352) 및/또는 캡(354)에 대해 배치된 적어도 하나의 히터(312)에 의해 가열된다. 따라서 증발기(330)로 진입하는 액체 TEOS는 가열되어 종방향 홈(362)으로 이동하게 되고, TEOS 증기를 발생시킨다.
TEOS가 실질적으로 완전하게 증발되기 때문에, 진공 펌핑-다운 시간은 실질적으로 감소된다. 예컨대, 증발기(330)를 이용한 대형 기판 CVD 시스템은 5g/분의 TEOS를 발생시킬 때 대략 15초의 펌핑-다운 시간과 10g/분의 TEOS를 발생시킬 때 18초의 펌핑-다운 시간을 갖지만, 종래 증발기의 경우에는 각각 21초와 34초의 펌핑 다운 시간을 갖는다. 따라서, 증발기(330)는 처리 챔버로 진입하는 액체의 백분율이 실질적으로 감소하는 것을 보여주며, 이로써 상기 설명한 종래 시스템에 비해 사이클 시간을 감소시키고 기판 수율을 증가시킨다.
선택적으로, 증발기 배출의 안정화 시간과 압력 안정도는 종래 증발기보다 훨씬 개선된다. 예컨대, 본 발명의 증발기는 분당 10 그램의 TEOS를 발생시킬 때 대략 10초의 안정화 시간(배출 상태를 균일하게 하는 시간)을 갖지만 종래에는 20-45초의 안정화 시간을 갖는다. 본원의 증발기 압력 안정도는 분당 10 그램의 TEOS를 발생시킬 때 대략 ±2.82 퍼센트이지만 종래 증발기는 ±6.09 퍼센트이다.
다시 도 2를 참조하면, 제한기(290)는 증발기(330)와 처리 챔버(104) 사이의 증발기 배출 라인(288) 내에 배치된다. 제한기(290)는 증발되는 액체가 너무 빨리 팽창하여 증발이 완료되기 전에 증발기(330)를 빠져나기지 않게 하기 위해 증발기(330)에 대해 충분한 후방압력을 제공하도록 구성된다. 더욱이, 제한기(290)는 증발된 TEOS의 안정된 유동을 제공하여 균일하고 반복가능한 처리를 향상시킨다. 일 실시예에서, 제한기(290)는 대략 0.187 내지 대략 0.140 인치 사이의 구멍을 갖는다.
증발된 TEOS가 챔버(104)에 도달하기 전에 응축되는 것을 방지하기 위해, 증발기 배출 라인(288)과 운반 가스 라인(278)은 가열된다. 이는 증발된 TEOS가 증발기 배출 라인(288)을 통과할 때 또는 더 차갑고 가열되지 않은 운반 가스와 혼합될 때 냉각되는 것을 방지한다. 라인(278,288)은 히터 테입으로 감싸거나, 접촉 히터를 제공하거나, 열 전달 콘딧을 통과하게 라우팅하는 방법 등에 의해 가열될 수 있다. 증발된 TEOS 또는 TEOS/운반 가스 혼합물은 증발기 배출 라인(288)을 통해 처리 챔버(104)로 유동한다. 증발기 모듈(280)과 가열된 라인(288,278)의 조합은 증발된 TEOS를 분당 10 그램을 초과하는 속도로 처리 챔버(104)에 전달할 수 있다. 다른 실시예에서, 증발기 모듈(280)은 적어도 분당 20 그램으로, 그리고 분당 100 그램에 이르고 이를 초과하는 정도까지 전달하도록 구성될 수 있다. 보다 높은 성능의 증발기를 가능하게 하는 증발기 모듈(280)의 특징은 증발기 모듈(280) 내에 형성된 홈(362)의 갯수를 증가시키는 것이다.
산소 가스는 플라즈마 가스 라인(286)에 의해 처리 챔버에 결합된 산소 소스(284)로부터 처리 챔버로 제공된다. 산소 가스는 TEOS 증기와 혼합되고 처리 챔버(104) 내에서 여기되어 플라즈마를 형성한다. TEOS는 플라즈마에서 해리되고 처리 챔버(104) 내에 위치한 기판 표면 상에 실리콘옥사이드 층을 증착시킨다.
통상적으로, 원격 플라즈마 소스(미도시)는 처리 챔버(104)에 결합되고 다수의 처리 사이클이 완료된 후에 챔버를 세정하는데 사용된다. 처리 챔버는 챔버 내에 요구된 레벨의 청결도를 유지하고 오염으로 인해 비용을 추가시키는 비가동시간과 결함 발생을 최소화하기 위해 모든 사이클 후에 또는 미리설정된 수의 사이클 후에 세정될 수 있다.
도 4는 대형 기판 상에 유전체를 플라즈마 강화 화학 기상 증착하기 위한 방법(400)의 흐름도를 도시한다. 단계(402)에서, 도 2-3을 참조하면, 기판(140)은 처리 챔버(104) 안으로 유입되고 처리 챔버(104) 내의 기판 지지 어셈블리(238) 에 위치한다. 기판(140)은 진공 압력에 의해 아래에 고정되고 섀도우 프레임(248)에 의해 그 둘레가 덮인다.
단계(404)에서, 기판(140)은 가열 부재(232)에 의해 섭씨 대략 350 내지 대략 440도 범위 내의 온도로 가열된다. 통상적으로, 처리 챔버(104)의 벽(206)은 섭씨 대략 90 내지 대략 150도 범위의 온도에서 처리 챔버(104)를 유지시키도록 냉각된다.
단계(406)에서 처리 가스는 처리 챔버(104) 안으로 유입된다. 일 실시예에서, TEOS는 대략 1,160 내지 대략 11,600 sccm의 유속으로 TEOS 소스(272)로부터 증발기(276)로 공급된다. 증발기(276) 및 증발기 배출 파이프(284)는 섭씨 대략 90 내지 150 도 사이, 바람직하게는 섭씨 120도의 온도로 유지된다. 가열된 증발기를 통해 유동하는 TEOS는 증발되고 TEOS 증기는 증발기 배출 파이프(284)를 나와 처리 챔버(104) 안으로 유동한다.
증발기 배출 파이프(284)를 통해 유동하는 TEOS 증기는 리드 어셈블리(210)를 통해 처리 챔버(104)로 진입한다. 산소 가스 소스(284)로부터 플라즈마 가스 라인(286)을 통과하여 유동하는 산소 가스는 리드 어셈블리(210)를 통해 처리 챔버(104) 안으로 동시에 유입된다. 산소 가스 유속은 대략 2,000 내지 대략 15,000 sccm이다. TEOS와 산소 가스는 혼합되어 가스 분배판(218)을 통해 처리 볼륨(212) 안으로 이동한다.
단계(408)에서, 플라즈마는 대략 5,000W의 RF 에너지를 전력원(222)으로부터 가스 분배판(218)으로 제공함으로써 혼합된 TEOS와 산소로부터 처리 챔버(104) 내의 처리 볼륨(212)에서 형성된다. TEOS는 플라즈마 내에서 해리되고 대략 1,160 sccm의 속도로 TEOS가 유동할 때 일측면의 표면적이 적어도 대략 0.357㎡인 대형 기판의 노출된 표면 상에 대략 3000 내지 적어도 대략 3500 옹스트롬으로 기판 표면 상에 실리콘옥사이드 층을 증착시킨다. 대략 14,000 Å/M의 증착율은 11,6000 sccm의 TEOS 유속으로 구현될 수 있다.
일 실시예에서, 기판(140)은 가열 부재(232)에 의해 섭씨 대략 440도의 온도까지 가열된다. TEOS는 분당 대략 적어도 10 그램의 유속으로 TEOS 소스(272)로부터 증발기(276)로 공급된다. 증발기는 대략 섭씨 120도의 온도로 유지된다. 가열된 증발기를 통해 유동하는 TEOS는 증발되고 증발기 배출 파이프(284)를 나와 처리 챔버(104) 안으로 유동한다. 증발기 배출 파이프(284)는 TEOS 증기가 처리 챔버(104) 안으로 진입하기 전에 응축되는 것을 방지하기 위해 섭씨 대략 120도의 온도까지 가열된다.
증발기 배출 파이프(284)를 통해 유동하는 TEOS는 리드 어셈블리(210)를 통해 처리 챔버(104)로 진입한다. 산소 가스 소스(284)로부터 플라즈마 가스 라인(286)을 통해 유동하는 산소 가스는 대략 2,000 sccm의 유속으로 리드 어셈블리(210)를 통해 처리 챔버(104) 안으로 동시에 유입된다. TEOS와 산소 가스는 혼합되고 가스 분배판(218)을 통해 처리 볼륨(212) 안으로 이동한다. 플라즈마는 대략 5,000W의 RF 에너지를 전력원(222)으로부터 가스 분배판(218)으로 제공하여 혼합된 가스로부터 처리 볼륨(212)내에서 형성되고 실리콘옥사이드 층은 분당 대략 3,000 내지 적어도 대략 4,000 옹스트롬의 속도로 기판 표면 상에 증착된다.
방법(400)에 의해 증착된 실리콘옥사이드 재료는 종래 방법보다 훨씬 큰 빠른 속도로 증착될 뿐만 아니라, 실리콘옥사이드 층은 견고한 물리적 특성을 나타낸다. 예컨대, 증착된 실리콘옥사이드는 -2.68 내지 3.03 범위의 응력; 대략 1.45 내지 대략 1.47의 굴절율; 분당 대략 1,250 내지 3,100 옹스트롬의 습식 에칭율을 가지며, 이들 모두는 훨씬 느린 속도로 증착된 종래 제공된 재료에 비해 우수하다.
상기 설명은 본 발명의 바람직한 실시예에 관한 것이지만, 본 발명의 또 다른 실시예들이 본 발명의 범위를 벗어나지 않으면서 구현될 수 있다. 본 발명의 범위는 하기 청구항들에 의해 정해진다.

Claims (25)

  1. 대형 기판 상에 유전체를 증착시키는 방법으로서,
    처리 챔버 내부의 기판 지지 어셈블리 상에 표면적이 적어도 0.357 입방미터인 기판을 위치시키는 단계;
    상기 기판을 가열시키는 단계;
    대략 730 sccm보다 빠른 유속으로 적어도 하나의 선구체 가스를 상기 처리 챔버 안으로 유동시키는 단계;
    상기 처리 챔버 내에서 상기 적어도 하나의 선구체 가스로부터 플라즈마를 형성하는 단계; 및
    분당 대략 3,000 내지 적어도 대략 4,000 옹스트롬의 속도로 유전체를 증착시키는 단계
    를 포함하는 유전체 증착 방법.
  2. 제 1 항에 있어서,
    상기 기판을 가열시키는 단계는 섭씨 대략 350 내지 440 도 사이로 상기 기판을 가열시키는 단계를 더 포함하는 것을 특징으로 하는 유전체 증착 방법.
  3. 제 1 항에 있어서,
    상기 유전체를 증착시키는 단계는 실리콘옥사이드를 증착시키는 단계를 더 포함하는 것을 특징으로 하는 유전체 증착 방법.
  4. 제 1 항에 있어서, 상기 적어도 하나의 선구체 가스를 유동시키는 단계는:
    상기 처리 챔버에 결합된 증발기 안으로 TEOS를 유동시키는 단계;
    상기 선구체 가스를 발생시키기 위해 섭씨 대략 90 내지 대략 150 도 사이의 온도로 상기 증발기를 가열시키는 단계; 및
    형성된 증기를 상기 처리 챔버 안으로 유동시키는 단계
    를 더 포함하는 것을 특징으로 하는 유전체 증착 방법.
  5. 제 4 항에 있어서,
    상기 선구체 가스의 유속은 분당 대략 20 내지 대략 100 그램의 범위인 것을 특징으로 하는 유전체 증착 방법.
  6. 제 4 항에 있어서,
    상기 선구체 가스의 유속은 적어도 대략 2,320 sccm인 것을 특징으로 하는 유전체 증착 방법.
  7. 제 4 항에 있어서,
    섭씨 대략 90 도 이상의 온도로 상기 증발기와 상기 처리 챔버 사이에 배치된 상기 선구체 가스를 유지시키는 단계를 더 포함하는 것을 특징으로 하는 유전체 증착 방법.
  8. 제 7 항에 있어서,
    상기 증발기와 상기 처리 챔버 사이에 배치된 상기 선구체 가스는 섭씨 대략 90 내지 대략 150 도인 것을 특징으로 하는 유전체 증착 방법.
  9. 제 4 항에 있어서,
    상기 증발기로 진입하기 전에 상기 TEOS와 헬륨를 혼합시키는 단계를 더 포함하는 것을 특징으로 하는 유전체 증착 방법.
  10. 제 4 항에 있어서,
    상기 유전체는 분당 적어도 대략 1,000 내지 적어도 대략 1,000 내지 대략 3,500 옹스트롬의 속도로 증착되는 것을 특징으로 하는 유전체 증착 방법.
  11. 제 1 항에 있어서,
    상기 적어도 하나의 선구체 가스를 유동시키는 단계는 상기 선구체 가스를 산소와 결합시키는 단계를 더 포함하는 것을 특징으로 하는 유전체 증착 방법.
  12. 제 11 항에 있어서,
    상기 선구체 가스와 결합된 산소는 대략 2,000 내지 대략 15,000 sccm의 유속으로 공급되는 것을 특징으로 하는 유전체 증착 방법.
  13. 액체 유동 제어기;
    상기 유동 제어기를 미리설정된 온도로 유지시키기 위해 상기 유동 제어기와 함께 작동하는 온도 제어기; 및
    상기 유동 제어기에 결합된 주입구 및 가스 상태의 선구체를 반도체 처리 챔버로 유동시키는 배출구를 갖는 증발기
    를 포함하는 증발기 모듈.
  14. 제 13 항에 있어서,
    상기 증발기와 상기 유동 제어기 사이에 배치된 단열 부재를 더 포함하는 것을 특징으로 하는 증발기 모듈.
  15. 제 14 항에 있어서,
    상기 절연 부재는 적어도 부분적으로 실리콘 고무로 제조되는 것을 특징으로 하는 증발기 모듈.
  16. 제 14 항에 있어서,
    상기 증발기와 상기 유동 제어기를 하우징하는 콘테이너를 더 포함하는 것을 특징으로 하는 증발기 모듈.
  17. 제 13 항에 있어서,
    상기 증발기는 섭씨 대략 90 내지 150 도 범위의 온도로 가열되고 상기 유동 제어기는 섭씨 대략 25 도로 유지되는 것을 특징으로 하는 증발기 모듈.
  18. 제 13 항에 있어서,
    상기 유동 제어기에 결합된 열 싱크를 더 포함하는 것을 특징으로 하는 증발기 모듈.
  19. 제 18 항에 있어서,
    상기 열 싱크 부근에 배치되고 상기 유동 제어기를 냉각시키기 위해 상기 열 싱크와 함께 작동하는 팬을 더 포함하는 것을 특징으로 하는 증발기 모듈.
  20. 제 13 항에 있어서, 상기 증발기는:
    두께가 적어도 0.125 인치이고 제 1 측부를 갖는 제 1 열 전도성 플레이트;
    상기 제 1 플레이트의 제 1 측부에 결합되어 상기 증발기를 한정하는 제 2 열 전도성 플레이트; 및
    상기 제 1 플레이트 내에서 적어도 부분적으로 머시닝되고 상기 제 2 플레이트에 의해 덮이며 상기 증발기의 입구 및 출구와 결합되는 다수의 홈들
    을 더 포함하는 것을 특징으로 하는 증발기 모듈.
  21. 제 13 항에 있어서,
    상기 출구에 결합되고 대략 0.140 내지 대략 0.187 인치의 구멍을 갖는 제한기를 더 포함하는 것을 특징으로 하는 증발기 모듈.
  22. 반도체 처리에 사용하기에 적합한 증발기 모듈로서,
    두께가 적어도 0.125 인치이고 제 1 측부를 갖는 제 1 열 전도성 플레이트;
    상기 제 1 플레이트의 제 1 측부에 결합되어 증발기 어셈블리를 한정하는 제 2 열 전도성 플레이트;
    적어도 부분적으로 상기 제 1 플레이트 내에서 형성되고 상기 제 2 플레이트에 의해 덮인 다수의 홈들;
    상기 증발기 어셈블리의 제 1 단부에 형성된 제 1 포트; 및
    상기 증발기 어셈블리의 제 2 단부에 형성되고 상기 홈들에 의해 상기 제 1 포트에 유동적으로 결합된 제 2 포트
    를 포함하는 증발기 모듈.
  23. 제 22 항에 있어서,
    상기 제 2 포트에 결합되고 대략 0.140 내지 대략 0.187 인치의 구멍을 갖는 제한기를 더 포함하는 것을 특징으로 하는 증발기 모듈.
  24. 제 22 항에 있어서,
    상기 홈들은 머시닝되고 섭씨 대략 90 내지 대략 150 도 사이로 가열될 때 분당 적어도 20 그램의 TEOS를 증발시키기에 충분한 표면적을 갖는 것을 특징으로 하는 증발기 모듈.
  25. 제 22 항에 있어서,
    상기 홈은 대칭형이며 섭씨 대략 90 내지 대략 150 도 사이로 가열될 때 분당 적어도 100 그램의 TEOS를 증발시키기에 충분한 표면적을 갖는 것을 특징으로 하는 증발기 모듈.
KR1020057017242A 2003-04-07 2004-04-05 대면적 기판 상에 실리콘 산화물을 증착하기 위한 방법 및 장치 KR101160357B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/409,466 2003-04-07
US10/409,466 US7031600B2 (en) 2003-04-07 2003-04-07 Method and apparatus for silicon oxide deposition on large area substrates
PCT/US2004/010543 WO2004093163A2 (en) 2003-04-07 2004-04-05 Method and apparatus for silicone oxide deposition on large area substrates

Publications (2)

Publication Number Publication Date
KR20050120641A true KR20050120641A (ko) 2005-12-22
KR101160357B1 KR101160357B1 (ko) 2012-06-26

Family

ID=33097841

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057017242A KR101160357B1 (ko) 2003-04-07 2004-04-05 대면적 기판 상에 실리콘 산화물을 증착하기 위한 방법 및 장치

Country Status (7)

Country Link
US (2) US7031600B2 (ko)
EP (1) EP1644972A2 (ko)
JP (1) JP4933894B2 (ko)
KR (1) KR101160357B1 (ko)
CN (3) CN101643896B (ko)
TW (1) TWI297739B (ko)
WO (1) WO2004093163A2 (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040237889A1 (en) * 2003-05-28 2004-12-02 Winbond Electronics Corporation Chemical gas deposition process and dry etching process and apparatus of same
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8170404B2 (en) * 2004-05-20 2012-05-01 Akzo Nobel N.V. Bubbler for constant vapor delivery of a solid chemical
DE102004061095A1 (de) * 2004-12-18 2006-06-22 Aixtron Ag Vorrichtung zur temperierten Aufbewahrung eines Behälters
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
JP2010538475A (ja) * 2007-08-31 2010-12-09 アプライド マテリアルズ インコーポレイテッド 多サイズの光起電デバイスを形成するための生産ラインモジュール
US20100047954A1 (en) * 2007-08-31 2010-02-25 Su Tzay-Fa Jeff Photovoltaic production line
US8168268B2 (en) * 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US8965185B2 (en) * 2009-03-02 2015-02-24 Btu International, Inc. Infrared furnace system
US20120009347A1 (en) * 2010-07-07 2012-01-12 Applied Materials, Inc. Precise temperature control for teos application by heat transfer fluid
JP6303733B2 (ja) * 2014-03-31 2018-04-04 ソニー株式会社 磁気記録媒体およびその製造方法、ならびに成膜装置
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US20200149162A1 (en) * 2017-07-25 2020-05-14 Fujikin Incorporated Fluid control device
FR3079345B1 (fr) * 2018-03-26 2020-02-21 Soitec Procede de fabrication d'un substrat pour dispositif radiofrequence
CN112342531A (zh) * 2020-10-19 2021-02-09 绍兴同芯成集成电路有限公司 一种利用低频射频电浆制备ild绝缘层的晶圆制造工艺

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2092908A (en) 1981-02-18 1982-08-25 Nat Res Dev Method and apparatus for delivering a controlled flow rate of reactant to a vapour deposition process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
ZA884511B (en) * 1987-07-15 1989-03-29 Boc Group Inc Method of plasma enhanced silicon oxide deposition
JP2631481B2 (ja) * 1987-12-08 1997-07-16 株式会社 リンテック 質量流量計とその計測方法
JPH0784662B2 (ja) 1989-12-12 1995-09-13 アプライドマテリアルズジャパン株式会社 化学的気相成長方法とその装置
US5078092A (en) * 1989-12-22 1992-01-07 Corning Incorporated Flash vaporizer system for use in manufacturing optical waveguide fiber
JPH0795527B2 (ja) * 1991-02-05 1995-10-11 株式会社リンテック 液体原料用気化供給器
JPH06291040A (ja) * 1992-03-03 1994-10-18 Rintetsuku:Kk 液体気化供給方法と液体気化供給器
JPH1089532A (ja) * 1995-12-13 1998-04-10 Rintetsuku:Kk 気化装置の弁構造
JPH10150030A (ja) * 1996-11-19 1998-06-02 Kokusai Electric Co Ltd 成膜装置
US5849089A (en) * 1997-03-14 1998-12-15 Kabushiki Kaisha Toshiba Evaporator for liquid raw material and evaporation method therefor
JP2000017457A (ja) * 1998-07-03 2000-01-18 Shincron:Kk 薄膜形成装置および薄膜形成方法
US6261374B1 (en) * 1998-09-29 2001-07-17 Applied Materials, Inc. Clog resistant gas delivery system
DE29903296U1 (de) * 1999-02-24 2000-08-03 Cpc Cellular Process Chemistry Mikroreaktor
JP2001104769A (ja) 1999-10-04 2001-04-17 Mitsui Eng & Shipbuild Co Ltd 揮発性物質の供給装置およびその制御方法
KR100436657B1 (ko) * 2001-12-17 2004-06-22 미래산업 주식회사 반도체 소자 테스트 핸들러의 소자 가열 및 냉각장치

Also Published As

Publication number Publication date
TWI297739B (en) 2008-06-11
WO2004093163A2 (en) 2004-10-28
EP1644972A2 (en) 2006-04-12
US20040194701A1 (en) 2004-10-07
CN101643896B (zh) 2013-04-17
CN101319311A (zh) 2008-12-10
JP4933894B2 (ja) 2012-05-16
CN101643896A (zh) 2010-02-10
CN101319311B (zh) 2011-06-22
JP2006522495A (ja) 2006-09-28
CN1759476A (zh) 2006-04-12
US20060127068A1 (en) 2006-06-15
TW200427862A (en) 2004-12-16
WO2004093163A3 (en) 2004-12-23
CN100555581C (zh) 2009-10-28
US7031600B2 (en) 2006-04-18
KR101160357B1 (ko) 2012-06-26

Similar Documents

Publication Publication Date Title
US20060127068A1 (en) Method and apparatus for silicon oxide deposition on large area substrates
US6454860B2 (en) Deposition reactor having vaporizing, mixing and cleaning capabilities
CN101042992B (zh) 半导体处理用的立式等离子体处理装置
US9982340B2 (en) Shower head apparatus and method for controlling plasma or gas distribution
US7094708B2 (en) Method of CVD for forming silicon nitride film on substrate
US8697578B2 (en) Film formation apparatus and method for using same
US5525159A (en) Plasma process apparatus
US7253084B2 (en) Deposition from liquid sources
CN100577865C (zh) 为介质cvd膜实现晶片间厚度均匀性的高功率介质干燥
CN101051606B (zh) 立式等离子体处理装置和半导体处理方法
US20060065254A1 (en) Vaporizer
KR101976559B1 (ko) 핫 와이어 화학 기상 증착(hwcvd) 챔버를 이용하여 기판의 표면을 세정하기 위한 방법들
US20090078201A1 (en) Vertical plasma processing apparatus for semiconductor process
JP2001257202A (ja) 高温フィルタ
US9546422B2 (en) Semiconductor device manufacturing method and substrate processing method including a cleaning method
KR20150004651U (ko) 분리된 가스 피드 라인들을 갖는 플라즈마 프로세스 챔버
JP4537101B2 (ja) 液体材料供給装置、液体材料供給装置のための制御方法
JP2007096189A (ja) プラズマcvd装置の管理方法
JP4713747B2 (ja) 薄膜形成装置
US20200258762A1 (en) Temperature control apparatus
US20120009347A1 (en) Precise temperature control for teos application by heat transfer fluid
KR20230159859A (ko) 기판을 프로세싱하기 위한 방법들 및 장치
KR20090000953A (ko) 증착장비의 기화기

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 6