KR20050067362A - 플라즈마 처리장치 - Google Patents

플라즈마 처리장치 Download PDF

Info

Publication number
KR20050067362A
KR20050067362A KR1020050007970A KR20050007970A KR20050067362A KR 20050067362 A KR20050067362 A KR 20050067362A KR 1020050007970 A KR1020050007970 A KR 1020050007970A KR 20050007970 A KR20050007970 A KR 20050007970A KR 20050067362 A KR20050067362 A KR 20050067362A
Authority
KR
South Korea
Prior art keywords
plasma
capacitance
electrode
high frequency
plasma processing
Prior art date
Application number
KR1020050007970A
Other languages
English (en)
Other versions
KR100517036B1 (ko
Inventor
아끼라 나까노
다다히로 오미
Original Assignee
알프스 덴키 가부시키가이샤
다다히로 오미
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 알프스 덴키 가부시키가이샤, 다다히로 오미 filed Critical 알프스 덴키 가부시키가이샤
Publication of KR20050067362A publication Critical patent/KR20050067362A/ko
Application granted granted Critical
Publication of KR100517036B1 publication Critical patent/KR100517036B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • B65G47/91Devices for picking-up and depositing articles or materials incorporating pneumatic, e.g. suction, grippers
    • B65G47/912Devices for picking-up and depositing articles or materials incorporating pneumatic, e.g. suction, grippers provided with drive systems with rectilinear movements only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Mechanical Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

(과제) 플라즈마 처리를 실시하는 챔버 전체적으로는 전기적 고주파적인 특성이 고려되지 않고, 복수개의 플라즈마 처리실마다의 기기별 차이로 인해, 플라즈마 처리를 균등하게 실시하기 위해서는 방대한 조정시간이 필요했었다.
(해결수단) 플라즈마를 여기하기 위한 전극 (4,8) 을 갖는 플라즈마 챔버 (75) 와, 이 전극 (4) 에 접속된 고주파전원 (1) 과, 플라즈마 챔버 (75) 와 고주파전원 (1) 의 임피던스 정합을 얻기 위한 정합회로 (2A) 를 구비하고, 전극 (4,8) 사이의 플라즈마 전극용량 (Ce) 의 26 배가 상기 전극 (4) 과 직류적으로 어스된 각 접지전위부 사이의 용량 (손실용량) (Cx) 보다 큰 범위의 값으로 설정된다.

Description

플라즈마 처리장치{PLASMA PROCESSING APPARATUS}
본 발명은 플라즈마 처리장치, 플라즈마 처리시스템 및 이들의 성능확인시스템, 검사방법에 관한 것으로서, 특히 복수개의 플라즈마 처리실을 갖고, 보다 높은 주파수의 전력공급에 대응하여 전력소비효율의 향상과 피형성막 특성의 향상에 사용하기에 적합한 기술에 관한 것이다.
CVD (chemical vapor deposition), 스퍼터링, 드라이에칭, 애싱 등의 플라즈마 처리를 실시하는 플라즈마 처리장치의 일례로서는, 종래부터 도 31 에 나타낸 바와 같은 소위 2 주파 여기형의 것이 알려져 있다.
도 31 에 나타낸 플라즈마 처리장치는 고주파전원 (1) 과 플라즈마 여기전극 (4) 사이에 정합회로 (2A) 가 개재되어 있다. 정합회로 (2A) 는 고주파전원 (1) 과 플라즈마 여기전극 (4) 사이의 임피던스의 정합을 얻기 위한 회로로서 설치되어 있다.
고주파전원 (1) 으로부터의 고주파전력은 정합회로 (2A) 를 통해 급전판 (3) 에 의해 플라즈마 여기전극 (4) 으로 공급된다. 이 정합회로 (2A) 는 도전체로 이루어진 하우징에 의해 형성되는 매칭박스 (2) 내에 수납되어 있고, 플라즈마 여기전극 (4) 및 급전판 (3) 은 도체로 이루어진 섀시 (21) 에 의해 덮여 있다.
플라즈마 여기전극 (캐소드전극) (4) 의 하측에는 환형 볼록부 (4a) 가 형성됨과 동시에, 이 플라즈마 여기전극 (캐소드전극) (4) 의 하측에는 다수의 구멍 (7) 이 형성되어 있는 샤워 플레이트 (5) 가 볼록부 (4a) 에 접해 설치되어 있다. 이들 플라즈마 여기전극 (4) 과 샤워 플레이트 (5) 사이에는 공간 (6) 이 형성되어 있다. 이 공간 (6) 에는 가스도입관 (17) 이 접속되어 있고, 도체로 이루어진 가스도입관 (17) 의 중간에는 절연체 (17a) 가 삽입되어 플라즈마 여기전극 (14) 측과 가스공급원측이 절연되어 있다.
가스도입관 (17) 에서 도입된 가스는, 샤워 플레이트 (5) 의 구멍 (7) 을 통해 챔버벽 (10) 에 의해 형성된 챔버실 (60) 내로 공급된다. 그리고, 부호 9 는 챔버벽 (10) 과 플라즈마 여기전극 (캐소드전극) (4) 을 절연하는 절연체이다. 또한, 배기계의 도시는 생략한다.
한편, 챔버실 (60) 내에는 기판 (16) 을 탑재하여 플라즈마 여기전극으로도 되는 웨이퍼 서셉터 (서셉터전극) (8) 가 설치되어 있으며 그 주위에는 서셉터실드 (12) 가 설치되어 있다.
서셉터실드 (12) 는 서셉터전극 (8) 을 지지하는 실드 지지판 (12A) 과, 이 실드 지지판 (12A) 의 중앙부에 수직 하측으로 형성된 통형의 지지통 (12B) 으로 구성되고, 지지통 (12B) 은 챔버저부 (10A) 를 관통하여 설치됨과 동시에, 이 지지통 (12B) 의 하단부와 챔버저부 (10A) 가 벨로스 (11) 에 의해 밀폐접속되어 있다.
웨이퍼 서셉터 (8) 및 서셉터실드 (12) 는, 이들 틈이 샤프트 (13) 주위에 형성된 전기절연물로 이루어진 절연수단 (12C) 에 의해 진공 절연됨과 동시에 전기적으로도 절연되어 있다. 또한, 웨이퍼 서셉터 (8) 및 서셉터실드 (12) 는 벨로스 (11) 에 의해 상하구동 가능하게 되어 있어 플라즈마 여기전극 (4,8) 사이의 거리를 조정할 수 있다.
웨이퍼 서셉터 (8) 에는 샤프트 (13) 및 매칭박스 (14) 내에 수납된 정합회로를 통해 제 2 고주파전원 (15) 이 접속되어 있다. 그리고, 챔버벽 (10) 과 서셉터실드 (12) 는 직류적으로 동전위로 되어 있다.
도 32 에 종래의 플라즈마 처리장치의 다른 예를 나타낸다. 도 31 에 나타낸 플라즈마 처리장치와는 달리, 도 32 에 나타낸 플라즈마 처리장치는 1 주파 여기형 플라즈마 처리장치이다. 즉, 캐소드전극 (4) 에만 고주파전력을 공급하고, 서셉터전극 (8) 은 접지되어 있다. 도 31 에서 나타낸 고주파전원 (15) 과 매칭박스 (14) 가 생략되어 있다. 또한, 서셉터전극 (8) 과 챔버벽 (10) 은 직류적으로 동전위로 되어 있다.
상기 플라즈마 처리장치에 있어서는, 일반적으로 13.56 ㎒ 정도의 주파수전력을 투입하여 두 전극 (4,8) 사이에서 플라즈마를 생성하고, 이 플라즈마에 의해 CVD (chemical vapor deposition), 스퍼터링, 드라이에칭, 애싱 등의 플라즈마처리를 실시한다.
그리고, 이와 같은 플라즈마 처리장치의 동작확인 및 동작의 평가방법으로서는, 예컨대, 다음과 같이 실제로 막형성 등의 처리를 실시하고 이 피형성막 특성을 평가하는 방법으로 실시하였다.
(1) 퇴적속도와 막면내 균일성
① 기판상에 플라즈마 CVD 에 의해 원하는 막을 형성한다.
② 레지스트의 패터닝을 실시한다.
③ 막을 드라이에칭한다.
④ 애싱에 의해 레지스트를 박리한다.
⑤ 막의 막두께 단차를 촉침식 단차계에 의해 계측한다.
⑥ 막형성시간과 막두께로부터 퇴적속도를 산출한다.
⑦ 막면내 균일성은 6 인치 기판면내에서 16 포인트로 측정한다.
(2) BHF 에칭율
상기 (1) ① ∼ ② 와 동일한 방법으로 레지스트 마스크를 패터닝한다.
③ BHF 액에 1 분간 기판을 침지한다.
④ 순수로 세정한 후에 건조시키고, 레지스트를 황산과산화수소 (H2SO4+H2O 2) 로 박리한다.
⑤ 상기 (1) ⑤ 와 동일하게 단차를 계측한다.
⑥ 침지시간과 단차로부터 에칭율을 산출한다.
(3) 절연내압
① 유리기판상에 스퍼터링에 의해 도전성 막을 형성하고, 하부전극으로 패터닝한다.
② 플라즈마 CVD 에 의해 절연막을 형성한다.
③ ① 과 동일한 방법으로 상부전극을 형성한다.
④ 하부전극용으로 콘택트 홀을 형성한다.
⑤ 상하전극에 프로빙하여 Ⅰ-Ⅴ 특성 (전류전압특성) 을 측정한다. 이 때 최대전압으로서 200 V 정도까지 인가한다.
⑥ 전극면적을 한변이 100 ㎛ 인 정사각형으로 하고 100 pA 를 흘려보내면, 1 ㎂/㎠ 에 상당하는데, 이 때의 V 를 절연내압으로서 정의한다.
그리고, 상기한 바와 같은 플라즈마 처리장치에 대해서는, 종래부터 반도체 및 액정제조에 사용되는 경우에 있어서, 플라즈마 처리속도 (막형성시의 퇴적속도나 가공속도) 가 빨라 생산성이 높아야 하는 점 및 피처리기체 면내방향에 있어서의 플라즈마처리의 균일성 (막두께의 막 면내방향 분포, 가공처리 편차의 막 면내방향 분포) 이 우수해야 하는 점이 최근 피처리기판의 대형화에 따라 훨씬 강하게 요구되고 있다. 또한, 피처리기판의 대형화에 따라 투입전력량도 ㎾ 단위로 투입될 때까지 증대하여 전력소비량이 증가하는 경향이 있다. 따라서, 전원의 고용량화에 따라 전원의 개발비용이 증대함과 동시에, 장치 가동시에 전력 사용이 증가하는 점에서 러닝 코스트의 절감이 요구되고 있다.
또한, 전력소비량이 증대하면 환경오염의 원인인 이산화탄소의 배출량이 증대된다. 이것은 피처리기판의 대형화에 따라 방출량이 더욱 증대됨과 동시에 전력소비효율을 더욱 낮춰서 전력소비량이 증대하므로, 이 이산화탄소의 방출량 감소에 대한 요구도 높아지고 있다.
한편, 플라즈마 여기주파수로서 종래 일반적이었던 13.56 ㎒ 에 비해, 이것을 초과하는 30 ㎒ 이상의 VHF 대의 주파수를 사용하는 등 고주파수화를 도모함으로써, 생성되는 플라즈마 밀도를 향상시킬 수 있다. 그 결과, 플라즈마 CVD 등의 퇴적장치에 있어서는 막형성시의 퇴적속도를 향상시킬 수 있는 가능성이 보였다.
그리고, 상기한 바와 같은 플라즈마 챔버를 복수개 갖는 플라즈마 처리장치에 대해서는, 개개의 플라즈마 챔버에 대해 플라즈마 처리의 기기별 차이를 없애고, 다른 플라즈마 챔버에서 처리한 피처리기판에 있어서도 플라즈마 처리속도 (막형성시의 퇴적속도나 가공속도) 나 생산성, 그리고 피처리기체의 면내방향에 있어서의 플라즈마처리의 균일성 (막두께의 막 면내방향 분포) 등의 처리의 편차를 없애고자 하는 요구가 있다.
동시에, 플라즈마 챔버를 복수개 갖는 플라즈마 처리장치에 대해서는, 개개의 플라즈마 챔버에 대해 공급하는 가스유량이나 압력, 공급전력, 처리시간 등의 외부 파라미터가 같은 동일한 프로세스 레서피를 적용하여 동일한 플라즈마 처리결과를 얻는 것이 요구되고 있다.
그리고, 플라즈마 처리장치의 신규설치시나 조정·보수점검시에 복수개의 플라즈마 챔버마다의 기기별 차이를 없애고 처리의 편차를 없애서 동일한 프로세스 레서피에 의해 거의 동일한 처리결과를 얻는 데 필요한 조정시간의 단축이 요구됨과 동시에 이와 같은 조정에 필요한 비용의 절감이 요구되고 있었다.
그리고, 상기와 같이 플라즈마 처리장치를 복수개 갖는 플라즈마 처리시스템에 대해서도, 마찬가지로 플라즈마 처리장치에 있어서의 개개의 플라즈마 챔버에 대해 플라즈마 처리의 기기별 차이를 없애고자 하는 요구가 있었다.
그러나, 상기 플라즈마 처리장치에 있어서는, 13.56 ㎒ 정도의 주파수전력을 투입하도록 설계되어 있어서, 그 이상의 주파수전력을 투입하는 것에는 대응하지 못했다. 보다 구체적으로는 주파전력을 투입하는 부분, 즉 플라즈마 처리를 실시하는 플라즈마 챔버 전체적으로 용량, 임피던스, 공진주파수 특성 등의 전기적 고주파적인 특성을 고려하지 않고 있으며, 공급한 전력 중 플라즈마 발생공간으로 투입되는 플라즈마 전류 (Ie) 에 대해 플라즈마 챔버의 다른 부분으로 흘러가는 손실전류 (Ix) 가 커지고, 결과적으로 플라즈마 발생공간으로 투입되는 전력이 저절로 감소하여 플라즈마 밀도가 감소한다는 문제가 있었다.
또한, 전기적 고주파적인 특성이 고려되고 있지 않기 때문에, 13.56 ㎒ 정도 이상의 고주파 전력을 투입한 경우, 전력소비효율이 올라가지 않아서 막형성시에 퇴적속도를 향상시키지 못할 뿐만 아니라 오히려 퇴적속도가 지연되는 경우가 있다는 문제점이 발생하였다. 그리고, 투입하는 전력을 보다 고주파수화하면, 주파수 상승에 따라 생성되는 플라즈마 밀도는 상승하여 피크에 도달하고, 그 후 감소로 전환하여 마침내는 글로방전할 수 없게 되어 고주파수화의 의미가 없어진다는 문제점이 발생하였다.
플라즈마 챔버를 복수개 갖는 플라즈마 처리장치나 플라즈마 처리시스템에 있어서, 각 플라즈마 챔버의 전기적 고주파적인 특성은 각각의 기계적인 치수 등 그 형상에 따라 규정되어 있다. 그러나, 각각의 플라즈마 챔버를 구성하는 각 부품은 제조시에 있어서의 가공상 반드시 기계적 공차로 인해 치수 등의 편차를 갖고 있다. 그리고, 이들 각 부품을 조립하여 플라즈마 챔버를 제조하는 단계에서, 각 플라즈마 챔버에 있어서의 기계적 치수 등의 형상에 조립공차로 인한 편차가 더해진다. 그리고, 각 부품의 조립후에는 치수를 잴 수 없는 부분도 있어서 플라즈마 챔버 전체적으로 당초 설계대로의 전기적 고주파적인 특성을 갖도록 조립이 종료되었는지의 여부를 정량적으로 알 수 있는 수단이 없고, 각 플라즈마 챔버의 전기적 고주파적인 특성의 기기별 차이를 알 수 있는 수단이 없다는 문제가 있었다.
따라서, 다음과 같은 문제점이 발생하였다.
플라즈마 챔버를 복수개 갖는 플라즈마 처리장치나 플라즈마 처리시스템에 대해서는, 복수개의 플라즈마 챔버에 대해 임피던스, 저항, 용량, 공진주파수 특성 등의 전기적 고주파적인 특성의 기기별 차이를 없애는 설계가 이루어져 있지 않기 때문에, 개개의 플라즈마 챔버에 있어서 플라즈마 공간에서 소비되는 실효적인 전력이나 발생하는 플라즈마 밀도 등이 각각 균일하지 않을 가능성이 있다.
따라서, 복수개의 플라즈마 챔버에 대해 동일한 프로세스 레서피를 적용하고 있음에도 불구하고, 동일한 플라즈마 처리결과를 얻지 못할 가능성이 있다.
따라서, 동일한 플라즈마 처리결과를 얻기 위해서는, 개개의 플라즈마 챔버0마다 각각 공급하는 가스유량이나 압력, 공급전력, 처리시간 등의 외부 파라미터와 상기 (1) ∼ (3) 과 같은 평가방법에 의한 처리결과를 비교하여 이들의 상관관계를 파악할 필요가 있는데, 그 데이터량이 방대하여 전부 실시하기가 어렵다.
그리고, 이와 같은 플라즈마 처리장치의 동작확인 및 동작의 평가방법으로서는, 상기 (1) ∼ (3) 과 같은 검사방법을 채택한 경우에는, 적정한 동작을 하고 있는지의 여부를 확인하기 위해서 플라즈마 처리장치를 작동시킬 필요가 있고, 또한 플라즈마 처리장치의 설치장소와는 별도의 검사장소 등에서 피처리기판을 복수개의 단계에 의해 처리측정할 필요가 있다.
따라서, 평가결과가 나올 때까지는 수일 또는 수주일을 필요로 하며, 장치개발단계에서는 플라즈마 처리실의 성능확인에 시간이 너무 걸리기 때문에 이것을 단축하고자 하는 요구가 있었다.
또한, 평가결과가 나올 때까지 수일 또는 수주일이 걸려서 그 기간 동안 제조라인을 정지시키지 않은 경우, 플라즈마 처리를 실시한 피처리기판의 특성은 알 수 없고, 만약 플라즈마 처리장치의 상태가 좋지 않을 때에는 제품으로서의 기준에 미치지 못하는 것을 생산할 우려가 있기 때문에, 보다 간편한 방법으로 플라즈마 처리장치의 동작을 적정한 상태로 유지하고자 하는 요구가 있었다.
그리고, 복수개의 플라즈마 챔버를 갖는 플라즈마 처리장치나 플라즈마 처리시스템에 대해 상기 (1) ∼ (3) 과 같은 검사방법을 채택한 경우에는, 신규설치시나 조정·보수점검시에 복수개의 플라즈마 챔버마다의 기기별 차이를 없애고 처리의 편차를 없애서 동일한 프로세스 레서피에 의해 동일 처리결과를 얻는 데 필요한 조정시간이 월단위로 필요하게 된다. 따라서, 조정기간의 단축이 요구됨과 동시에 이와 같은 조정에 필요한 검사용 기판 등의 비용, 이 검사용 기판의 처리비용 및 조정작업에 종사하는 작업원의 인건비 등 비용이 방대해진다는 문제가 있었다.
본 발명은 상기 사정을 감안하여 이루어진 것으로서, 이하의 목적을 달성하고자 하는 것이다.
① 투입전력에 있어서의 플라즈마 발생공간으로의 공급율을 향상시켜 전력의 소비효율을 향상시키고, 동등한 처리속도 또는 막특성을 얻기 위하여, 종래보다 적은 투입전력으로 충분하도록 전력손실의 저감을 도모할 것.
② 플라즈마 발생밀도를 향상시키고, 플라즈마 처리의 질적인 향상, 즉 피처리기판 면내방향에 있어서의 플라즈마 처리의 균일성 (막두께의 막 면내방향 분포, 가공처리 편차의 막 면내방향 분포) 의 향상 및 플라즈마 CVD, 스퍼터링 등의 퇴적 장치에 있어서는, 퇴적한 막에 있어서의 절연내압 등의 막 특성의 향상을 도모할 것.
③ 플라즈마 여기 주파수의 고주파화에 의한 처리속도 (막형성장치에 있어서는 퇴적속도, 가공장치에 있어서는 가공속도) 의 향상을 도모할 것.
④ 복수개의 플라즈마 챔버에 대해 용량, 임피던스, 공진주파수 특성 등의 전기적 고주파적인 특성의 균일화를 도모할 것.
⑤ 복수개의 플라즈마 챔버에 대해 동일한 프로세스 레서피를 적용하였을 때에 플라즈마 처리결과의 균일화를 도모할 것.
⑥ 복수개의 플라즈마 챔버에 대한 방대한 데이터로부터 외부 파라미터와 상기 (1) ∼ (3) 과 같은 평가방법에 의한 처리결과의 상관관계에 의한 프로세스 조건을 파악할 필요가 없게 할 것.
⑦ 동일한 프로세스 레서피에 의해 거의 동일한 처리결과를 얻는 데 필요한 조정시간을 단축할 것.
⑧ 러닝 코스트 및 조정에 들어가는 비용의 삭감을 도모함과 동시에 생산성의 향상을 도모할 것.
⑨ 기판처리 결과 이외의 간편한 플라즈마 처리실로의 성능판단의 기준을 부여하고, 적정한 동작상태로 간편하게 유지할 수 있는 플라즈마 처리장치 및 플라즈마 처리시스템을 제공할 것.
본 발명의 플라즈마 처리장치는, 플라즈마를 여기하기 위한 전극을 갖는 플라즈마 처리실과, 이 전극으로 고주파전력을 공급하기 위한 고주파전원과, 입력단자와 출력단자를 갖고 이 입력단자에 상기 고주파전원을 접속함과 동시에 상기 전극에 접속한 고주파전력 배전체를 상기 출력단자에 접속함으로써 상기 플라즈마 처리실과 상기 고주파전원의 임피던스 정합을 얻는 정합회로를 구비하고, 상기 고주파전원이 접속된 전극과 쌍을 이루며 협동하여 플라즈마를 발생시키는 전극 사이의 플라즈마 전극용량 (Ce) 의 26 배가, 상기 고주파전원이 접속된 전극과 직류적으로 어스된 각 접지전위부 사이의 용량 (손실용량) (Cx) 보다 큰 범위의 값으로 설정되어 이루어짐으로써 상기 과제를 해결하였다.
또한, 본 발명에 있어서, 상기 플라즈마 전극용량 (Ce) 의 7 배가 상기 용량 (손실용량) (Cx) 보다 큰 범위의 값으로 설정되어 이루어진 수단, 또는 상기 플라즈마 전극용량 (Ce) 의 5 배가 상기 용량 (손실용량) (Cx) 보다 큰 범위의 값으로 설정되어 이루어진 수단을 채택할 수 있다.
본 발명의 플라즈마 처리장치는, 플라즈마를 여기하기 위한 전극을 갖는 플라즈마 처리실과, 상기 전극으로 고주파전력을 공급하기 위한 고주파전원과, 입력단자와 출력단자를 갖고 이 입력단자에 상기 고주파전원을 접속함과 동시에 상기 전극에 접속한 고주파전력 배전체를 상기 출력단자에 접속함으로써 상기 플라즈마 처리실과 상기 고주파전원의 임피던스 정합을 얻는 정합회로를 구비하는 플라즈마 처리실 유닛 (플라즈마 챔버) 을 복수개 구비하는 플라즈마 처리장치로서, 상기 고주파전원이 접속된 전극과 쌍을 이루며 협동하여 플라즈마를 발생시키는 전극 사이의 플라즈마 전극용량 (Ce) 중 그 최대값 (Cemax) 과 최소값 (Cemin) 의 편차가
(Cemax-Cemin)/(Cemax+Cemin) (1)
으로 되고, 이 값이 소정 범위의 값으로 설정되어 이루어짐과 동시에, 상기 고주파전원이 접속된 전극과 직류적으로 어스된 각 접지전위부 사이의 용량 (Cx) 중 그 최대값 (Cxmax) 과 최소값 (Cxmin) 의 편차가
(Cxmax-Cxmin)/(Cxmax+Cxmin) (2)
로 되고, 이 값이 소정 범위의 값으로 설정되어 이루어짐으로써 상기 과제를 해결하였다.
또한, 본 발명에 있어서, 상기 플라즈마 전극용량 (Ce) 의 편차와 상기 용량 (손실용량) (Cx) 의 편차가 모두 0.1 또는 0.03 보다 작은 범위의 값으로 설정되어 이루어질 수 있고, 또한 상기 플라즈마 전극용량 (Ce) 의 26 배가 상기 용량 (손실용량) (Cx) 보다 큰 범위의 값으로 설정되어 이루어질 수 있다.
또한, 본 발명에 있어서, 상기 플라즈마를 여기하기 위한 전극이 평행평판형으로 되고, 이 평행평판형의 대향하는 전극간의 용량이 상기 플라즈마 전극용량 (Ce) 으로 되고, 이 전극 중 상기 고주파전원이 접속된 전극이 상기 플라즈마 처리실의 덮개체의 일부를 구성하여 이루어지고, 이 덮개체에 있어서 상기 정합회로의 상기 출력단자로 되는 측정위치에서 측정한 용량이 상기 용량 (손실용량) (Cx) 으로 되어 이루어지는 수단을 채택할 수 있다.
또한, 본 발명에 있어서, 상기 측정위치 근방에 상기 플라즈마 처리실의 고주파특성을 측정하는 측정용 단자가 형성되고, 플라즈마를 여기할 때에는 상기 측정위치와 상기 측정용 단자의 전기적 접속을 절단함과 동시에 상기 배전체측과 상기 고주파전원측의 전기적 접속을 확보하고, 또한 상기 플라즈마 처리실의 주파수특성을 측정할 때에는 상기 측정위치와 상기 측정용 단자의 전기적 접속을 확보함과 동시에 상기 고주파전원측과 상기 측정위치의 전기적 접속을 절단하는 전환 스위치가 설치되는 것이 바람직하다.
또한, 본 발명의 플라즈마 처리장치 또는 플라즈마 처리시스템의 성능확인시스템에 있어서는, 구입발주자가 판매보수자에게 발주한 상기 플라즈마 처리장치 또는 플라즈마 처리시스템의 동작성능상황을 나타내는 성능상황정보의 열람을 공중회선을 통해 요구하는 구입발주자측 정보단말과, 판매보수자가 상기 성능상황정보를 업로딩하는 판매보수자측 정보단말과, 상기 구입발주자측 정보단말의 요구에 응답하여 판매보수자측 정보단말로부터 업로딩된 성능상황정보를 구입발주자측 정보단말로 제공하는 성능상황정보 제공수단을 구비할 수 있고, 또한 상기 성능상황정보가 상기 플라즈마 전극용량 (Ce) 을 포함하는 것이나, 상기 성능상황정보가 카탈로그 또는 사양서로서 출력될 수 있다.
본 발명의 플라즈마 처리시스템은, 플라즈마를 여기하기 위한 전극을 갖는 플라즈마 처리실과, 상기 전극에 고주파전력을 공급하기 위한 고주파전원과, 입력단자와 출력단자를 갖고 이 입력단자에 상기 고주파전원을 접속함과 동시에 상기 전극에 접속한 고주파전력 배전체를 상기 고주파전원에 접속함으로써 상기 플라즈마 처리실과 상기 고주파전원의 임피던스 정합을 얻는 정합회로를 구비하는 플라즈마 처리장치가 복수개 설치되고,
상기 고주파전원이 접속된 전극과 협동하여 플라즈마를 발생시키는 전극 사이의 플라즈마 전극용량 (Ce) 중 그 최대값 (Cemax) 과 최소값 (Cemin) 의 편차가
(Cemax-Cemin)/(Cemax+Cemin) (1)
로 되고, 이 값이 소정 범위의 값으로 설정되어 이루어짐과 동시에, 상기 고주파전원이 접속된 전극과 직류적으로 어스된 각 접지전위부 사이의 용량 (손실용량) (Cx) 중 그 최대값 (Cxmax) 과 최소값 (Cxmin) 의 편차가
(Cxmax-Cxmin)/(Cxmax+Cxmin) (2)
로 되고, 이 값이 소정 범위의 값으로 설정되어 이루어짐으로써 상기 과제를 해결하였다.
또한, 본 발명에 있어서, 상기 플라즈마 전극용량 (Ce) 의 편차와 상기 용량 (손실용량) (Cx) 의 편차가 모두 0.1 또는 0.03 보다 작은 범위의 값으로 설정되어 이루어질 수 있다.
본 발명에 있어서는, 상기 플라즈마 전극용량 (Ce) 의 26 배가 상기 용량 (Cx) 보다 큰 범위의 값으로 설정되어 이루어질 수 있다.
본 발명에 있어서는, 각 플라즈마 처리실의 상기 측정용 단자에 고주파특성 측정기가 전환이 자유롭게 접속되어 이루어질 수 있다.
본 발명에 있어서는, 플라즈마 전극용량 (Ce) 의 26 배가, 상기 고주파전원이 접속된 전극과 직류적으로 어스된 각 접지전위부 사이의 용량 (손실용량) (Cx) 보다 큰 범위의 값으로 설정되어 이루어짐으로써, 고주파전원에서 공급되는 전류 중 전극 이외로의 분류분을 컨트롤할 수 있게 되기 때문에, 전력을 효율적으로 플라즈마 발생공간으로 도입할 수 있게 되므로, 동일 주파수를 공급한 경우에 종래의 플라즈마 처리장치와 비교하여 플라즈마 공간에서 소비되는 실효적인 전력의 증대를 도모할 수 있다. 그 결과, 막을 적층할 때에는 퇴적속도의 향상을 도모할 수 있다.
여기서, 허수단위를 j(j2=-1), 각진동수를 ω(ω=2πfe ; fe 는 전력주파수) 라 하면, 전류 (I) 는 임피던스 (Z) (Ω) 에 반비례하고, 임피던스 (Z) 는 용량 (C) 에 대해
Z∝-j/ωC
로 표시되는 관계를 만족하고 있기 때문에, 손실용량 (Cx) 을 플라즈마 전극용량 (Ce) 에 대해 설정함으로써, 전극간의 임피던스에 비해 각 접지전위부의 임피던스가 커지고, 그 결과 종래 일반적으로 사용되던 13.56 ㎒ 정도 이상의 높은 주파수의 전력을 투입한 경우에도, 플라즈마 발생공간으로 투입되는 플라즈마 전류 (Ie) 를 증대시킬 수 있게 된다.
이 용량 (손실용량) (Cx) 은 기계적인 구조를 그 대부분의 요인으로 하여 결정되는 전기적 고주파적인 특성으로서, 각 실기마다 다른 것으로 생각된다. 상기 범위로 이 손실용량 (Cx) 을 설정함으로써, 각 실기에 대해서도 종래 고려되지 않았던 부분의 전반적인 전기적 고주파적 특성을 설정할 수 있게 되어 플라즈마 발생의 안정성을 기대할 수 있다. 그 결과, 동작 안정성이 높은 플라즈마 처리장치를 제공할 수 있게 된다.
그 결과, 플라즈마 발생공간으로 투입되는 플라즈마 전류 (Ie) 에 대해 플라즈마 챔버 (플라즈마 처리실 유닛) 의 다른 각 접지전위부로 분류되는 손실전류 (Ix) 를 감소시키고, 플라즈마 발생공간으로 투입되는 실효적인 전력이 저절로 감소하는 것을 방지하여 플라즈마 밀도가 감소하는 것을 방지할 수 있다.
여기서, 손실용량 (Cx) 에 대해 설명한다.
고주파전원에서 공급된 전류 (I) 는, 도 8 에 나타낸 바와 같이 플라즈마 여기전극에 의해 형성되는 플라즈마 발생공간으로 투입되는 플라즈마 전류 (Ie) 와, 그 이외의 부분으로 흘러가는 손실전류 (Ix) 로 분류된다. 이 손실전류 (Ix) 가 유입되는 부분, 즉 고주파전원에 접속된 전극 및 이 전극과 대향하는 전극 사이의 용량 성분 (플라즈마 전극용량 (Ce)) 이외의 고주파전원에 접속된 전극 및 플라즈마 챔버에 있어서의 어스된 각 접지전위부 사이에 발생하는 용량 성분을 총괄하여 손실용량 (Cx) 이라 한다.
그리고, 본 발명에 있어서, 상기 플라즈마 전극용량 (Ce) 의 7 배가 상기 용량 (손실용량) (Cx) 보다 큰 범위의 값으로 설정되어 이루어지는 것이 바람직하고, 이 범위로 설정함으로써, 각 접지전위부로 분류되는 고주파 전류의 감소를 도모할 수 있게 되어 동일한 플라즈마 처리조건에 있어서는 플라즈마 발생공간으로 투입되는 실효적인 전력을 한층 더 향상시킬 수 있게 되고, 처리속도도 향상시킬 수 있다. 특히, 막형성 처리를 실시할 때에는 막의 퇴적속도를 더욱 향상시킬 수 있다. 또한, 플라즈마 발생공간으로 투입되는 실효적인 전력이 향상됨으로써, 형성된 막의 특성을 보다 향상시킬 수 있다. 예컨대, 절연막의 형성시에는 막의 절연내압의 향상을 도모할 수 있게 된다. 동시에, 고주파전원에 접속된 전극으로부터 이 전극과 대향하는 전극을 향하는 고주파전류를 이들 전극간에 수속시킬 수 있게 되고, 플라즈마 발생공간으로 투입되는 실효적인 전력이 향상됨으로써, 막 면내방향에서 피형성막의 균일성을 향상시킬 수 있다. 즉, 막두께나 절연내압 등의 막특성의 막 면내방향에서의 편차를 감소시킬 수 있게 된다.
그리고, 본 발명에 있어서, 상기 플라즈마 전극용량 (Ce) 의 5 배가 상기 용량 (손실용량) (Cx) 보다 큰 범위의 값으로 설정되어 이루어짐으로써, 동일 조건으로서 처리속도, 막의 면내방향의 균일성, 막특성을 얻는 데 필요한 전력을 종래에 비해 절감할 수 있게 되어 전력절약화를 도모하고, 러닝 코스트의 저감을 도모할 수 있다. 여기서, 막형성의 경우, 처리속도는 퇴적속도, 막의 면내방향의 균일성으로서는 막두께나 막특성, 막특성으로서는 절연내압에 해당한다.
또한, 본 발명에 있어서, 구체적으로는 상기 플라즈마를 여기하기 위한 전극이 평행평판형으로 되고, 이 평행평판형의 대향하는 전극간의 용량이 상기 플라즈마 전극용량 (Ce) 으로 되고, 이 전극 중 상기 고주파전원이 접속된 전극이 상기 플라즈마 처리실의 덮개체의 일부를 구성하고, 이 덮개체에 있어서 후술하는 측정위치에 의해 설정되는 측정범위로서 고주파수특성 측정기 등에 의해 측정한 용량이 상기 용량 (손실용량) (Cx) 으로 되므로, 상기 덮개체를 플라즈마 챔버에서 분리하거나 또는 덮개체를 열어 덮개체의 전체 용량을 상기 측정범위에서 계측함으로써 손실용량 (Cx) 을 산출할 수 있다.
또는, 평행평판형의 대향하는 전극간의 플라즈마 전극용량 (Ce) 을 그 형상으로부터 수치적으로 산출할 수 있으므로, 덮개체를 플라즈마 챔버에서 분리하지 않고, 상기 플라즈마 챔버의 전체 용량 (Cr) 을 상기 측정범위로 하여 고주파수특성 측정기 등에 의해 측정하고, 이 전체 용량 (C r) 과 플라즈마 전극용량 (Ce) 을 비교함으로써 손실용량 (Cx) 을 산출할 수 있다.
그럼으로써, 범용성이 높은 저렴한 장치에 의해 전기적 고주파적 특성으로서의 용량을 측정할 수 있게 된다.
이어서, 이 플라즈마 챔버의 용량을 측정하기 위한 측정 범위에 대해 설명한다.
여기서, 상기 측정범위로서는, 도 1 에 나타낸 바와 같이 덮개체에 있어서 상기 정합회로의 상기 출력단자로 되는 측정위치 (PR) 보다 플라즈마 처리실측, 즉 이 측정위치 (PR) 로부터 고주파전력 배전체, 플라즈마 여기 전극측 플라즈마 처리실의 범위를 설정할 수 있다.
여기서, 정합회로는 플라즈마 챔버내의 플라즈마 상태 등의 변화에 대응하여 임피던스를 조정하기 위해, 대부분 복수개의 수동소자를 구비하는 구성으로 되어 있다.
도 2 는 정합회로 (2A) 를 나타낸 모식도이다.
예컨대, 정합회로 (2A) 로서는, 도 2 에 나타낸 바와 같이 고주파전원 (1) 과 플라즈마 방전용 전극 (4) 사이에 코일 (23) 과 튜닝콘덴서 (24) 가 직렬로 설치되고, 고주파전원 (1) 에는 다른 로드콘덴서 (22) 가 병렬로 접속되며 일단이 어스되어 있는 구성의 정합회로 (2A) 를 들 수 있다. 이와 같은 정합회로의 수동소자 중 출력 최종단의 수동소자의 출력단자 위치에서 잘라내는, 즉 직접 전극 (4) 측에 접속되는 소자, 상기 예의 경우에는 튜닝콘덴서 (24) 의 출력단자 위치 (PR) 에서 정합회로 (2A) 를 잘라낸 상태에서 이보다 앞의 플라즈마 챔버 부분을 상기 측정범위로 정의한다.
도 4 는 측정시에 있어서의 덮개체를 나타낸 모식도이다.
덮개체의 전체 용량을 계측하는 경우에도 동일한 방법으로, 도 4 에 나타낸 바와 같이 플라즈마 챔버에서 덮개체를 분리한 상태에서 이 출력단자 위치 (PR) 에서 전극 (4) 의 용량성분을 계측함으로써 손실용량 (Cx) 을 도출할 수 있다. 여기서, 손실용량 (Cx) 은 후술하는 바와 같이 도면에 나타낸 CA, CB, CC 등의 합성용량으로서 구할 수 있다.
또한, 본 발명에 있어서, 상기 측정위치 근방에 상기 플라즈마 처리실의 고주파특성을 측정하는 측정용 단자가 형성되고, 플라즈마를 여기할 때에는 상기 측정위치와 상기 측정용 단자의 전기적 접속을 절단함과 동시에 상기 배전체측과 상기 고주파전원측의 전기적 접속을 확보하고, 또한 상기 플라즈마 처리실의 고주파특성을 측정할 때에는 상기 측정위치와 상기 측정용 단자의 전기적 접속을 확보함과 동시에 상기 고주파전원측과 상기 측정위치의 전기적 접속을 절단하는 전환 스위치가 설치됨으로써, 측정시에 측정용 단자와, 고주파전원, 고주파전력 급전체, 정합회로, 고주파전력 배전체, 플라즈마 여기용 전극에 이르는 도통을 잘라내기 위하여, 전력공급부분과 각각의 측정위치에 대응한 고주파전원측 부분을 착탈할 필요가 없으며, 각 플라즈마 챔버의 고주파특성 (용량) 을 측정할 때의 프로빙을 쉽게 실시할 수 있다. 또한, 이 스위치에 의해 측정위치에서 고주파전원, 고주파전력 급전체, 정합회로, 고주파전력 배전체 등 중 상기 측정범위외로 되는 플라즈마 챔버의 구성을 플라즈마 챔버 또는 덮개체의 측정범위에 대해 기계적으로 착탈할 필요가 없어지기 때문에, 각각의 측정위치에 대응한 플라즈마 챔버 또는 덮개체의 보다 정확한 고주파특성 (용량) 을 측정할 수 있게 된다. 따라서, 복수개의 플라즈마 챔버에 대한 고주파특성을 간편하게 측정할 수 있게 되므로, 고주파특성의 측정시에 있어서의 작업효율을 향상시키고, 종래의 방법에서는 월단위였던 신규설치시나 조정·보수점검시의 조정작업을 간편하게 실시할 수 있고, 보다 용이하게 복수개의 플라즈마 챔버마다의 기기별 차이를 없앨 수 있다.
또한, 본 발명에 있어서, 상기 측정용 단자에 고주파특성 측정기 및 착탈이 자유롭게 접속되어 이루어짐으로써, 비측정시에 측정용 단자와 측정기의 접속을 플라즈마 챔버에서 잘라내거나 스위치를 전환함으로써, 플라즈마 발생시에 측정기에 대해 작용하는 전기적 영향을 방지할 수 있다. 또한, 복수개의 플라즈마 챔버에 대해 단일 측정기를 겸용하여 이들 플라즈마 챔버를 측정할 수 있다. 그럼으로써, 플라즈마 챔버와 고주파전원측을 착탈하지 않고, 또한 측정용 단자에 접속되는 측정기측 측정용 프로브를 착탈하지 않고, 스위치 전환만으로 고주파수특성 (용량) 을 쉽게 측정할 수 있게 된다.
본 발명의 플라즈마 처리장치 또는 플라즈마 처리시스템의 성능확인시스템에 있어서, 판매보수자가 업로딩한 상기 플라즈마 처리장치 또는 플라즈마 처리시스템의 동작성능상황을 나타낸 성능상황정보에 대해 구입발주자가 정보단말로부터 공중회선을 통해 열람할 수 있게 함으로써, 구입발주자에게 구입시에 판단기준이 되는 정보를 전달할 수 있게 되고 사용시의 플라즈마 처리장치의 동작성능·보수정보를 쉽게 제공할 수 있게 된다. 또한, 상기 성능상황정보가 상술한 바와 같이 플라즈마 처리장치에 대한 성능 파라미터로서의 상기 플라즈마 전극용량 (Ce), 손실용량 (Cx) 을 포함함으로써, 구입발주자의 플라즈마 처리장치에 대한 성능판단근거를 제공할 수 있음과 동시에 구입시에 적절한 판단을 할 수 있게 된다. 그리고, 상기 성능상황정보를 카탈로그 또는 사양서로서 출력할 수 있다.
또한, 본 발명에 있어서, 플라즈마 처리실 유닛 (플라즈마 챔버) 을 복수개 구비하는 플라즈마 처리장치 또는 플라즈마 처리시스템으로서, 상기 고주파전원이 접속된 전극과 협동하여 플라즈마를 발생시키는 전극 사이의 플라즈마 전극용량 (Ce) 중 그 최대값 (Cemax) 과 최소값 (Cemin) 의 편차가, 식 (1) 에 나타낸 바와 같이
(Cemax-Cemin)/(Cemax+Cemin) (1)
로 되고, 이 값이 소정 범위의 값으로 설정되어 이루어짐과 동시에, 상기 고주파전원이 접속된 전극과 직류적으로 어스된 각 접지전위부 사이의 용량 (손실용량) (Cx) 중 그 최대값 (Cxmax) 과 최소값 (Cxmin) 의 편차가, 식 (2) 에 나타낸 바와 같이
(Cxmax-Cxmin)/(Cxmax+Cxmin) (2)
로 되고, 이 값이 소정 범위의 값으로 설정되어 이루어짐으로써 복수개의 플라즈마 챔버 (플라즈마 처리실 유닛) 에 대해 전기적 고주파적인 특성의 기기별 차이를 없앨 수 있게 되고, 그럼으로써 용량 등의 고주파특성 등을 지표로 하는 일정한 관리폭내에 복수개의 플라즈마 챔버를 설정할 수 있게 되기 때문에, 개개의 플라즈마 챔버에 있어서 플라즈마 공간에서 소비되는 실효적인 전력 등을 각각 거의 균일하게 할 수 있다.
그 결과, 복수개의 플라즈마 챔버에 대해 동일한 프로세스 레서피를 적용하여 거의 동일한 플라즈마 처리결과를 얻을 수 있고, 즉 복수개의 플라즈마 챔버에 있어서 예컨대 막을 형성하였을 때에 막두께, 절연내압, 에칭율 등 거의 균일한 막특성의 막을 얻을 수 있게 된다.
또한, 본 발영에 있어서, 상기 플라즈마 전극용량 (Ce) 의 편차와 상기 용량 (손실용량) (Cx) 의 편차가 모두 0.1 또는 0.03 보다 작은 범위의 값으로 설정되어 이루어짐으로써, 복수개의 플라즈마 챔버에 대해 임피던스, 공진주파수 특성 등의 전기적 고주파적인 특성의 기기별 차이를 없앨 수 있게 되며, 그럼으로써 임피던스 특성을 지표로 하는 일정한 관리폭내에 복수개의 플라즈마 챔버를 설정할 수 있게 되기 때문에, 개개의 플라즈마 챔버에서 발생하는 플라즈마 밀도 등을 각각 거의 균일하게 할 수 있다.
그 결과, 복수개의 플라즈마 챔버에 대해 동일한 프로세스 레서피를 적용하여 거의 동일한 플라즈마 처리결과를 얻을 수 있고, 즉 복수개의 플라즈마 챔버에 있어서 예컨대 막을 형성하였을 때에 막두께, 절연내압, 에칭율 등이 거의 균일한 막특성의 막을 얻을 수 있게 된다.
구체적으로는 상기 편차의 값을 0.1 보다 작은 범위로 설정함으로써, 거의 동일한 조건으로 적층한 플라즈마 챔버에 있어서, 막두께의 편차 값을 ±5 의 범위내로 하는 등, 플라즈마 처리의 균일성을 유지할 수 있게 된다.
특히, 상기 편차의 값을 0.03 보다 작은 범위로 설정함으로써, 거의 동일한 조건으로 적층한 플라즈마 챔버에 있어서, 막두께의 편차 값을 ±2 % 의 범위내로 할 수 있다.
본 발명에 있어서는, 상기한 바와 같이 플라즈마 처리장치, 플라즈마 처리시스템 및 이들의 성능확인시스템, 검사방법에 있어서의 고주파특성 전반을 설정하는 것이 아니라, 고주파특성 중 용량에 착안하여 이 값을 설정함으로서, 임피던스 등의 고주파특성 전반을 측정하는 측정기에 비해 저렴한 측정기를 이용할 수 있게 된다.
발명의 실시형태
이하, 본 발명에 관한 플라즈마 처리장치 및 플라즈마 처리시스템의 제 1 실시형태를 도면에 기초하여 설명한다.
[제 1 실시형태]
도 1 은 본 실시형태의 플라즈마 처리장치의 개략구성을 나타낸 단면도, 도 2 는 도 1 에 있어서의 플라즈마 처리장치의 정합회로를 나타낸 모식도, 도 3 은 도 1 에 있어서의 덮개체를 나타낸 모식도이다.
본 실시형태의 플라즈마 처리장치는 CVD (chemical vapor deposition), 스퍼터링, 드라이에칭, 애싱 등의 플라즈마 처리를 실시하는 1 주파 여기형 플라즈마 처리실 유닛 (플라즈마 챔버) (75) 로 되며, 도 1 에 나타낸 바와 같이 플라즈마를 여기하기 위한 평행평판형 전극 (4,8) 이 형성되며, 이 전극 (4) 에 접속된 고주파전원 (1) 과, 상기 플라즈마 챔버 (75) 와 상기 고주파전원 (1) 의 임피던스 정합을 얻기 위한 정합회로 (2A) 를 구비하는 구성으로 된다.
동시에, 플라즈마 챔버 (75) 는, 후술하는 바와 같이 평행평판형 전극 (4,8) 의 플라즈마 전극용량 (Ce) 의 26 배가, 상기 고주파전원이 접속된 전극과 직류적으로 어스된 각 접지전위부 사이의 용량 (손실용량) (Cx) 보다 큰 범위의 값으로 설정되어 있다.
더욱 상세하게 설명하면, 플라즈마 챔버 (75) 는 도 1 내지 도 3 에 나타낸 바와 같이 챔버실 (플라즈마 처리실) (60) 의 상부위치에 고주파전원 (1) 에 접속된 플라즈마 여기전극 (전극) (4) 및 샤워 플레이트 (5) 가 설치되고, 챔버실 (60) 의 하부에는 샤워 플레이트 (5) 에 대향하여 피처리기판 (16) 을 탑재하는 서셉터전극 (전극) (8) 이 형성되어 있다. 플라즈마 여기전극 (전극) (4) 은 급전판 (고주파전력 배전체) (3), 정합회로 (2A), 고주파전력 공급전체 (급전선) (1A) 를 통해 고주파전원 (1) 과 접속되어 있다. 이들 플라즈마 여기전극 (4) 및 급전판 (3) 은 섀시 (21) 에 덮임과 동시에 정합회로 (2A) 는 도전체로 이루어진 매칭박스 (2) 의 내부에 수납되어 있다.
급전판 (3) 으로서는, 예컨대 폭 50 ∼ 100 ㎜, 두께 0.5 ㎜, 길이 100 ∼ 300 ㎜ 의 형상을 갖는 구리 표면에 은도금을 실시한 것이 사용되고 있으며, 이 급전판 (3) 은 후술하는 정합회로 (2A) 의 튜닝콘덴서 (24) 의 출력단자 및 플라즈마 여기전극 (4) 에 각각 나사고정되어 있다.
또한, 플라즈마 여기전극 (캐소드전극) (4) 의 하측에는 볼록부 (4a) 가 형성됨과 동시에 이 플라즈마 여기전극 (캐소드전극) (4) 의 하측에는 다수의 구멍 (7) 이 형성되어 있는 샤워 플레이트 (5) 가 볼록부 (4a) 에 접하며 형성되어 있다. 이들 플라즈마 여기전극 (4) 과 샤워 플레이트 (5) 사이에는 공간 (6) 이 형성되어 있다. 이 공간 (6) 에는 가스도입관 (17) 이 접속되어 있고, 도체로 이루어진 가스도입관 (17) 의 도중에는 절연체 (17a) 가 삽입되어 플라즈마 여기전극 (4) 측과 가스공급원측이 절연되어 있다.
가스도입관 (17) 으로부터 도입된 가스는, 샤워 플레이트 (5) 의 다수의 구멍 (7,7) 을 통해 챔버벽 (10) 에 의해 형성된 챔버실 (60) 내로 공급된다. 챔버벽 (10) 과 플라즈마 여기전극 (캐소드전극) (4) 은 절연체 (9) 에 의해 서로 절연되어 있다. 또한, 도 2 에 있어서 챔버실 (60) 에 접속되는 배기계의 도시는 생략하고 있다.
한편, 챔버실 (60) 내에는 기판 (16) 을 탑재하며 플라즈마 여기전극으로도 되는 웨이퍼 서셉터 (서셉터전극) (8) 가 설치되어 있다.
챔버벽 (10) 의 상단부분은 그 전체 둘레에 걸쳐 챔버벽 상부 (10a) 로서 분리 가능하게 되어 있고, 이 챔버벽 상부 (10a) 와 챔버벽 (10) 사이에는 도시하지 않은 오링 등의 밀폐수단이 설치되어 챔버실 (60) 내부의 밀폐를 유지할 수 있게 되어 있다. 이 챔버벽 상부 (10a) 는 섀시 (21) 측벽의 하단부와 접속되어 있고, 챔버벽 상부 (10a) 와 섀시 (21) 는 직류적으로 동일 전위로 되어 있다.
도 3 에 나타낸 바와 같이, 챔버벽 상부 (10a), 플라즈마 여기전극 (4), 샤워 플레이트 (5), 절연체 (9), 섀시 (21), 가스도입관 (17) 은 일체로 챔버벽 (10), 서셉터전극 (8) 등의 하부구조로부터 분리 가능한 덮개체 (19) 를 구성하고 있으며, 덮개체 (19) 는 예컨대 도시하지 않은 힌지 등의 개방수단에 의해 챔버벽 (10) 에 대해 회전구동하여 챔버실 (60) 을 개방 가능한 구조로 되어 있다.
챔버실 (60) 내에는 기판 (16) 을 탑재하며 플라즈마 여기전극으로도 되는 플레이트형상의 웨이퍼 서셉터 (서셉터전극) (8) 가 설치되어 있다.
서셉터전극 (대향전극) (8) 의 하부중앙에는 샤프트 (13) 가 접속되고, 이 샤프트 (13) 가 챔버저부 (10A) 를 관통하여 설치됨과 동시에, 샤프트 (13) 의 하단부와 챔버저부 (10A) 중심부가 벨로스 (11) 에 의해 밀폐접속되어 있다. 이들 웨이퍼 서셉터 (8) 및 샤프트 (13) 는 벨로스 (11) 에 의해 상하구동 가능하게 되어 있으며, 플라즈마 여기전극 (4,8) 사이의 거리를 조정할 수 있다.
이들 서셉터 전극 (8) 과 샤프트 (13) 와 지지통 (12B) 이 접속되어 있기 때문에, 서셉터전극 (8), 샤프트 (13), 벨로스 (11), 챔버저부 (10A), 챔버벽 (10), 챔버벽 상부 (10a) 는 직류적으로 동전위로 되어 있다. 그리고, 챔버벽 (10) 과 챔버벽 상부 (10a) 와 섀시 (21) 는 접속되어 있기 때문에, 챔버벽 (10), 챔버벽 상부 (10a), 섀시 (21), 매칭박스 (2) 는 모두 직류적으로 동전위로 되어 있다.
여기서, 정합회로 (2A) 는 챔버실 (60) 내의 플라즈마 상태 등의 변화에 대응해서 임피던스를 조정하기 위하여, 그 대부분은 복수개의 수동소자를 구비하는 구성으로 되어 있다.
정합회로 (2A) 는 도 1, 도 2 에 나타낸 바와 같이, 복수개의 수동소자로서 고주파전원 (1) 과 급전판 (3) 사이에 코일 (23) 과 튜닝콘덴서 (24) 가 직렬로 설치되고, 이들 코일 (23) 과 튜닝콘덴서 (24) 에는 병렬로 로드콘덴서 (22) 가 접속되고, 이 로드콘덴서 (22) 의 일단은 매칭박스 (2) 에 접속되어 있다. 여기서, 튜닝콘덴서 (24) 는 급전판 (3) 을 통해 플라즈마 여기전극 (4) 에 접속되어 있다.
매칭박스 (2) 는 동축 케이블로 되는 급전선 (1A) 의 실드선에 접속되어 있고, 이 실드선이 직류적으로 어스되어 있다. 그럼으로써, 서셉터전극 (8), 샤프트 (13), 벨로스 (11), 챔버저부 (10A), 챔버벽 (10), 섀시 (21), 매칭박스 (2) 는 접지전위에 설정되고, 동시에 로드콘덴서 (22) 의 일단도 직류적으로 어스된 상태로 된다.
여기서, 본 실시형태의 플라즈마 챔버 (75) 에 있어서의 플라즈마 전극용량 (Ce) 과 손실용량 (Cx) 에 대해 설명한다.
도 4 는 플라즈마 챔버 (75) 의 손실용량 (Cx) 을 설명하기 위한 모식도이고, 도 5 는 도 4 의 등가회로를 나타낸 회로도이다.
플라즈마 전극용량 (Ce) 은 평행평판형으로 되는 플라즈마 여기전극 (4) 과 서셉터전극 (8) 사이의 용량으로서, 전극 (4,8) 면적과 이들 전극 (4,8) 사이의 거리에 의해 규정된다.
한편, 손실용량 (Cx) 은 플라즈마 여기전극 (4) 에서 서셉터전극 (8) 이외로 흐르는 전류에 대한 용량성분의 총합으로서, 즉 플라즈마 여기전극 (4) 과 직류적으로 어스된 각 접지전위부 사이의 용량이다. 여기서, 각 접지전위부란, 서셉터전극 (8) 이외의 어스전위에 있는 플라즈마 챔버 (75) 의 각부로서, 샤프트 (13), 벨로스 (11), 챔버저부 (10A), 챔버벽 (10), 챔버벽 상부 (10a), 섀시 (21), 매칭박스 (2), 가스도입관 (17), 고주파전력 공급전체 (급전선) (1A) 을 의미하는 것인데, 손실용량 (Cx) 으로서 플라즈마 여기전극 (4) 에 대향하는 부분으로서 구체적으로는 도 4 에 나타낸 바와 같이 가스도입관 (17), 섀시 (21), 챔버벽 상부 (10a) 를 고려한다.
그러면, 손실용량 (Cx) 으로서는 플라즈마 여기전극 (4) 과 절연체 (17a) 를 사이에 둔 가스도입관 (17) 사이의 용량 (CA), 플라즈마 여기전극 (4) 과 섀시 (21) 사이의 용량 (CB), 플라즈마 여기전극 (4) 과 챔버벽 상부 (10a) 사이의 용량 (CC) 의 합으로서 정의된다.
즉, 도 4 에 나타낸 바와 같이 덮개체 (19) 를 플라즈마 챔버 (75) 로부터 전기적으로 분리한 상태에서 이 덮개체 (19) 에 있어서의 플라즈마 여기전극 (4) 에 발생하는 용량성분을 손실용량 (Cx) 으로 간주할 수 있다.
실제로는 도 3 에 나타낸 바와 같이, 플라즈마 챔버 (75) 에서 분리된 덮개체 (19) 에 있어서 상기 정합회로 (2A) 의 출력단자로 되는 측정위치 (PR) 에서 측정한 용량이 손실용량 (Cx) 으로 된다. 여기서,「분리」란 힌지 등에 의해 덮개체 (19) 를 회전구동시킴으로써 챔버실 (60) 을 개방한 상태를 나타내고 있으며, 이것은 덮개체와 챔버벽 (10) 이 물리적으로 접속되어 있지 않은 경우도 포함하고 있는데, 주로 플라즈마 여기전극 (4) 과 서셉터전극 (8) 의 용량이 비계측상태로 되어 있는 것을 의미하는 것이다.
본 실시형태에 있어서의 덮개체 (19) 에 있어서의 측정범위로서는, 정합회로 (2A) 의 수동소자 중 출력최종단인 수동소자의 출력단자 위치에서 잘라낸 상태를 그 대상으로 한다. 즉, 도 4 에 나타낸 바와 같이 급전판 (3) 에 접속되는 튜닝콘덴서 (24) 의 출력단자 위치 (PR) 에서 급전판 (3) 과 정합회로 (2A) 의 단자의 접합부, 즉 나사고정을 해제하여 정합회로 (2A) 를 잘라낸 상태의 덮개체 (19) 를 측정범위로 한다.
그리고, 도 4 에 파선으로 나타낸 바와 같이, 고주파특성 측정기 (AN) 의 프로브 (105) 를 잘라낸 출력단자 위치 (PR) 및 덮개체 (19) 의 예컨대 섀시 (21) 로 되는 어스위치에 접속한다. 이 프로브 (105) 는, 도 4 에 나타낸 바와 같이 도선 (110) 상에 절연피막 (112) 을 형성하고, 이 절연피막 (112) 상에 외주도체 (111) 를 피복하여 이루어진 것이다. 이 프로브 (105) 는 동축 케이블을 통과하여 임피던스 측정기 (고주파특성 측정기) (AN) 에 접속되어 있다. 여기서, 프로브 (105) 는 도선 (110) 을 출력단자 위치 (PR) 에 또한 외주도체 (111) 를 섀시 (21) 의 상면 중앙이 되는 어스위치에 접속한다. 그리고, 본 실시형태에서는 고주파특성 측정기로서 임피던스 측정기를 사용하였으나, 측정 주파수 고정의 LCR 미터나 용량 측정기가 설치된 테스터를 사용해도 된다.
그럼으로써, 도 5 에 나타낸 바와 같이 손실용량 (Cx) 으로서 이하의 전기적 고주파적 요인을 계측할 수 있다.
플라즈마 여기전극 (4) 과 절연체 (17a) 를 사이에 둔 가스도입관 (17) 사이의 용량 (CA)
플라즈마 여기전극 (4) 과 섀시 (21) 사이의 용량 (CB)
플라즈마 여기전극 (4) 과 챔버벽 상부 (10a) 사이의 용량 (CC)
본 실시형태의 플라즈마 챔버 (75) 에 있어서는 이와 같이 정의된 손실용량 (Cx) 과 플라즈마 전극용량 (Ce) 이, 플라즈마 전극용량 (Ce) 의 26 배가 손실용량 (Cx) 보다 큰 범위의 값이 되도록 설정한다.
여기서, 손실용량 (Cx) 과 플라즈마 전극용량 (Ce) 을 설정하는 방법으로서는, 예컨대
① 플라즈마 여기전극 (4) 과 서셉터전극 (8) 의 거리, 면적 등을 조정한다.
② 플라즈마 여기전극 (4) 과 챔버벽 상부 (10a) 의 오버랩 면적을 조정한다.
③ 플라즈마 여기전극 (4) 과 챔버벽 상부 (10a) 사이의 절연체의 재질을 조절한다.
④ 플라즈마 여기전극 (4) 과 챔버벽 상부 (10a) 사이의 절연체의 두께를 조정한다.
⑤ 플라즈마 여기전극 (4) 과 섀시 (21) 의 거리, 면적 등을 조정한다.
⑥ 가스도입관 (17) 에 삽입한 절연체 (17a) 의 재질을 조정한다.
⑦ 가스도입관 (17) 에 삽입한 절연체 (17a) 의 길이를 조정한다. 등의 수법을 적용할 수 있다.
본 실시형태의 플라즈마 처리장치 (플라즈마 챔버) (75) 에 있어서는, 덮개체 (19) 를 챔버벽 (10) 에 접속함과 동시에 정합회로 (2A), 매칭박스 (2), 급전선 (1A), 고주파전원 (1) 을 각각 소정 장소에 접속하고, 고주파전원 (1) 에 의해 13.56 ㎒ 정도 이상의 주파수의 전력, 구체적으로는 예컨대 13.56 ㎒, 27.12 ㎒, 40.68 ㎒ 등의 주파수의 전력을 투입하여 두 전극 (4,8) 사이에서 플라즈마를 생성하고, 이 플라즈마에 의해 서셉터전극 (8) 에 탑재한 기판 (16) 에 CVD, 스퍼터링, 드라이 에칭, 애싱 등의 플라즈마 처리를 실시할 수 있다.
이 때, 고주파전력은 고주파전원 (1) 에서 급전선 (1A) 의 동축 케이블, 정합회로 (2A), 급전판 (3), 플라즈마 여기전극 (캐소드전극) (4) 으로 공급된다. 한편, 고주파 전류의 경로를 고려한 경우, 전류는 이들을 통해 플라즈마 공간 (챔버실 (60)) 을 경유한 후, 또 다른 한쪽의 전극 (서셉터전극) (8), 샤프트 (13), 벨로스 (11), 챔버저벽 (10A), 챔버벽 (10), 챔버벽 상부 (10a) 를 통과한다. 그 후, 섀시 (21), 매칭박스 (2), 급전선 (1A) 의 실드선을 통과하여 고주파전원 (1) 의 어스로 되돌아간다.
여기서, 플라즈마 발광시에 공급되는 고주파 전류가 흐르는 회로에 대해 고려되는 전기적 고주파적 요인은, 도 6, 도 7 에 나타낸 바와 같이 상기 측정범위 중 이하의 것을 생각할 수 있다.
급전판 (피더) (3) 의 인덕턴스 (Lf) 및 저항 (Rf)
플라즈마 여기전극 (4) 과 서셉터전극 (8) 사이의 플라즈마 전극용량 (Ce)
샤프트 (13) 의 인덕턴스 (LC) 및 저항 (RC)
벨로스 (11) 의 인덕턴스 (LB) 및 저항 (RB)
챔버벽 (10) 의 인덕턴스 (LA) 및 저항 (RA)
절연체 (17a) 를 사이에 두고 가스도입관 (17) 과 플라즈마 여기전극 (4) 사이의 용량 (CA)
플라즈마 여기전극 (4) 과 섀시 (21) 사이의 용량 (CB)
플라즈마 여기전극 (4) 과 챔버벽 (10) 사이의 용량 (CC)
이들 전기적 고주파적 요인이 도 5 에 나타낸 바와 같이 급전판 (피더) (3) 의 인덕턴스 (Lf) 및 저항 (Rf), 플라즈마 여기전극 (4) 과 서셉터전극 (8) 사이의 플라즈마 전극용량 (Ce), 샤프트 (13) 의 인덕턴스 (LC) 및 저항 (RC), 벨로스 (11) 의 인덕턴스 (LB) 및 저항 (RB), 챔버벽 (10) 의 인덕턴스 (LA) 및 저항 (RA) 이 차례로 직렬로 접속되어 그 종단의 저항 (RA) 이 어스됨과 동시에, 저항 (Rf) 과 플라즈마 전극용량 (Ce) 사이에 용량 (CA), 용량 (CB), 용량 (CC) 의 일단이 어스된 상태에서 각각 병렬로 접속된 등가회로를 형성하고 있으며, 이 등가회로에 있어서 고주파전원 (1) 에서 공급된 전류 (I) 는 개략 도 8 에 나타낸 바와 같이 플라즈마 전극용량 (Ce) 에 투입되는 플라즈마 전류 (Ie) 와 그 이외의 부분으로 분류되는 손실전류 (Ix) 로 나뉘어진다.
I = Ie + Ix
플라즈마 챔버 (75) 의 회로에 있어서는, 상술한 바와 같이 플라즈마 전극용량 (Ce) 의 26 배가 손실용량 (Cx) 보다 큰 범위의 값이 되도록 설정되어 있음으로써, 플라즈마 여기전극 (4), 서셉터전극 (8) 사이의 임피던스에 비하여 플라즈마 여기전극 (4), 각 접지전위부 (17,21,10a) 의 임피던스가 커진다. 이것은 허수단위를 j(j2=-1), 각진동수를 ω(ω=2πfe ; fe 는 전력주파수) 라 하면, 임피던스 (Z) (Ω) 가 용량 (C) 에 대해
Z∝-j/ωC
로 표시되는 관계를 만족하고 있기 때문에, 용량을 정의함으로써 상기한 바와 같이 임피던스를 설정할 수 있기 때문이다. 그 결과, 전류 (I) 는 임피던스 (Z) (Ω) 에 반비례하기 때문에, 플라즈마 전류 (Ie) 에 비해 손실전류 (Ix) 의 분류가 증가하는 것을 억제할 수 있다.
종래 일반적으로 사용되던 13.56 ㎒ 정도 이상의 높은 주파수 (fe) 의 전력을 투입한 경우라도, 플라즈마 발생공간으로 투입되는 플라즈마 전류 (Ie) 를 증대시킬 수 있게 된다.
그리고, 플라즈마 전극용량 (Ce) 의 26 배가 손실용량 (Cx) 보다 큰 범위의 값으로 설정되어 이루어짐으로써, 고주파전원에서 공급되는 전류 (I) 중 전극 (4,8) 이외로의 분류분을 컨트롤할 수 있게 되기 때문에, 전력을 효율적으로 챔버실 (60) 의 플라즈마 발생공간으로 도입할 수 있게 되므로, 동일 주파수를 공급한 경우에 종래의 플라즈마 처리장치와 비교하여 플라즈마 공간에서 소비되는 실효적인 전력의 증대를 도모할 수 있다. 그 결과, 막을 적층할 때에는 퇴적속도의 향상을 도모할 수 있다. 상기 범위로 플라즈마 전극용량 (Ce), 손실용량 (Cx) 을 설정함으로써, 각 실기에 대해서도 종래 고려되지 않았던 부분의 전반적인 전기적 고주파적 특성을 설정할 수 있게 되며, 플라즈마 발생의 안정성을 기대할 수 있다. 그 결과, 동작 안정성이 높은 플라즈마 처리장치를 제공할 수 있게 된다.
그 결과, 플라즈마 발생공간으로 투입되는 플라즈마 전류 (Ie) 에 대해 플라즈마 챔버 (플라즈마 처리실 유닛) 의 다른 각 접지전위부로 분류되는 손실전류 (Ix) 를 삭감하여 플라즈마 발생공간으로 투입되는 전류가 저절로 감소하는 것을 방지하고, 동일 주파수를 공급한 경우에 종래의 플라즈마 처리장치와 비교하여 플라즈마 공간에서 소비되는 실효적인 전력을 상승을 도모할 수 있다.
그리고, 플라즈마 공간에서 소비되는 실효적인 전력의 상승에 의해, 피처리기판 (16) 에 있어서의 막 면내방향에 있어서의 플라즈마 처리의 균일성의 향상을 도모할 수 있고, 막형성 처리에 있어서는 막두께의 막 면내방향 분포의 균일성의 향상을 도모할 수 있게 된다.
동시에, 플라즈마 공간에서 소비되는 실효적인 전력의 상승에 의해 플라즈마 CVD, 스퍼터링 등의 막형성 처리에 있어서는, 막형성 상태의 향상, 즉 퇴적된 막에 있어서의 절연내압이나 에칭액에 대한 내에칭성, 그리고 소위 막의「단단함」, 즉 막의 치밀함 등의 막특성의 향상을 도모할 수 있게 된다.
여기서, 막의 치밀함이란 예컨대 BHF 액에 의한 에칭에 대한 난(難)침식성, 내에칭성에 의해 표현할 수 있다.
그리고, 동일 주파수를 공급한 경우에 종래의 플라즈마 처리장치와 비교하여 플라즈마 공간에서 소비되는 실효적인 전력의 상승을 도모할 수 있기 때문에, 전력의 소비효율을 향상시키고, 동등한 처리속도 또는 막특성을 얻기 위하여 종래보다 적은 투입전력으로 충분하다. 따라서, 전력손실의 저감을 도모하고, 러닝 코스트의 삭감을 도모하고, 생산성의 향상을 도모할 수 있다. 동시에, 처리시간을 단축할 수 있게 되기 때문에, 플라즈마 처리에 필요한 전력소비량을 줄일 수 있는 점에서 환경오염의 원인이 되는 이산화탄소의 총량을 삭감할 수 있게 된다.
그리고, 본 실시형태의 검사방법에 의하면, 플라즈마 처리장치의 실기가 설치되어 있는 장소에서 덮개체 (19) 를 개방하고, 고주파특성 측정기 (AN) 에 의해 손실용량 (Cx) 을 측정하는 것만으로, 단시간에 플라즈마 처리장치의 동작확인 및 동작의 평가가 가능해진다. 따라서, 막형성된 기판을 검사하기 위하여 제조라인을 수일 또는 수주일 정지시켜 플라즈마 처리장치의 동작확인 및 동작의 평가를 할 필요가 없어지므로, 제조라인으로서의 생산성을 향상시킬 수 있다.
플라즈마 전극용량 (Ce), 손실용량 (Cx) 은 기계적인 구조를 그 대부분의 요인으로 하여 결정되는 전기적 고주파적인 특성으로서, 각 실기마다 다른 것으로 생각된다. 상기 범위로 플라즈마 전극용량 (Ce), 손실용량 (Cx) 을 설정함으로써, 각 실기에 대해서도 종래 고려되지 않았던 그 전반적인 전기적 고주파적 특성을 설정할 수 있게 되어 플라즈마 발생의 안정성을 기대할 수 있다. 그 결과, 동작 안정성이 높은 플라즈마 처리장치를 제공할 수 있게 된다.
본 실시형태의 플라즈마 처리장치 및 그 검사방법에 있어서의 고주파특성 전반을 설정하는 것이 아니라 고주파특성 중 용량에 착안하여 이 값을 설정함으로써, 임피던스 등의 고주파특성 전반을 측정하는 측정기에 비하여 저렴한 측정기, 예컨대 측정주파수 고정의 LCR 미터나 용량측정기구가 부착된 테스터와 같은 측정기를 이용할 수 있게 된다.
이하, 본 발명에 관한 플라즈마 처리장치 및 이 성능확인시스템, 검사방법의 제 2 실시형태를 도면에 기초하여 설명한다.
[제 2 실시형태]
도 9 는 본 실시형태의 플라즈마 처리장치 (71) 의 개략 구성을 나타낸 도면이다. 본 실시형태의 플라즈마 처리장치 (71) 는, 예컨대 톱 게이트형 TFT 의 반도체 능동막을 이루는 다결정 실리콘의 막형성에서 게이트 절연막의 막형성까지의 일괄 처리가 가능한 것으로 되고, 복수개의 처리실 유닛을 갖는 장치로 된다.
본 실시형태의 플라즈마 처리장치 (71) 는, 도 9 에 나타낸 바와 같이 대략 7 각 형상의 운송실 (72) 의 주위에 5 개의 처리실 유닛과 1 개의 로더실 (73) 과 1 개의 언로더실 (74) 이 연결 형성되어 있다. 또한, 5 개의 처리실 유닛의 내역으로서는 비정질 실리콘막을 형성하는 제 1 막형성실, 실리콘 산화막을 형성하는 제 2 막형성실 및 실리콘 질화막을 형성하는 제 3 막형성실로 이루어진 플라즈마 처리실 유닛 (플라즈마 챔버) (75,76,77), 막형성후의 피처리기판의 어닐링 처리를 실시하는 레이저 어닐실 (78), 막형성후의 피처리기판의 열처리를 실시하는 열처리실 (79) 이다.
플라즈마 처리실 유닛 (플라즈마 챔버) 인 제 1 막형성실 (75), 제 2 막형성실 (76), 제 3 막형성실 (77) 은 각각 다른 종류의 막을 형성하는 다른 처리를 실시할 수도 있고, 또한 동일한 프로세스 레서피에 의해 동일한 처리를 실시할 수도 있는 것인데, 거의 동일한 구성으로 되어 있다. 그리고, 이들 복수개의 플라즈마 여기전극 (75,76,77) 은 각각 상술한 도 1 내지 도 8 에 나타낸 제 1 실시형태에 있어서의 플라즈마 처리실 유닛 (플라즈마 챔버) (75) 과 거의 동일한 구성으로 되어 있다.
그리고, 본 실시형태에 있어서, 플라즈마 챔버 (75) 가 상술한 제 1 실시형태와 다른 점은, 플라즈마 처리실 유닛 (플라즈마 챔버) (75) 의 플라즈마 전극용량 (Ce) 과 용량 (손실용량) (Cx) 에 관한 점뿐이고, 플라즈마 처리 유닛으로서의 구성에 관해서는 제 1 실시형태에 준하는 것으로 된다. 또한, 그 이외의 제 1 실시형태와 거의 동등한 구성요소에 관해서는 동일 부호를 붙이고 그 설명을 생략한다.
그리고, 복수개의 플라즈마 챔버 (75,76,77) 에 있어서는, 후술하는 바와 같이 고주파전원 (1) 이 접속된 전극 (4) 과 협동하여 플라즈마를 발생시키는 전극 (8) 사이의 플라즈마 전극용량 (Ce) 중 그 최대값 (Cemax) 과 최소값 (Cemin) 의 편차가
(Cemax-Cemin)/(Cemax+Cemin) (1)
로 되고, 이 값이 0.1 보다 작은 범위의 값으로 설정되어 이루어짐과 동시에, 상기 고주파전원이 접속된 전극 (4) 과 직류적으로 어스된 각 접지전위부 사이의 용량 (손실용량) (Cx) 중 그 최대값 (Cxmax) 과 최소값 (Cxmin) 의 편차가
(Cxmax-Cxmin)/(Cxmax+Cxmin) (2)
로 되고, 이 값이 0.1 보다 작은 범위의 값으로 설정되어 있다.
여기에서는 제 1 막형성실 (75) 을 예로 들어 그 구성을 설명한다.
플라즈마 챔버 (제 1 막형성실) (75) 는 CVD, 스퍼터링, 드라이 에칭, 애싱 등의 플라즈마 처리가 가능한 1 주파 여기형 플라즈마 처리실 유닛으로 되고, 상술한 도 1 내지 도 8 에 나타낸 제 1 실시형태에 있어서의 플라즈마 처리실 유닛 (플라즈마 챔버) (75) 과 동일한 방법에 의해 플라즈마 전극용량 (Ce) 의 7 배가 상기 용량 (손실용량) (Cx) 보다 큰 범위의 값으로 설정되어 이루어진다.
그리고, 본 실시형태의 플라즈마 처리장치 (71) 에 있어서는, 플라즈마 챔버 (제 2 막형성실) (76) 및 플라즈마 챔버 (제 3 막형성실) (77) 는 플라즈마 챔버 (75) 와 거의 동등한 구조로 되어 있다. 그리고, 이 플라즈마 챔버 (76) 및 플라즈마 챔버 (77) 에 대해서도 고주파특성 (A) 으로서의 플라즈마 용량 (Ce) 및 용량 (손실용량) (Cx) 을 제 1 실시형태의 플라즈마 챔버 (75) 와 동일한 방법으로 설정한다.
구체적으로는 이들 플라즈마 챔버 (75,76,77) 에 있어서, 모두 플라즈마 전극용량 (Ce) 은 평행평판형인 플라즈마 여기전극 (4) 과 서셉터전극 (8) 사이의 용량으로서, 전극 (4,8) 의 면적과 이들 전극 (4,8) 사이의 거리에 의해 규정된다.
또한, 손실용량 (Cx) 은 플라즈마 여기전극 (4) 에서 서셉터전극 (8) 이외로 흐르는 전류에 대한 용량성분의 총합으로서, 즉 플라즈마 여기전극 (4) 과 직류적으로 어스된 각 접지전위부 사이의 용량으로 규정된다. 여기서, 각 접지전위부란, 서셉터전극 (8) 이외의 어스전위에 있는 플라즈마 챔버 (75) 의 각부로서, 샤프트 (13), 벨로스 (11), 챔버저부 (10A), 챔버벽 (10), 챔버벽 상부 (10a), 섀시 (21), 매칭박스 (2), 가스도입관 (17), 고주파전력 공급전체 (급전선) (1A) 를 의미하는 것인데, 손실용량 (Cx) 으로서 플라즈마 여기전극 (4) 에 대향하는 부분으로서 구체적으로는 도 4 에 나타낸 바와 같이 가스도입관 (17), 섀시 (21), 챔버벽 상부 (10a) 를 고려한다.
그러면, 손실용량 (Cx) 으로서는 플라즈마 여기전극 (4) 과 절연체 (17a) 를 사이에 둔 가스도입관 (17) 사이의 용량 (CA), 플라즈마 여기전극 (4) 과 섀시 (21) 사이의 용량 (CB), 플라즈마 여기전극 (4) 과 챔버벽 상부 (10a) 사이의 용량 (CC) 의 합으로서 정의된다.
즉, 도 4 에 나타낸 바와 같이 덮개체 (19) 를 플라즈마 챔버 (75) 에서 전기적으로 분리한 상태에서 이 덮개체 (19) 에 있어서의 플라즈마 여기전극 (4) 에 발생하는 용량성분을 손실용량 (Cx) 으로 간주할 수 있다.
본 실시형태의 플라즈마 챔버 (75) 에 있어서는, 이와 같이 정의된 손실용량 (Cx) 과 플라즈마 전극용량 (Ce) 의 관계가, 플라즈마 전극용량 (Ce) 의 7 배가 손실용량 (Cx) 보다 큰 범위의 값이 되도록 설정한다.
여기서, 손실용량 (Cx) 과 플라즈마 전극용량 (Ce) 을 설정하는 방법으로서는, 상술한 도 1 내지 도 8 에 나타낸 제 1 실시형태의 ① ∼ ⑦ 과 동일한 방법을 적용할 수 있다.
그리고, 본 실시형태에 있어서의 복수개의 플라즈마 챔버 (75,76,77) 에 있어서의 플라즈마 전극용량 (Ce) 과 손실용량 (Cx) 에 대해 설명한다. 이들 각 플라즈마 챔버 (75,76,77) 에 있어서는, 상술한 도 1 내지 도 8 에 나타낸 제 1 실시형태와 마찬가지로, 각각 덮개체 (19) 에 있어서의 플라즈마 여기전극 (4) 에 발생하는 용량성분을 손실용량 (Cx) 으로 간주할 수 있다.
그런데, 이 플라즈마 전극용량 (Ce), 손실용량 (Cx) 은 기계적인 구조를 그 대부분의 요인으로 하여 결정되는 전기적 고주파적인 특성으로서, 각 실기마다 미묘하게 달라지는 것으로 생각된다.
여기서, 플라즈마 전극용량 (Ce), 손실용량 (Cx) 의 편차를 소정 범위내로 설정하는 방법을 도 33 을 사용하여 설명한다. 우선, 각 플라즈마 챔버마다의 Ce, Cx 를 측정한다 (단계 P1). 여기에서는 플라즈마 챔버 (제 1 막형성실) (75), 플라즈마 챔버 (제 2 막형성실) (76), 플라즈마 챔버 (제 3 막형성실) (77) 에 관하여 Ce 의 값을 각각 Ce75, Ce76, Ce77 이라 하고, C x의 값을 각각 Cx75, Cx76, Cx77 이라 한다.
계측한 플라즈마 챔버 (제 1 막형성실) (75) 에 대한 플라즈마 전극용량 (Ce75), 플라즈마 챔버 (제 2 막형성실) (76) 에 대한 플라즈마 전극용량 (Ce76), 플라즈마 챔버 (제 3 막형성실) (77) 에 대한 플라즈마 전극용량 (Ce77) 중 그 최대값 (Cemax) 과 최소값 (Cemin) 에 대해,
(Cemax-Cemin)/(Cemax+Cemin) (1)
식 (1) 과 같이 복수개의 플라즈마 챔버 (75,76,77) 의 플라즈마 전극용량 (Ce) 의 편차로서 정의하고 (단계 P2), 이 식 (1) 로 표시되는 편차의 값을 0.1 보다 작은 범위의 값으로 설정한다 (단계 P3,P4). 이 때, 플라즈마 전극용량 (Ce) 의 편차를 설정하는 방법은 상술한 제 1 실시형태에 있어서의 ① ∼ ⑦ 등과 같은 수법을 적용할 수 있다.
마찬가지로, 계측한 플라즈마 챔버 (제 1 막형성실) (75) 에 대한 손실용량 (Cx75), 플라즈마 챔버 (제 2 막형성실) (76) 에 대한 손실용량 (Cx76), 플라즈마 챔버 (제 3 막형성실) (77) 에 대한 손실용량 (Cx77) 중 그 최대값 (Cxmax) 과 최소값 (Cxmin) 에 대해,
(Cxmax-Cxmin)/(Cxmax+Cxmin) (2)
식 (2) 와 같이 복수개의 플라즈마 챔버 (75,76,77) 의 손실용량 (Cx) 의 편차로서 정의하고 (단계 P5), 이 식 (2) 로 표시되는 편차의 값을 0.1 보다 작은 범위의 값으로 설정한다 (단계 P6,P7). 이 때, 손실용량 (Cx) 의 편차를 설정하는 방법도 상술한 제 1 실시형태에 있어서의 ① ∼ ⑦ 등과 같은 수법을 적용할 수 있다.
상기 구성의 처리실 (75,76,77) 중 어느 하나에 있어서 비정질 실리콘막, 실리콘 산화막, 실리콘 질화막 등을 형성할 때에는, 서셉터전극 (8) 상에 피처리기판 (16) 을 탑재하고, 고주파전원 (1) 에서 고주파 전극 (4) 과 서셉터전극 (8) 의 쌍방으로 각각 고주파전력을 인가함과 동시에 가스도입관 (17) 에서 샤워 플레이트 (6) 를 통해 반응가스를 챔버실 (60) 내로 공급하여 플라즈마를 발생시킴으로써, 피처리기판 (16) 상에 비정질 실리콘막, 실리콘 산화막, 실리콘 질화막 등을 형성한다.
레이저 어닐실 (78) 은, 도 10 에 나타낸 바와 같이 챔버 (80) 의 상부에 레이저광원 (81) 이 설치되는 한편, 챔버 (80) 내의 하부에는 피처리기판 (16) 을 탑재하기 위한 스테이지 (82) 가 직교하는 X 방향, Y 방향의 2 방향으로 수평이동 가능하게 설치되어 있다. 그리고, 레이저광원 (81) 의 출사부 (81a) 에서 스폿형 레이저광 (83) (일점쇄선으로 나타냄) 이 출사됨과 동시에 피처리기판 (16) 을 지지한 스테이지 (82) 가 X 방향, Y 방향으로 수평이동함으로써, 레이저광 (83) 이 피처리기판 (16) 의 전면을 주사할 수 있게 되어 있다. 레이저광원 (81) 에는 예컨대 XeCl, ArF, ArCl, XeF 등의 할로겐 가스를 사용한 가스 레이저를 사용할 수 있다.
또한, 레이저 어닐실 (78) 의 구성은 레이저광을 출사하는 레이저광원을 구비하고, 레이저광원에서 출사되는 스폿형 레이저광이 피처리기판의 표면을 빠짐없이 주사할 수 있는 구성의 것이라면, 각종 구성의 장치를 사용할 수 있다. 이 경우, 레이저광원은 예컨대 XeCl, ArF, ArCl, XeF 등의 할로겐 가스를 사용한 가스 레이저를 사용할 수 있다. 막의 종류에 따라서는 YAG 레이저 등의 다른 레이저광원을 사용할 수도 있고, 레이저광의 조사 형태로서는 펄스 레이저 어닐, 연속발진 레이저 어닐을 사용할 수 있다. 또한, 열처리실의 구성은 예컨대 다단식 전기로형 장치를 사용할 수 있다.
열처리실 (79) 은 도 11 에 나타낸 바와 같이 다단식 전기로형의 것으로서, 챔버 (84) 내에 다단으로 설치된 히터 (85) 의 각각에 피처리기판 (18) 이 탑재되는 구성으로 되어 있다. 그리고, 히터 (85) 의 통전에 의해 복수개의 피처리기판 (16) 이 가열되도록 이루어져 있다. 그리고, 열처리실 (89) 과 운송실 (72) 사이에는 게이트 밸브 (86) 가 설치되어 있다.
도 9 에 나타낸 로더실 (73), 언로더실 (74) 에는 로더카셋, 언로더카셋이 착탈 가능하게 설치되어 있다. 이들 2 개의 카셋은 복수장의 피처리기판 (16) 을 수용할 수 있는 것으로서, 로더카셋에 막형성전의 피처리기판 (16) 이 수용되고, 언로더카셋에는 막형성이 끝난 피처리기판 (16) 이 수용된다. 그리고, 이들 처리실 유닛과 로더실 (73), 언로더실 (74) 의 중앙에 위치하는 운송실 (72) 에 기판운송로봇 (운송수단) (87) 이 설치되어 있다. 기판운송로봇 (87) 은 그 상부에 신축이 자유로운 링크기구를 갖는 아암 (88) 을 갖고, 아암 (88) 은 회전 가능하고 또한 승강 가능하게 되어 있으며, 아암 (88) 의 선단부에서 피처리기판 (16) 을 지지하고 운송하도록 되어 있다.
상기 구성의 플라즈마 처리장치 (71) 는 예컨대 각 처리실 유닛에 있어서의 막형성조건, 어닐조건, 열처리조건 등, 각종 처리조건이나 처리 시퀀스를 오퍼레이터가 설정하는 것 외에는, 각부의 동작이 제어부에 의해 제어되어 자동운전되는 구성으로 이루어져 있다. 따라서, 이 플라즈마 처리장치 (71) 를 사용할 때에는 처리전의 피처리기판 (16) 의 로더카셋에 세팅하고, 오퍼레이터가 스타트 스위치를 조작하면, 기판운송로봇 (87) 에 의해 로더카셋에서 각 처리실내로 피처리기판 (16) 이 운송되고, 각 처리실에서 일련의 처리가 순차 자동적으로 실시된 후, 기판운송로봇 (87) 에 의해 언로더카셋에 수용된다.
본 실시형태의 플라즈마 처리장치 (71) 및 그 검사방법에 있어서는, 각 플라즈마 챔버 (75,76,77) 의 각각에 있어서, 각 상기 정합회로 (2A) 의 출력단자로 되는 측정위치 (PR) 에서 측정한 각각의 플라즈마 챔버 (75,76,77) 의 플라즈마 전극용량 (Ce) 의 7 배를 상기 용량 (손실용량) (Cx) 보다 큰 범위의 값으로 설정함으로써, 각 접지전위부 (가스도입관 (17), 섀시 (21), 챔버벽 상부 (10a) 등) 로 분류되는 고주파전류의 감소를 도모할 수 있게 되며, 처리조건에 있어서는 플라즈마 발생공간으로 투입되는 실효적인 전력, 발생하는 플라즈마 밀도를 한층 더 향상시킬 수 있게 되므로 처리속도를 더욱 향상시킬 수 있다. 특히, 플라즈마 챔버 (75,76,77) 에서 막형성처리를 실시할 때에는 막의 퇴적속도를 더욱 향상시킬 수 있다. 또한, 플라즈마 발생공간으로 투입되는 실효적인 전력이 향상됨으로써, 형성된 막의 특성을 보다 향상시킬 수 있다. 예컨대, 절연막의 형성시에는 막의 절연내압의 향상을 도모할 수 있게 된다. 동시에, 고주파전원 (1) 에 접속된 전극 (4) 에서 전극 (8) 을 향하는 고주파 전류 (Ie) 를 이들 전극 (4,8) 사이에 수속시킬 수 있게 되어 동일한 플라즈마 처리공간에서 소비되는 실효적인 전력이 향상됨으로써, 막 면내방향에 있어서의 피형성막의 균일성을 향상시킬 수 있다. 즉, 막두께나 절연내압 등의 막특성의 막 면내방향에 있어서의 편차를 감소시킬 수 있게 된다.
동시에, 본 실시형태의 플라즈마 처리장치 (71) 및 그 검사방법에 있어서는, 복수개의 플라즈마 챔버 (75,76,77) 의 각각에 있어서, 각 상기 정합회로 (2A) 의 출력단자로 되는 측정위치 (PR) 에서 측정한 각각의 플라즈마 챔버 (75,76,77) 의 플라즈마 전극용량 (Ce) 중 그 최대값 (Cemax) 과 최소값 (Cemin) 의 편차가 식 (1) 과 같이 정의되고, 그 값이 0.1 보다 작은 범위의 값으로 설정되어 이루어짐과 동시에, 상기 고주파전원 (1) 이 접속된 전극 (4) 과 직류적으로 어스된 각 접지전위부 사이의 용량 (손실용량) (Cx) 중 그 최대값 (Cxmax) 과 최소값 (Cxmin) 의 편차가 식 (2) 와 같이 정의되고, 이 값을 0.1 보다 작은 범위의 값으로 설정함으로써, 복수개의 플라즈마 챔버 (75,76,77) 에 대해 전기적 고주파적인 특성의 기기별 차이를 없앨 수 있게 되며, 그럼으로써 임피던스 특성을 지표로 하는 일정한 관리폭내로 복수개의 플라즈마 챔버 (75,76,77) 의 상태를 설정할 수 있게 되기 때문에, 개개의 플라즈마 챔버 (75,76,77) 에 있어서 플라즈마 공간에서 소비되는 실효적인 전력을 각각 거의 균일하게 할 수 있다.
그 결과, 복수개의 플라즈마 챔버 (75,76,77) 에 대해 동일한 프로세스 레서피를 적용하여 거의 동일한 플라즈마 처리결과를 얻을 수 있고, 즉 복수개의 플라즈마 챔버 (75,76,77) 에서 예컨대 막을 형성할 때에, 막두께, 절연내압, 에칭율 등, 거의 균일한 막특성의 막을 얻을 수 있게 된다. 구체적으로는 상기 편차의 값을 0.1 보다 작은 범위로 설정함으로써, 거의 동일한 조건으로 적층한 플라즈마 챔버 (75,76,77) 에 있어서 막두께의 편차 값을 ±5 의 범위내로 할 수 있다.
따라서, 복수개의 플라즈마 챔버 (75,76,77) 에 있어서 기기별 차이로 인해 피처리기판 (16) 에 대한 막 면내방향에 있어서의 플라즈마 처리의 균일성이 플라즈마 챔버 (75,76,77) 마다 편차를 발생시키는 것을 저감할 수 있고, 막형성 처리에 있어서는 기기별 차이로 인해 막두께의 막 면내방향 분포의 균일성이 플라즈마 챔버 (75,76,77) 마다 편차를 발생시키는 것을 저감할 수 있게 된다.
동시에, 플라즈마 CVD, 스퍼터링 등의 막형성 처리에 있어서는, 막형성 상태의 향상, 즉 퇴적된 막에 있어서의 절연내압이나 에칭액에 대한 내에칭성, 그리고 소위 막의「단단함」, 즉 막의 치밀함 등의 막특성에서 플라즈마 챔버 (75,76,77) 마다 편차가 발생되는 것을 감소시킬 수 있게 된다.
여기서, 막의 치밀함이란 예컨대 BHF 액에 의한 에칭에 대한 난침식성, 내에칭성에 의해 표현할 수 있다.
따라서, 종래 고려되지 않았던 플라즈마 처리장치 (71) 의 전반적인 전기적 고주파적 특성을 설정할 수 있게 되어 플라즈마 발생의 안정성을 기대할 수 있다. 그 결과, 동작 안정성이 높고, 각 플라즈마 챔버 (75,76,77) 에서 균일한 동작을 기대할 수 있는 플라즈마 처리장치 (71) 를 제공할 수 있게 된다.
그럼으로써, 복수개의 플라즈마 챔버 (75,76,77) 에 대한 방대한 데이터로부터 외부 파라미터와 실제 기판을 처리하는 평가방법에 의한 처리결과의 상관관계에 따른 프로세스 조건을 파악하지 않아도 된다.
따라서, 신규설치시나 조정·보수점검시에 있어서, 각 플라즈마 챔버 (75,76,77) 마다의 기기별 차이를 없애고 처리의 편차를 없애서 동일한 프로세스 레서피에 의해 거의 동일한 처리결과를 얻는 데 필요한 조정시간을 피처리기판 (16) 으로의 실제 막형성 등에 의한 검사방법을 채택한 경우에 비하여, 플라즈마 전극용량 (Ce) 및 손실용량 (Cx) 을 측정함으로써 대폭 단축할 수 있다. 또한, 본 실시형태의 검사방법에 의하면, 처리한 기판의 평가에 의해 플라즈마 처리장치 (71) 의 동작확인 및 동작의 평가를 실시하는 2 단계 검사방법이 아니라, 직접 플라즈마 처리장치 (71) 를 평가할 수 있고, 또한 플라즈마 처리장치 (71) 의 실기가 설치되어 있는 장소에서 단시간에 실시할 수 있다. 그리고, 피처리기판 (16) 으로의 실제 막형성 등에 의한 검사방법을 채택한 경우, 별도로 실시할 수밖에 없었던 복수개의 플라즈마 챔버 (75,76,77) 에 대한 결과를 거의 동시에 실현할 수 있다.
따라서, 본 실시형태의 검사방법에 의하면, 제조라인을 수일 또는 수주간 정지시켜 플라즈마 처리장치 (71) 의 동작확인 및 동작의 평가를 할 필요가 없어져서 제조라인으로서의 생산성을 향상시킬 수 있다. 또한, 이와 같은 조정에 필요한 검사용 기판 등의 비용, 이 검사용 기판의 처리비용 및 조정작업에 종사하는 작업원의 인건비 등, 비용을 삭감할 수 있게 된다.
그리고, 각 플라즈마 챔버 (75,76,77) 에 있어서, 도 19 에 나타낸 바와 같이 각각의 임피던스가 일치하는 복수개의 도선 (101a ∼ 101h) 의 일단을 프로브 장착구 (104) 에 접속해서 이루어진 측정구 (픽스처) 를 사용하여 플라즈마 챔버 (75,76,77) 의 고주파수특성 (플라즈마 전극용량 (Ce)) 및 덮개체 (19) 에 있어서의 고주파수특성 (손실용량 (Cx)) 을 측정할 수도 있다.
프로브 장착구 (104) 는 예컨대 50 ㎜ ×10 ㎜ ×0.5 ㎜ 의 동판을 체결부 (106) 와 링크부가 생기도록 형성되어 있다. 링크부는 프로브 (105) 의 외측에 끼워넣을 수 있는 직경으로 된다. 이 프로브 장착구 (104) 에 도선 (101a ∼ 101h) 의 일단을 납땜 등에 의해 전기적으로 접속한다.
도선 (101a ∼ 101h) 의 타단에는 측정대상 (플라즈마 챔버 (75,76,77) 또는 덮개체 (19)) 과의 착탈용 단자 (압착단자) (102a ∼ 102h) 가 장착되어 있다.
이 픽스처를 사용할 때에는 프로브 장착구 (104) 의 링크형상부 (104) 를 프로브 (105) 에 끼워넣고 체결부 (106) 로 체결한다. 한편, 각 도선 (101a ∼ 101h) 은 거의 점대칭이 되도록 압착단자 (102a ∼ 102h) 에 있어서 측정대상에 도 20 에 나타낸 바와 같이 나사 (114) 에 의해 착탈이 자유롭게 나사 고정된다.
도선 (101a ∼ 101h) 은, 예컨대 알루미늄, 동, 은, 금으로 구성하면 되고, 또는 은, 금을 50 ㎛ 이상 도금하여 구성해도 된다.
이와 같은 측정구 (픽스처) 를 사용하여 고주파수특성을 측정하는 방법을 도 20 을 사용하여 설명한다.
우선, 측정하는 플라즈마 챔버 (75,76,77) 에 있어서 고주파전원 (1) 과 매칭박스 (2) 를 플라즈마 챔버 (75,76,77) 에서 분리한다. 그리고, 덮개체 (19) 를 측정범위로 하는 경우에는 이 덮개체 (19) 를 플라즈마 챔버 (75,76,77) 에서 분리한다. 임피던스 측정구의 프로브 (105) 의 도선 (110) 을 급전판 (3) 에 접속한다. 이어서, 임피던스 측정구 (픽스처) 의 도선 (101a ∼ 101h) 에 접속하는 압착단자 (102a ∼ 102h) 를 플라즈마 챔버 (75,76,77) (덮개체 (19)) 의 하우징 (21) 에 급전판 (3) 을 중심으로 하는 거의 점대칭이 되도록 나사 (114) 에 의해 나사 고정한다. 임피던스 측정구를 이와 같이 배치한 후, 측정신호를 임피던스 측정구의 도선 (110) 으로 공급하고, 플라즈마 챔버 (75,76,77) (덮개체 (19)) 의 급전판 (3) 에서 플라즈마 공간 (60) 을 거쳐 하우징 (21) 에 이르는 경로의 임피던스를 측정한다.
그럼으로써, 측정대상의 크기 또는 측정하는 2 점간의 거리에 제약을 받지 않고, 또한 측정대상으로 균일하게 전류를 흘려보낼 수 있고, 측정대상의 임피던스를 측정하는 데 영향을 미치지 않는 잔류 임피던스값을 설정하여 보다 정확하게 임피던스 측정을 할 수 있다. 따라서, 고주파수특성으로서의 플라즈마 전극용량 (Ce) 및 손실용량 (Cx) 을 보다 정확하게 측정할 수 있다.
그리고, 본 실시형태에 있어서는, 플라즈마 챔버 (75,76,77) 에 있어서 서셉터전극 (8) 측에 기판 (16) 을 탑재하여 플라즈마 여기전극 (4) 에 대한 플라즈마 전극용량 (Ce) 및 손실용량 (Cx) 을 설정하였으나, 캐소드전극 (4) 측에 기판 (16) 을 장착하도록 대응할 수도 있다.
이하, 본 발명에 관한 플라즈마 처리장치, 플라즈마 처리시스템 및 이들의 성능확인시스템, 검사방법의 제 3 실시형태를 도면에 기초하여 설명한다.
[제 3 실시형태]
도 12 는 본 실시형태의 플라즈마 처리장치 (91) 의 개략 구성을 나타낸 단면도이다.
본 실시형태의 플라즈마 처리장치 (91) 는, 도 12 에 나타낸 바와 같이 대략 4 각 형상의 운송실 (92) 의 주위에 언로더실 (93) 과 열처리실 (99) 과 처리실 (94,95) 이 설치된 구성으로 되어 있다. 이 장치는 기판 이송탑재용 운송로봇이 설치되어 있는 운송실 (92) 을 중앙으로 하여 각 실 사이가 게이트 (g1, g2, g3, g4) 로 구획되어 있다. 운송실 (대기실) (92) 과 가열실 (99) 과 그 외의 처리실 유닛 (94,95) 은 각각 개별의 고진공 펌프에 의해 고진공도로 배기되어 있다. 로드록실 (91) 은 저진공 펌프에 의해 저진공도로 배기되어 있다.
본 실시형태의 플라즈마 처리장치 (91) 에 있어서는, 그 구성요소가 도 1 ∼ 도 11 에 나타낸 제 1 실시형태의 플라즈마 처리장치 (플라즈마 챔버) (75) 및 제 2 실시형태의 플라즈마 처리장치 (71) 에 대응하고 있으며, 각각 운송실 (72) 에 운송실 (92) 이, 열처리실 (79) 에 열처리실 (99) 이, 로드록실 (93) 이 로더실 (73) 및 언로더실 (74) 에 대응하고 있으며, 거의 동일한 구성의 부분에 관해서는 설명을 생략한다.
플라즈마 처리실 유닛 (플라즈마 챔버) (95,96) 은 도 1 ∼ 도 11 에 나타낸 제 1 실시형태 및 제 2 실시형태의 플라즈마 챔버 (75,76) 에 대응하고 있으며, 이들은 각각 다른 종류의 막을 형성하는 다른 처리를 실시하는 구성으로 될 수도 있고, 또한 동일한 프로세스 레서피에 의해 동일한 처리를 실시할 수도 있는 것인데, 거의 동일한 구성으로 되어 있다.
그리고, 이들 복수개의 플라즈마 처리실 유닛 (플라즈마 챔버) (95,96) 은, 도 12 에 나타낸 바와 같이 후술하는 스위치 (SW2) 등을 통해 임피던스 측정기 (고주파특성 측정기) (AN) 에 접속되어 있다. 동시에, 복수개의 플라즈마 챔버 (95,96) 에서는 후술하는 바와 같이 각각의 플라즈마 챔버 (95,96) 의 플라즈마 전극용량 (Ce) 중 그 최대값 (Cemax) 과 최소값 (Cemin) 의 편차가
(Cemax-Cemin)/(Cemax+Cemin) (1)
로 되고, 이 값이 0.03 보다 작은 범위의 값으로 설정되어 이루어짐과 동시에, 상기 고주파전원 (1) 이 접속된 전극 (4) 과 직류적으로 어스된 각 접지전위부 사이의 용량 (손실용량) (Cx) 중 그 최대값 (Cxmax) 과 최소값 (Cxmin) 의 편차가
(Cxmax-Cxmin)/(Cxmax+Cxmin) (2)
로 되고, 이 값이 0.03 보다 작은 범위의 값으로 설정되어 있다.
여기에서는 플라즈마 처리실 유닛 (95) 을 예로 들어 그 구성을 설명한다.
도 13 은 본 실시형태의 플라즈마 처리실 유닛 (플라즈마 챔버) 의 개략 구성을 나타낸 단면도, 도 14 는 도 13 에 있어서의 덮개체를 나타낸 모식도이다.
본 실시형태의 플라즈마 처리실 유닛 (플라즈마 챔버) (95) 은, 2 주파 여기형의 플라즈마 처리실로 되고, 도 1 ∼ 도 11 에 나타낸 제 1 및 제 2 실시형태의 플라즈마 챔버 (75) 와 다른 점은 서셉터전극 (8) 측에도 고주파전력을 공급하는 점, 측정용 단자 (61) 및 그 부근의 구성에 관한 점, 및 플라즈마 전극용량 (Ce), 손실용량 (Cx) 의 설정에 관한 점이다. 그 이외의 대응하는 구성요소에는 동일한 부호를 붙인다.
본 실시형태의 플라즈마 챔버 (95,96) 는, 그 손실용량 (Cx) 과 플라즈마 전극용량 (Ce) 을 플라즈마 전극용량 (Ce) 의 5 배가 손실용량 (Cx) 보다 큰 범위의 값이 되도록 설정된다.
본 실시형태의 플라즈마 챔버 (95) 는, 도 13, 도 14 에 나타낸 바와 같이 챔버벽 (10) 의 상단부분은 그 전체 둘레에 걸쳐 챔버벽 상부 (10a) 로서 분리 가능하게 되어 있고, 이 챔버벽 상부 (10a) 와 챔버벽 (10) 사이에는 도시하지 않은 오링 등의 밀폐수단이 설치되어 챔버실 (60) 내부의 밀폐를 유지할 수 있게 되어 있다. 이 챔버벽 상부 (10a) 는 섀시 (21) 측벽의 하단부와 접속되어 있고, 챔버벽 상부 (10a) 와 섀시 (21) 는 직류적으로 동전위로 되어 있다.
도 14 에 나타낸 바와 같이, 챔버벽 상부 (10a), 플라즈마 여기전극 (4), 샤워 플레이트 (5), 절연체 (9), 섀시 (21), 가스도입관 (17), 섀시 (2) 등은 일체로 챔버벽 (10), 서셉터전극 (8) 등의 하부구조로부터 분리 가능한 덮개체 (19) 를 구성하고 있으며, 덮개체 (19) 는 예컨대 도시하지 않은 힌지 등의 개방수단에 의해 챔버벽 (10) 에 대해 회전구동하여 챔버실 (60) 을 개방 가능한 구조로 되어 있다.
본 실시형태의 플라즈마 챔버 (95) 는, 도 13 에 나타낸 바와 같이 서셉터전극 (8) 의 주위에 서셉터실드 (12) 가 설치되고, 웨이퍼 서셉터 (8) 및 서셉터실드 (12) 는 이들의 틈이 샤프트 (13) 주위에 형성된 전기절연물로 이루어진 절연수단 (12C) 에 의해 진공 절연됨과 동시에 전기적으로도 절연되어 있다. 또한, 웨이퍼 서셉터 (8) 및 서셉터실드 (12) 는 벨로스 (11) 에 의해 상하구동 가능하게 되어 있다. 이 구성에 의해 플라즈마 여기전극 (4) 과 서셉터전극 (8) 사이의 거리를 조정할 수 있게 되어 있다. 또한, 서셉터전극 (8) 은 샤프트 (13) 하단에 접속된 급전판 (28) 및 도전체로 이루어진 서셉터전극 (8) 측 매칭박스 (26) 내부에 수납된 정합회로 (25) 를 통해 제 2 고주파전원 (27) 과 접속되어 있다.
이들 급전판 (28) 은 서셉터실드 (12) 의 지지통 (12B) 하단에 접속된 섀시 (29) 에 덮임과 동시에, 섀시 (29) 는 동축 케이블로 되는 급전선 (27A) 의 실드선에 의해 접속된 매칭박스 (26) 와 함께 어스되어 있다. 그럼으로써, 서셉터실드 (12), 섀시 (29), 매칭박스 (26) 는 직류적으로 동전위로 되어 있다.
여기서, 정합회로 (25) 는 제 2 고주파전원 (27) 과 서셉터전극 (8) 사이의 임피던스의 정합을 도모하는 것으로 되고, 이 정합회로 (25) 는 도 13 에 나타낸 바와 같이 복수개의 수동소자로서 제 2 고주파전원 (27) 과 급전판 (28) 사이에 튜닝코일 (30) 과 튜닝콘덴서 (31) 가 직렬로 설치되고, 이들과 병렬로 로드콘덴서 (32) 가 접속되고, 이 로드콘덴서 (32) 의 일단은 매칭박스 (26) 에 접속되어 있고, 정합회로 (2A) 와 거의 동일한 구성으로 되어 있다. 매칭박스 (26) 는 급전선 (27A) 의 실드선을 통해 접지전위에 설정되어 있고, 동시에 로드콘덴서 (32) 의 일단이 어스되어 있다. 그리고, 튜닝코일 (30) 과 직렬로 튜닝코일을 접속하거나 로드콘덴서 (32) 와 병렬로 로드콘덴서를 설치할 수도 있다.
급전판 (28) 으로서는 급전판 (3) 과 동일한 것이 적용되고, 이 급전판 (28) 은 정합회로 (25) 로부터의 단자 및 샤프트 (13) 에 각각 나사 고정되어 있다.
본 실시형태의 플라즈마 챔버 (95) 의 측정범위로서는, 도 13, 도 14 에 나타낸 측정위치 (PR) 보다 플라즈마 여기전극 (4) 측으로 되고, 이 측정범위에서의 정합회로 (2A) 의 수동소자 중 출력최종단의 수동소자의 출력단자 위치의 튜닝콘덴서 (24) 의 출력단자 위치인 측정위치 (PR) 보다 배전체 (3) 측에는, 도 13, 도 14 에 나타낸 바와 같이 상기 플라즈마 챔버 (95) 의 임피던스 측정용 단자 (측정용 단자) (61) 가 형성되어 있다. 이 임피던스 측정용 단자 (61) 는 제 1 실시형태에서 측정위치 (PR) 로 된 배전체 (3) 의 입력단자 위치로 되는 분기점 (B) 에서 도체에 의해 섀시 (21) 의 외부까지 연장되어 있다.
그리고, 정합회로 (2A) 의 출력단자 위치 (PR) 부근에, 상기 정합회로 (2A) 와 상기 임피던스 측정용 단자 (61) 를 전환하는 스위치로서, 정합회로 (2A) 와 급전판 (3) 사이에 설치되는 스위치 (SW1) 와, 임피던스 측정용 단자 (61) 와 급전판 사이에 설치되는 스위치 (SW2) 가 설치되어 있다.
여기서, 스위치 (SW1,SW2) 를 상기 임피던스 측정용 단자 (61) 측에 접속한 경우에 있어서의 임피던스 측정용 단자 (61) 측으로부터의 임피던스 특성과, 스위치 (SW1,SW2) 를 정합회로 (2A) 측에 접속한 경우에 있어서의 정합회로 (2A) 의 출력단자 위치 (PR) 측으로부터의 임피던스 특성이 동등하게 설정된다. 즉, 도 13 에 나타낸 바와 같이 스위치 (SW1) 부근의 임피던스 (Z1) 와 스위치 (SW2) 부근의 임피던스 (Z2) 가 동등하게 설정된다.
이것은, 스위치 (SW1) 를 정합회로 (2A) 측에 접속하여 스위치 (SW2) 를 오프한 경우에 있어서의 정합회로 (2A) 의 출력단자로 되는 측정위치 (PR) 측, 즉 측정위치 (PR) 에서 스위치 (SW2) 로의 분기점 (B) 까지의 임피던스 (Z1) 와, 상기 스위치 (SW2) 를 측정용 단자 (61) 측에 접속하여 스위치 (SW1) 를 오프한 경우에 있어서의 임피던스 측정용 단자 (61) 측, 즉 임피던스 측정용 단자 (61) 에서 스위치 (SW1) 로의 분기점 (B) 까지의 임피던스 (Z2) 가 동일하게 설정된다는 것을 의미하고 있다.
임피던스 측정용 단자 (61) 에는, 도 13, 도 14 에 나타낸 바와 같이 고주파수특성 측정기 (임피던스 측정기) (AN) 의 프로브가 착탈이 자유롭게 접속되어 있다. 이 프로브에는 동시에 플라즈마 챔버 (95) 의 예컨대 섀시 (21) 로 되는 어스위치에 착탈이 자유롭게 접속되어 있다.
그리고, 도 13 에 나타낸 바와 같이 스위치 (SW1,SW2) 를 상기 임피던스 측정용 단자 (61) 측에 접속한 경우에 있어서의 임피던스 측정용 단자 (61) 에서 임피던스 측정기 (AN) 까지의 임피던스가, 플라즈마 챔버 (95) 와 플라즈마 챔버 (96) 에서 동일해지도록 설정되어 있다. 구체적으로는 임피던스 측정용 단자 (61) 에서 임피던스 측정기 (AN) 까지의 측정용 동축 케이블의 길이가 플라즈마 챔버 (95) 와 플라즈마 챔버 (96) 에서 각각 동일하게 설정되어 있다.
본 실시형태의 플라즈마 챔버 (95) 에 있어서는, 스위치 (SW1) 를 온함과 동시에 스위치 (SW2) 를 오프한 상태에 있어서, 서셉터전극 (8) 상에 피처리기판 (16) 을 탑재하고, 제 1, 제 2 고주파전원 (1,27) 에서 플라즈마 여기전극 (4) 과 서셉터전극 (8) 쌍방에 각각 고주파전력을 인가함과 동시에 가스도입관 (17) 에서 샤워 플레이트 (6) 를 통해 반응가스를 챔버실 (60) 내로 공급하여 플라즈마를 발생시킴으로써, 피처리기판 (16) 에 대해 막형성 등의 플라즈마 처리를 실시한다. 이 때, 제 1 고주파전원 (1) 에서 13.56 ㎒ 정도 이상의 고주파의 전력, 구체적으로는 예컨대 13.56 ㎒, 27.12 ㎒, 40.68 ㎒ 등의 주파수의 전력을 투입한다. 그리고, 제 2 고주파전원 (27) 에서도 제 1 고주파전원 (1) 으로부터와 동등하거나 다른 고주파의 전력, 예컨대 1.6 ㎒ 정도의 전력을 투입할 수도 있다.
여기서, 본 실시형태의 플라즈마 챔버 (95) 에 있어서의 고주파특성 (A) 으로서의 플라즈마 전극용량 (Ce), 손실용량 (Cx) 을 제 1, 제 2 실시형태와 동일한 방법으로 측정·정의한다. 본 실시형태의 플라즈마 전극용량 (Ce), 손실용량 (Cx) 은 구체적으로는 도 13 ∼ 도 16 에 나타낸 바와 같이 측정·정의된다.
도 15 는 도 13 의 본 실시형태의 플라즈마 처리장치의 플라즈마 전극용량 (Ce), 손실용량 (Cx) 측정용 등가회로를 나타낸 회로도, 도 16 은 도 14 의 본 실시형태의 플라즈마 처리장치의 덮개체 (19) 에 있어서, 플라즈마 전극용량 (Ce), 손실용량 (Cx) 측정용 등가회로를 나타낸 회로도이다.
본 실시형태의 플라즈마 챔버 (95) 의 측정범위로서는, 측정위치 (PR) 에서 본 플라즈마 챔버 (95) 에 있어서의 덮개체 (19) 의 상태를 그 대상으로 한다. 이것은 도 13 에 나타낸 바와 같이 스위치 (SW1) 부근의 임피던스 (Z1) 와 스위치 (SW2) 부근의 임피던스 (Z2) 가 동등하게 설정됨으로써, 임피던스 측정용 단자 (61) 에서 본 상태의 플라즈마 챔버 (95) 의 덮개체 (19) 를 측정범위로 하였을 때의 고주파수특성 (임피던스 특성) 과 동등한 것으로 되어 있다.
여기서, 고주파수특성 측정시에 있어서, 정합회로 (2A) 를 전기적으로 잘라내기 위해서는 기계적으로 정합회로 (2A) 및 매칭박스 (2) 등을 착탈할 필요가 있었던 제 1 및 제 2 실시형태에 비하여, 본 실시형태에서는 도 13, 도 14 에 나타낸 바와 같이 고주파수특성 측정시에 있어서, 스위치 (SW1) 에 의해 절단되어 있는 정합회로 (2A) 는 측정범위에 포함되지 않고 측정범위외로 할 수 있기 때문이고, 그럼으로써 플라즈마 챔버 (CN) 의 덮개체 (19) 에 있어서의 고주파수특성을 측정하기가 쉬워진다. 그리고, 제 1 실시형태에 있어서의 측정범위에 대해 배전체 (3) 의 입력단자 위치로 된 분기점 (B) 과 직렬로 접속된 임피던스 측정용 단자 (61), 스위치 (SW2) 를 포함하여 측정범위로 할 수 있다.
이 때, 측정범위가 되는 플라즈마 챔버 (95) 에 대해 고려되는 전기적 고주파적 요인은, 도 15 에 나타낸 바와 같이 다음과 같은 것이 고려된다.
스위치 (SW2) 의 인덕턴스 (LSW) 및 저항 (RSW)
급전판 (피더) (3) 의 인덕턴스 (Lf) 및 저항 (Rf)
플라즈마 여기전극 (4) 과 서셉터전극 (8) 사이의 플라즈마 전극용량 (Ce)
정합회로 (25) 로부터의 기여
서셉터전극 (8) 과 서셉터실드 (12) 사이의 용량 (CS)
서셉터실드 (12) 의 지지통 (12B) 의 임피던스 (LC) 및 저항 (RC)
벨로스 (11) 의 인덕턴스 (LB) 및 저항 (RB)
챔버벽 (10) 의 인덕턴스 (LA) 및 저항 (RA)
절연체 (17a) 를 사이에 두고 가스도입관 (17) 과 플라즈마 여기전극 (4) 사이의 용량 (CA)
플라즈마 여기전극 (4) 과 섀시 (21) 사이의 용량 (CB)
플라즈마 여기전극 (4) 과 챔버벽 상부 (10a) 사이의 용량 (CC)
여기서, 제 1, 제 2 실시형태에 있어서의 측정범위와 비교하면 스위치 (SW2) 가 추가되어 있는데, 이것은 플라즈마 발광시에 스위치 (SW1) 는 온한 상태로 되어 있다. 즉, 임피던스 특성에 대한 스위치 (SW1) 의 기여가 존재하고 있는 것에 대응하고 있다. 즉, 이 스위치 (SW1) 부근의 임피던스 (Z1) 와 동일한 임피던스 (Z2) 를 갖는 스위치 (SW2) 부근을 포함하여 상기 측정범위로 함으로써, 임피던스 측정용 단자 (61) 에서 본 플라즈마 챔버 (95) 의 측정범위를 실제로 플라즈마 발광시에 고주파 전류가 흐르는 회로상태에 근접시켜 고주파수특성 측정의 정확성을 보다 향상시킬 수 있게 된다.
그리고, 고주파수특성 측정기 (임피던스 측정기) (AN) 에 접속된 도 19 에 나타낸 프로브 (105) 를 임피던스 측정용 단자 (61) 및 플라즈마 챔버 (95) 의 예컨대 섀시 (21) 로 되는 어스 위치에 접속한다. 이 상태에서 스위치 (SW2) 를 온함과 동시에 스위치 (SW1) 를 오프한 상태로 설정하여, 임피던스 측정기 (AN) 에 의해 플라즈마 챔버 (95) 의 덮개체 (19) 에 있어서의 주파수 특성으로서 손실용량 (Cx) 을 측정한다.
도 14 에 나타낸 바와 같이, 덮개체 (19) 를 플라즈마 챔버 (95) 에서 전기적으로 분리한 상태에서, 이 덮개체 (19) 에 있어서의 플라즈마 여기전극 (4) 에 발생한 용량성분을 손실용량 (Cx) 으로 볼 수 있다.
손실용량 (Cx) 은 플라즈마 여기전극 (4) 에서 서셉터전극 (8) 이외로 흐르는 전류에 대한 용량 성분의 총합으로서, 즉, 플라즈마 여기전극 (4) 과 직류적으로 어스된 각 접지전위부 사이의 용량이다. 여기서, 각 접지전위부란, 서셉터전극 (8) 이외의 어스 전위에 있는 플라즈마 챔버 (95) 의 각부로서, 서셉터실드 (12), 지지통 (12B), 샤프트 (13), 벨로스 (11), 챔버저부 (10A), 챔버벽 (10), 챔버벽 상부 (10a), 섀시 (21), 매칭박스 (2), 절연체 (17a) 에서 가스공급원측의 가스도입관 (17), 고주파전력 공급전체 (급전선) (1A) 의 시스선 등을 의미하는 것인데, 손실용량 (Cx) 에 관여하는 부분으로서 플라즈마 여기전극 (4) 에 대향하는 요소로서는, 구체적으로는 도 4 에 나타낸 제 1 실시형태와 마찬가지로 가스도입관 (17), 섀시 (21), 챔버벽 상부 (10a) 를 고려한다.
그러면, 손실용량 (Cx) 으로서는, 플라즈마 여기전극 (4) 과 절연체 (17a) 를 사이에 둔 가스도입관 (17) 사이의 용량 (CA), 플라즈마 여기전극 (4) 과 섀시 (21) 사이의 용량 (CB), 플라즈마 여기전극 (4) 과 챔버벽 상부 (10a) 사이의 용량 (CC) 의 합으로서 정의된다.
이어서, 평행평판형으로 되는 플라즈마 여기전극 (4) 과 서셉터전극 (8) 사이의 용량이고, 전극 (4,8) 의 면적과 이들 전극 (4,8) 사이의 거리에 의해 규정되는 플라즈마 전극용량 (Ce) 을 플라즈마 여기전극 (4) 과 서셉터전극 (8) 의 치수 등으로부터 규정한다.
본 실시형태의 플라즈마 챔버 (75) 에 있어서는, 이와 같이 정의된 손실용량 (Cx) 과 플라즈마 전극용량 (Ce) 의 관계로서, 플라즈마 전극용량 (Ce) 의 5 배가 손실용량 (Cx) 보다 큰 범위의 값이 되도록 설정한다.
여기서, 손실용량 (Cx) 과 플라즈마 전극용량 (Ce) 을 설정하는 방법으로서는, 예컨대
① 플라즈마 여기전극 (4) 과 서셉터전극 (8) 의 거리, 면적 등을 조정한다.
② 플라즈마 여기전극 (4) 과 챔버벽 상부 (10a) 의 오버랩 면적을 조정한다.
③ 플라즈마 여기전극 (4) 과 챔버벽 상부 (10a) 사이의 절연체의 재질을 조절한다.
④ 플라즈마 여기전극 (4) 과 챔버벽 상부 (10a) 사이의 절연체의 두께를 조정한다.
⑤ 플라즈마 여기전극 (4) 과 섀시 (21) 의 거리, 면적 등을 조정한다.
⑥ 가스도입관 (17) 에 삽입한 절연체 (17a) 의 재질을 조정한다.
⑦ 가스도입관 (17) 에 삽입한 절연체 (17a) 의 길이를 조정한다. 등의 수법을 적용할 수 있다.
그리고, 본 실시형태에 있어서는, 플라즈마 여기전극 (4) 과 서셉터전극 (8) 사이의 플라즈마 전극용량 (Ce) 을 플라즈마 발광공간에서의 실효적인 전극간의 거리 (δ) 에 의해 설정한다.
도 17 은 플라즈마 발광상태에서의 전극간의 상태를 나타낸 모식도이다.
우선, 도 17 에 나타낸 바와 같이 이 대향하는 평행평판형으로 되는 플라즈마 여기전극 (4), 서셉터전극 (8) 사이의 거리를 d 라 하고, 이 전극 (4,8) 사이의 거리방향에 있어서 각각의 전극 (4,8) 과 발광시의 플라즈마의 거리의 합을 δ라 한다. 즉, 플라즈마 발광시에 육안으로 확인할 수 있는 플라즈마 발광영역 (P) 과 플라즈마 여기전극 (4) 사이의 플라즈마 발광하지 않는 부분의 거리를 δa, 플라즈마 발광영역 (P) 과 서셉터전극 (8) 사이의 플라즈마 발광하지 않는 부분의 거리를 δb 라 하였을 때에, 식 (4) 에 나타낸 바와 같이 이들 합을 δ라 한다.
δa + δb = δ
여기서, 전극 (4,8) 사이의 거리 (d) 와 전극 (4,8) 사이에 있어서 플라즈마의 발광하지 않는 부분의 거리의 합 (δ) 으로부터 실제로 플라즈마 발광상태에 있어서의 전극 (4,8) 사이의 모델적인 용량 (CO") 이 구해진다.
플라즈마 발광시에 있어서의 평행평판 전극 (4,8) 은 그 사이에 있는 플라즈마 발광영역 (P) 을 도체로서 간주할 수 있기 때문에, 마치 전극 (4,8) 사이의 거리가 δ로 된 것처럼 볼 수 있다. 그 결과, 플라즈마 발광시의 평행평판 전극 (4,8) 사이의 용량 (CO") 은 전극 (4,8) 사이의 거리에 반비례하기 때문에, 비플라즈마 발광시에 용량 (CO) 이었던 것이 플라즈마 발광시에는 외관상 d/δ배로 된다.
CO ∝ 1/d
CO" ∝ 1/δ
∴ CO" ∝ d/δ·CO
따라서, 이 플라즈마 발광시의 플라즈마 전극용량 (CO") 의 5 배가 손실용량 (Cx) 보다 큰 범위의 값이 되도록 설정할 수도 있다.
즉, 플라즈마 전극용량 (CO) 의 5 ×d/δ배가 손실용량 (Cx) 보다 큰 범위의 값이 되도록 설정할 수도 있다.
그럼으로써, 플라즈마 발광시에 있어서의 전력소비효율을 한층 더 향상시킬 수 있게 된다.
그리고, 본 실시형태의 플라즈마 처리장치 (91) 에 있어서는, 플라즈마 챔버 (96) 는 플라즈마 챔버 (95) 와 거의 동등한 구조로 되어 있다. 그리고, 이 플라즈마 챔버 (96) 에 대해서도 상기 플라즈마 전극용량 (CO), 손실용량 (Cx) 을 플라즈마 챔버 (95) 와 동일한 방법으로 설정한다.
구체적으로는 이들 플라즈마 챔버 (95,96) 에 있어서 모두 전력주파수 (fe) 를 40.68 ㎒ 로 설정하여 플라즈마 전극용량 (Ce), 손실용량 (Cx) 을 측정한다.
그런데, 이 플라즈마 전극용량 (Ce), 손실용량 (Cx) 은 기계적인 구조를 그 대부분의 요인으로 하여 결정되는 전기적 고주파적인 특성으로서, 각 실기마다 다른 것으로 생각된다.
따라서, 계측한 플라즈마 챔버 (제 1 막형성실) (95) 에 대한 플라즈마 전극용량 (Ce95), 플라즈마 챔버 (제 2 막형성실) (96) 에 대한 플라즈마 전극용량 (Ce96) 중 그 최대값 (Cemax) 과 최소값 (Cemin) 에 대해, 상술한 식 (1) 과 같이 복수개의 플라즈마 챔버 (95,96) 의 플라즈마 전극용량 (Ce) 의 편차로서 정의하고, 이 식 (1) 로 표시되는 편차의 값을 0.03 보다 작은 범위의 값으로 설정한다. 이 때, 플라즈마 전극용량 (Ce) 의 편차를 설정하는 방법으로서는, 상술한 제 ① ∼ ④ 등과 같은 수법을 적용할 수 있다.
동시에, 계측한 플라즈마 챔버 (제 1 막형성실) (95) 에 대한 손실용량 (Cx95), 플라즈마 챔버 (제 2 막형성실) (96) 에 대한 손실용량 (Cx96) 중 그 최대값 (Cxmax) 과 최소값 (Cxmin) 에 대해, 상술한 식 (2) 에 나타낸 바와 같이 복수개의 플라즈마 챔버 (95,96) 의 손실용량 (Cx) 의 편차로서 정의하고, 이 식 (2) 로 표시되는 편차의 값을 0.1 보다 작은 범위의 값으로 설정한다. 이 때, 손실용량 (Cx) 의 편차를 설정하는 방법으로서는, 상술한 ① ∼ ④ 등과 같은 수법을 적용할 수 있다.
또한, 본 실시형태에 있어서는, 플라즈마 챔버 (95,96) 의 상기 측정용 단자 (61) 에 각각 고주파특성 측정기 (AN) 가 전환이 자유롭게 접속되어 있다. 이것은 비측정시, 즉 플라즈마 발생시 등에 있어서 각 플라즈마 챔버 (95,96) 의 측정용 단자 (61,61) 와 고주파특성 측정기 (AN) 의 접속을 각 플라즈마 챔버 (95,96) 에서 잘라내도록 스위치 (SW1,SW2) 를 전환함으로써, 플라즈마 발생시에 고주파 측정기 (AN) 에 대해 작용하는 전기적 영향을 방지할 수 있다. 그럼으로써, 단일한 임피던스 측정기 (AN) 를 겸용하여 이들 복수개의 플라즈마 챔버 (95,96) 의 고주파특성을 측정할 수 있다. 그럼으로써, 플라즈마 챔버 (95,96) 와 고주파특성 측정기 (AN) 의 접속을 착탈하지 않고, 스위치 (SW1,SW2) 전환만으로 고주파특성의 측정, 특히 플라즈마 전극용량 (Ce), 손실용량 (Cx) 을 쉽게 측정할 수 있게 된다.
또한, 본 실시형태에 있어서는, 플라즈마 챔버 (95,96) 에 있어서의 상기 측정 위치 근방의 분기점 (B) 과 상기 측정용 단자 (61), 스위치 (SW2) 를 통해 고주파특성 측정기 (AN) 사이의 고주파특성 (A) (임피던스 (Z)) 이 각각 동등하게 설정되어 있다. 이것은 구체적으로 각 플라즈마 챔버 (95,96) 의 정합회로 (2A) 출력측 최종단 근방의 분기점 (B) 에서 스위치 (SW2) 부근을 포함하여 상기 측정 범위의 임피던스 (Z2) 와, 스위치 (SW2) 에서 고주파특성 측정기 (AN) 까지의 동축 케이블의 길이가 각각 동등하게 설정되어 있는 수단을 적용할 수 있다.
상기 구성의 플라즈마 처리장치 (91) 는 게이트 (g0) 를 개방하여 피처리기판 (16) 을 로드록실 (93) 로 반입하고, 게이트 (g0) 를 폐쇄하여 로드록실 (93) 을 저진공 펌프에 의해 배기한다. 게이트 (g1,g2) 를 개방하여 로드록실 (93) 로 반입된 기판 (16) 을 운송실 (92) 의 운송로봇의 이동탑재 아암에 의해 열처리실 (99) 로 이동하고, 게이트 (g1,g2) 를 폐쇄하여 운송실 (92) 과 열처리실 (99) 을 고진공 펌프에 의해 배기한다. 이어서, 기판 (16) 을 가열처리하고, 종료후 게이트 (g2,g4) 를 개방하여 열처리된 기판 (16) 을 운송실 (92) 의 운송로봇의 이동탑재 아암에 의해 플라즈마 챔버 (95) 로 이동시킨다. 플라즈마 챔버 (95) 의 기판 (16) 을 반응처리하고, 종료후 게이트 (g4,g3) 를 개방하여 처리된 기판 (16) 을 운송실 (92) 의 운송로봇의 이동탑재 아암에 의해 플라즈마 챔버 (96) 로 이동시킨다. 플라즈마 챔버 (96) 의 기판 (16) 을 반응처리하고, 종료후 게이트 (g3,g1) 를 개방하여 기판 (16) 을 운송실 (92) 의 운송로봇의 이동탑재 아암에 의해 로드록실 (93) 로 이동시킨다.
이 때, 예컨대 각 처리실에 있어서의 막형성 조건 등의 처리조건이나 처리 시퀀스를 오퍼레이터가 설정하는 것 외에는, 각부의 동작이 제어부에 의해 제어되어 자동운전하는 구성으로 이루어져 있다. 따라서, 이 플라즈마 처리장치 (91) 를 사용할 때에는 처리전의 피처리기판 (16) 을 로드록실 (93) 의 로더카셋에 세팅하고, 오퍼레이터가 스타트 스위치를 조작하면, 기판운송로봇에 의해 로더카셋에서 각 처리실내로 피처리기판 (16) 이 운송되고, 각 처리실에서 일련의 처리가 순차 자동적으로 실시된 후, 기판운송로봇에 의해 언로더카셋 (로더카셋) 에 수용된다.
상기 구성의 플라즈마 챔버 (95,96) 에 있어서는, 제 2 실시형태와 마찬가지로 서셉터전극 (8) 상에 피처리기판 (16) 을 탑재하고, 고주파전원 (1) 에서 고주파 전극 (4) 과 서셉터전극 (8) 의 쌍방에 각각 고주파전력을 인가함과 동시에 가스도입관 (17) 에서 샤워 플레이트 (6) 를 통해 반응가스를 챔버실 (60) 내로 공급하여 플라즈마를 발생시킴으로써, 피처리기판 (16) 상에 비정질 실리콘막, 실리콘 산화막, 실리콘 질화막 등을 형성한다.
본 실시형태의 플라즈마 처리장치 (91) 및 그 검사방법에 있어서는, 제 1 및 제 2 실시형태와 동등한 효과를 얻을 수 있음과 동시에 각 플라즈마 챔버 (95,96) 에 있어서의 플라즈마 전극용량 (Ce), 손실용량 (Cx) 의 편차가 각각 0.03 보다 작은 범위의 값으로 설정되어 이루어짐으로써, 복수개의 플라즈마 챔버 (95,96) 에 대해 임피던스, 공진주파수 특성 등의 전기적 고주파적인 특성의 기기별 차이를 없앨 수 있게 되며, 그럼으로써 임피던스 특성을 지표로 하여 일정한 관리폭내에 복수개의 플라즈마 챔버의 상태를 설정할 수 있게 되기 때문에, 개개의 플라즈마 챔버 (95,96) 에 있어서 플라즈마 공간에서 소비되는 실효적인 전력 등을 각각 거의 균일하게 할 수 있다.
그 결과, 복수개의 플라즈마 챔버 (95,96) 에 대해 동일한 프로세스 레서피를 적용하여, 거의 동일한 플라즈마 처리결과를 얻을 수 있고, 즉 복수개의 플라즈마 챔버에 있어서 예컨대 막을 형성할 때에 막두께, 절연내압, 에칭율 등 거의 균일한 특성의 막을 얻을 수 있게 된다. 구체적으로는 상기 편차의 값을 0.03 보다 작은 범위로 설정함으로써, 거의 동일한 조건에서 적층한 플라즈마 챔버에 있어서, 막두께의 편차 값을 ±2 % 의 범위내로 할 수 있다.
그리고, 본 실시형태의 플라즈마 처리장치 (91) 에 있어서는, 복수개의 플라즈마 챔버 (95,96) 의 상기 정합회로 (2A) 의 출력단자로 되는 측정위치 (PR) 에 임피던스 측정용 단자 (측정용 단자) (61) 를 설치하고, 이 측정용 단자 (61) 에 임피던스 측정기 (AN) 를 착탈이 자유롭게 접속함과 동시에 스위치 (SW1,SW2) 를 설치함으로써, 복수개의 플라즈마 챔버 (95,96) 의 고주파특성 측정기에 있어서, 제 1 및 제 2 실시형태와 같이 플라즈마 챔버 (95,96) 와 정합회로 (2A) 를 잘라내기 위하여 전력공급선과 정합회로 (2A) 를 착탈할 필요가 없다. 따라서, 상기 플라즈마 챔버 (95,96) 의 고주파특성을 측정할 때의 프로빙을 쉽게 실시할 수 있게 되며, 손실용량 (Cx) 의 측정시에 있어서의 작업효율을 향상시킬 수 있다.
그리고, 이들 복수개의 플라즈마 챔버 (95,96) 에 있어서 임피던스 (Z1) 와 임피던스 (Z2) 를 동등하게 설정함으로써, 개개의 플라즈마 챔버 (95,96) 에 있어서 플라즈마 챔버 (95,96) 와 정합회로 (2A) 를 착탈하지 않고 또한 임피던스 측정용 프로브 (105) 를 착탈하지 않고, 덮개체 (19) 를 개방한 상태에서의 스위치 (SW1,SW2) 전환만으로 고주파특성의 측정 및 손실용량 (Cx) 의 측정과 플라즈마 처리장치의 동작상태, 즉 플라즈마 발생상태의 전환을 쉽게 할 수 있게 된다.
그리고, 본 실시형태에 있어서, 상기 플라즈마 전극용량 (Ce) 의 5 배가 상기 용량 (손실용량) (Cx) 보다 큰 범위의 값으로 설정되어 이루어짐으로써, 동일 조건으로서 처리속도, 막의 면내방향의 균일성, 막특성을 얻는 데 필요한 전력을 종래에 비해 삭감하고, 전력절약화를 도모하고, 러닝 코스트의 저감을 도모할 수 있다. 여기서, 막형성시에 있어서 처리속도는 퇴적속도, 막의 면내방향의 균일성으로서는 막두께나 막특성, 막특성으로서는 절연내압 등이 대응한다.
그리고, 본 실시형태에 있어서, 2 개의 스위치 (SW1) 및 스위치 (SW2) 를 설치하는 구성으로 하였으나, 분기점 (B) 에서 출력단자 위치 (PR) 까지와 분기점 (B) 에서 프로브까지의 임피던스가 동등하게 설정되어 있으면 되며, 예컨대 1 개의 스위치에 의해 이들 접속을 전환 가능하게 할 수도 있다.
또한, 도 21 에 나타낸 바와 같이, 각각의 플라즈마 챔버 (95,96) 의 스위치 (SW2) 를 공통으로 하여 측정시에 피측정 플라즈마 챔버를 전환하는 단일 스위치 (SW4) 를 갖는 구성으로 해도 된다.
그리고, 본 실시형태에 있어서는, 플라즈마 여기전극 (4) 에 대한 플라즈마 전극용량 (Ce), 손실용량 (Cx) 및 이들의 편차 값을 설정하였으나, 서셉터전극 (8) 에 대한 용량을 설정하도록 대응할 수 있다. 이 경우, 도 11 에 PR' 로 나타낸 바와 같이, 임피던스 측정범위를 규정하는 정합회로 (25) 의 출력단자 위치를 측정위치로서 설정할 수 있다.
그리고, 평행평판형 전극 (4,8) 을 갖는 타입 대신에 ICP (inductive coupled plasma) 유도결합 플라즈마 여기형, RLSA (radial line slot antenna) 레이디얼 라인 슬롯 안테나형 등의 플라즈마 처리장치나, RIE (Reactive Ion Etching) 반응성 스퍼터 에칭용 처리장치에 적용할 수도 있다.
그리고, 전극 (4,8) 대신에 타겟재를 장착함으로써, 플라즈마 처리로서 스퍼터링을 실시할 수도 있다.
이하, 본 발명에 관한 플라즈마 처리장치, 플라즈마 처리시스템 및 이들의 성능확인시스템, 검사방법의 제 4 실시형태를 도면에 기초하여 설명한다.
[제 4 실시형태]
도 18 은 본 실시형태의 플라즈마 처리시스템의 개략 구성을 나타낸 모식도이다.
본 실시형태의 플라즈마 처리시스템은 도 9 ∼ 도 11 에 나타낸 제 2 실시형태와 거의 동등한 플라즈마 처리장치 (71,71') 와, 도 12 ∼ 도 17 에 나타낸 제 3 실시형태와 거의 동등한 플라즈마 처리장치 (91) 를 조합하여 개략 구성되어 있다. 여기서, 앞에서 설명한 제 1 ∼ 제 3 실시형태의 구성요소에 대응하는 것에는 동일한 부호를 붙이고 그 설명을 생략한다.
본 실시형태의 플라즈마 처리시스템은, 도 18 에 나타낸 바와 같이 3 개의 플라즈마 처리실 유닛 (플라즈마 챔버) (95,96,97) 을 갖는 플라즈마 처리장치 (71), 2 개의 플라즈마 처리실 유닛 (플라즈마 챔버) (95,96) 을 갖는 플라즈마 처리장치 (91) 및 3 개의 플라즈마 처리실 유닛 (플라즈마 챔버) (95,96,97) 을 갖는 플라즈마 처리장치 (71') 가 제조라인의 일부를 구성하는 것으로 되어 있다.
여기서, 도 18 에 나타낸 바와 같이, 제 2 실시형태와 거의 동등한 플라즈마 처리장치 (71,71') 의 부분에 있어서, 도 9 ∼ 도 11 에 나타낸 플라즈마 처리실 유닛 (플라즈마 챔버) (75,76,77) 대신에 도 12 ∼ 도 17 에 나타낸 제 3 실시형태에 있어서의 2 주파수 여기형 플라즈마 처리실 유닛 (플라즈마 챔버) (95) 와 거의 동등한 플라즈마 처리실 유닛을 3 개 갖는 구성으로 되어 있으며, 이들 플라즈마 처리실 유닛 (플라즈마 챔버) (95,96,97) 은 거의 동일한 구조로 되어 있다.
본 실시형태의 플라즈마 처리시스템은, 도 18 에 나타낸 바와 같이 각 플라즈마 챔버 (95,96,97) 의 임피던스 측정용 단자 (61) 가 스위치 (SW3) 를 통해 임피던스 측정기 (AN) 에 접속되어 있다. 스위치 (SW3) 는 각 플라즈마 챔버 (95,96,97) 의 측정시에 측정대상의 플라즈마 챔버 (95,96,97) 와 임피던스 측정기 (AN) 만을 접속하여 그 이외의 플라즈마 챔버 (95,96,97) 를 절단하도록 전환하는 스위치로서 설치되어 있다. 그리고, 이 측정용 단자 (61) 에서 스위치 (SW3) 까지의 임피던스가 각 플라즈마 챔버 (95,96,97) 에 대해 동등해지도록 측정용 동축 케이블의 길이가 동등하게 설정되어 있다. 임피던스 측정용 단자 (61) 에는 도 13 에 나타낸 제 3 실시형태와 동일한 방법으로 임피던스 측정기 (AN) 의 프로브가 착탈이 자유롭게 접속되어 있다.
여기서, 본 실시형태의 각 플라즈마 챔버 (95,96,97) 에서의 플라즈마 전극용량 (Ce), 손실용량 (Cx) 중 손실용량 (Cx) 은, 스위치 (SW3) 를 전환함으로써 제 3 실시형태와 동일한 방법으로 측정하고, 플라즈마 전극용량 (Ce) 의 5 배가 상기 용량 (손실용량) (Cx) 보다 큰 범위의 값으로 설정되어 있다.
그리고, 이들 복수개의 플라즈마 처리실 유닛 (플라즈마 챔버) (95,96,97) 의 플라즈마 전극용량 (Ce) 중 그 최대값 (Cemax) 과 최소값 (Cemin) 의 편차가
(Cemax-Cemin)/(Cemax+Cemin) (1)
로 되고, 이 값이 0.03 보다 작은 범위의 값으로 설정되어 이루어짐과 동시에, 상기 고주파전원 (1) 이 접속된 전극 (4) 과 직류적으로 어스된 각 접지전위부 사이의 용량 (손실용량) (Cx) 중 그 최대값 (Cxmax) 과 최소값 (Cxmin) 의 편차가
(Cxmax-Cxmin)/(Cxmax+Cxmin) (2)
로 되고, 이 값이 0.03 보다 작은 범위의 값으로 설정되어 있다.
본 실시형태의 플라즈마 처리시스템에 있어서는, 예컨대 플라즈마 처리 전처리를 실시한 피처리기판 (16) 에 플라즈마 처리장치 (71) 의 플라즈마 챔버 (95,96,97) 에서 막형성 처리를 실시하고, 이어서 열처리실 (79) 에서 가열처리를 실시하고, 그 후 레이저 어닐실 (78) 에서 어닐처리를 실시한다. 이어서, 이 피처리기판 (16) 을 플라즈마 처리장치 (71) 에서 반출하고, 도시하지 않은 플라즈마 처리장치 (71) 와 동등한 장치에서의 플라즈마 처리실에서 피처리기판 (16) 에 순차적으로 제 2, 제 3 막형성 처리를 실시한다.
이어서, 이 플라즈마 처리장치에서 반출된 피처리기판 (16) 에 도시하지 않은 별도의 처리장치에서 포토리소그래피 공정에 의해 포토레지스트를 형성한다.
그리고, 피처리기판 (16) 을 플라즈마 처리장치 (91) 로 반입하고, 플라즈마 챔버 (95,96) 에서 플라즈마 에칭을 실시하고, 이어서 이 피처리기판 (16) 을 플라즈마 처리장치 (91) 에서 반출하고, 도시하지 않은 플라즈마 처리장치 (91) 와 동등한 장치의 플라즈마 챔버에서 피처리기판 (16) 에 막형성 처리를 실시한다.
이어서, 도시하지 않은 플라즈마 처리장치에서 반출된 피처리기판 (16) 에 도시하지 않은 다른 처리장치에서 레지스트를 박리하고, 다시 포토리소그래피 공정에 의해 패터닝한다.
마지막으로 플라즈마 처리장치 (71') 의 플라즈마 챔버 (95,96,97) 에서 피처리기판 (16) 에 순차적으로 제 1, 제 2, 제 3 막형성 처리가 실시되고, 피처리기판 (16) 을 플라즈마 처리 후처리로 보냄으로써, 제조라인에 있어서의 본 실시형태의 플라즈마 처리시스템에 있어서의 공정은 종료된다.
본 실시형태의 플라즈마 처리시스템에 있어서는, 도 1 ∼ 도 17 에 나타낸 제 1 내지 제 3 실시형태와 동등한 효과를 얻을 수 있음과 동시에, 플라즈마 전극용량 (Ce) 의 5 배가 손실용량 (Cx) 보다 큰 범위의 값으로 설정되어 이루어짐으로써, 각 플라즈마 챔버에 있어서 고주파전원 (1) 에서 공급되는 전류 중 전극 (4,8) 이외로의 분류분을 컨트롤할 수 있게 되기 때문에, 전력을 효율적으로 챔버실 (60) 의 플라즈마 발생공간으로 도입할 수 있게 되므로, 동일 주파수를 공급한 경우에 종래의 플라즈마 처리시스템과 비교하여 플라즈마 공간에서 소비되는 실효적인 전력의 상승을 도모할 수 있다. 그 결과, 막을 적층하였을 때에는 퇴적속도의 향상을 도모하도록 할 수 있다. 상기한 범위로 플라즈마 전극용량 (Ce), 손실용량 (Cx) 를 설정함으로써, 각 실기에 대해서도 종래 고려되지 않았던 부분의 전반적인 전기적 고주파적 특성을 설정할 수 있게 되므로, 플라즈마 발생의 안정성을 기대할 수 있다. 그 결과, 동작 안정성이 높은 플라즈마 처리장치를 제공할 수 있게 된다.
그 결과, 플라즈마 발생공간으로 투입되는 플라즈마 전류에 대해 플라즈마 챔버 (플라즈마 처리실 유닛) 의 다른 각 접지전위부로 분류되는 손실전류를 감소시켜 플라즈마 발생공간으로 투입되는 전력이 누설되어 저절로 감소하는 것을 방지하고, 동일 주파수를 공급한 경우에 종래의 플라즈마 처리장치와 비교하여 플라즈마 공간에서 소비되는 실효적인 전력의 상승을 도모할 수 있다.
그리고, 본 실시형태의 플라즈마 처리시스템 및 그 검사방법에 있어서는, 각 플라즈마 챔버 (95,96,97) 의 플라즈마 전극용량 (Ce) 중 그 최대값 (Cemax) 과 최소값 (Cemin) 의 편차를 0.03 보다 작은 범위의 값으로 설정함과 동시에, 플라즈마 챔버 (95,96,97) 의 손실용량 (Cx) 중 그 최대값 (Cxmax) 과 최소값 (Cxmin) 의 편차를 0.03 보다 작은 범위의 값으로 설정함으로써, 복수개의 플라즈마 처리장치 (71,91,71') 에 있어서 각각 각 플라즈마 챔버 (95,96,97) 에 대한 전기적 고주파적인 특성의 기기별 차이를 없앨 수 있게 되며, 그럼으로써 플라즈마 처리시스템 전체에 있어서 임피던스 특성을 지표로 하는 일정한 관리폭내에 복수개의 플라즈마 챔버 (95,96,97) 의 상태를 설정할 수 있게 되기 때문에, 개개의 플라즈마 챔버 (95,96,97) 에 있어서 플라즈마 발생공간으로 투입되는 실효적인 전력, 발생하는 플라즈마 밀도 등을 각각 거의 균일하게 할 수 있다.
그 결과, 플라즈마 처리시스템 전체에 있어서 복수개의 플라즈마 챔버 (95,96,97) 에 대해 동일한 플라즈마 레서피를 적용하여 거의 동일한 플라즈마 처리결과를 얻을 수 있고, 즉 복수개의 플라즈마 챔버 (95,96,97) 에 있어서 예컨대 막을 형성하였을 때에 막두께, 절연내압, 에칭율 등, 거의 균일한 막특성의 막을 얻을 수 있게 된다. 구체적으로는 상기한 편차의 값을 0.03 보다 작은 범위로 설정함으로써, 거의 동일한 조건으로 적층한 플라즈마 챔버 (95,96,97) 에 있어서 막두께의 편차 값을 ±2 % 의 범위내로 할 수 있다.
따라서, 종래 고려되지 않았던 플라즈마 처리장치의 전반적인 전기적 고주파적 특성을 설정할 수 있게 되고, 개개의 플라즈마 챔버 (95,96,97) 에 있어서의 플라즈마 발생의 안정성을 기대할 수 있다. 그 결과, 동작 안정성이 높고, 각 플라즈마 챔버 (95,96,97) 에서 균일한 동작을 기대할 수 있는 플라즈마 처리시스템을 제공할 수 있게 된다.
그럼으로써, 단일한 플라즈마 처리장치보다 다수의 플라즈마 챔버 (95,96,97) 에 대한 방대한 데이터로부터 외부 파라미터와 실제 기판을 처리하는 평가방법에 의한 처리결과의 상관관계에 따른 프로세스 조건을 파악하지 않아도 된다.
따라서, 본 실시형태의 플라즈마 처리시스템 및 그 검사방법에 의하면, 신규설치시나 조정·보수점검시에 각 플라즈마 챔버 (95,96,97) 마다의 기기별 차이를 없애서 처리의 편차를 없애고, 각 플라즈마 챔버 (95,96,97) 에서 동일한 프로세스 레서피에 의해 거의 동일한 처리결과를 얻는 데 필요한 조정시간을 피처리기판 (16) 으로의 실제 막형성 등에 의한 검사방법을 채택한 경우에 비하여 대폭 단축할 수 있다. 또한, 처리한 기판의 평가에 의해 플라즈마 처리시스템의 동작확인 및 동작의 평가를 실시하는 2 단계 검사방법이 아니라, 직접 플라즈마 처리시스템을 평가할 수 있고, 또한 플라즈마 처리시스템의 실기가 설치되어 있는 장소에서 단시간에 실시할 있다. 그리고, 피처리기판 (16) 으로의 실제 막형성 등에 의한 검사방법을 채택한 경우, 별도로 실시할 수밖에 없었던 복수개의 플라즈마 챔버 (95,96,97) 에 대한 결과를 거의 동시에 실현할 수 있다.
따라서, 제조라인을 수일 또는 수주간 정지시켜 플라즈마 처리시스템의 동작확인 및 동작의 평가를 할 필요가 없어져서 제조라인으로서의 생산성을 향상시킬 수 있다. 또한, 이와 같은 조정에 필요한 검사용 기판 등의 비용, 이 검사용 기판의 처리비용 및 조정작업에 종사하는 작업원의 인건비 등, 비용을 삭감할 수 있게 된다.
그리고, 본 실시형태에 있어서의 플라즈마 처리시스템에 있어서는, 각 플라즈마 챔버 (95,96,97) 의 플라즈마 전극용량 (Ce) 의 5 배가 손실용량 (Cx) 보다 큰 범위의 값으로 설정되어 이루어짐으로써, 종래에는 고려되지 않았던 복수개의 플라즈마 챔버 (95,96,97) 의 전기적 고주파적인 특성을 일괄하여 적정한 범위내로 할 수 있다. 그럼으로써, 동작 안정성을 향상시켜 종래 일반적으로 사용되던 13.56 ㎒ 정도 이상의 높은 주파수의 전력을 투입한 경우라도, 모든 플라즈마 챔버 (95,96,97) 에 있어서 고주파전원 (1) 으로부터의 전력을 플라즈마 여기전극 (4) 과 서셉터전극 (8) 사이의 플라즈마 발생공간으로 효율적으로 도입할 수 있게 된다. 동시에, 동일 주파수를 공급한 경우에 종래의 플라즈마 여기 시스템과 비교하여 플라즈마 공간에서 소비되는 실효적인 전력의 향상을 모든 플라즈마 챔버 (95,96,97) 에서 도모할 수 있다.
그 결과, 플라즈마 처리시스템 전체적인 플라즈마 여기 주파수의 고주파화에 의한 처리속도의 향상을 도모할 수 있고, 즉 모든 플라즈마 챔버 (95,96,97) 에서 플라즈마 CVD 등에 의해 막을 적층할 때에는 퇴적속도의 향상을 도모할 수 있다. 동시에, 모든 플라즈마 챔버 (95,96,97) 에서 플라즈마 발생의 안정성을 기대할 수 있는 결과, 개개의 플라즈마 처리장치 (71,91,71') 로서의 동작 안정성이 높고, 동시에 전체적으로 동작 안정성이 높은 플라즈마 처리시스템을 제공할 수 있게 된다. 또한, 이들을 복수개의 플라즈마 챔버 (95,96,97) 에서 동시에 실현할 수 있다.
따라서, 복수개의 플라즈마 챔버 (95,96,97) 에 있어서, 플라즈마 공간에서 소비되는 실효적인 전력의 향상, 플라즈마 밀도의 상승에 의해 각각 피처리기판 (16) 에서의 막 면내방향에서의 플라즈마 처리의 균일성의 향상을 도모할 수 있고, 막형성 처리에 있어서는 막두께의 막 면내방향 분포의 균일성의 향상을 도모할 수 있게 된다. 동시에, 플라즈마 공간으로 투입되는 실효적인 전력, 플라즈마 밀도의 상승에 의해 플라즈마 CVD, 스퍼터링 등의 막형성 처리에 있어서는, 막형성 상태의 향상, 즉 퇴적된 막에 있어서의 절연내압이나 에칭액에 대한 내에칭성, 그리고 소위 막의「단단함」, 즉 막의 치밀함 등의 막특성의 향상을 도모할 수 있게 된다.
또한, 동일 주파수를 공급한 경우에 종래의 플라즈마 처리시스템과 비교하여 플라즈마 공간에서 소비되는 실효적인 전력의 향상을 도모할 수 있기 때문에, 플라즈마 처리시스템 전체적으로 전력의 소비효율을 향상시키고, 동등한 처리속도 또는 막특성을 얻기 위하여 종래보다 적은 투입전력으로 충분하다. 또한, 이들을 복수개의 플라즈마 챔버 (95,96,97) 에서 실현할 수 있다. 따라서, 플라즈마 처리시스템 전체의 전력손실의 저감, 러닝 코스트의 삭감, 생산성의 향상을 한층 더 도모할 수 있게 된다. 동시에, 처리시간을 보다 단축할 수 있게 되기 때문에, 플라즈마 처리에 필요한 전력소비를 줄일 수 있는 점에서 환경오염의 원인이 되는 이산화탄소의 총량을 보다 삭감할 수 있게 된다.
본 실시형태의 플라즈마 처리시스템에 있어서는, 각 플라즈마 챔버 (95,96,97) 의 상기 정합회로 (2A) 의 출력단자 위치 (PR) 에 임피던스 측정용 단자 (61) 를 설치하고, 이 임피던스 측정용 단자 (61) 에 단일한 임피던스 측정기 (AN) 를 스위치 (SW3) 에 의해 전환이 자유롭게 접속함과 동시에, 스위치 (SW1,SW2) 를 설치함으로써 플라즈마 처리시스템의 개개의 플라즈마 챔버 (95,96,97) 의 임피던스 특성 측정시에 제 2 실시형태와 같이 플라즈마 챔버 (95) 와 정합회로 (2A) 를 잘라내기 위하여 전력공급선과 정합회로 (2A) 를 착탈할 필요가 없다. 또한, 단일 임피던스 측정기 (AN) 에 의해 복수개의 플라즈마 챔버 (95,96,97) 에 있어서의 고주파수특성을 측정할 수 있다.
따라서, 상기 플라즈마 챔버 (95,96,97) 의 고주파특성을 측정할 때의 프로빙을 쉽게 실시할 수 있게 되며, 손실용량 (Cx) 의 측정시에서의 작업효율을 향상시킬 수 있다. 또한, 플라즈마 챔버 (95,96,97) 와 정합회로 (2A) 를 착탈하지 않고, 또한 임피던스 측정용 프로브 (105) 를 착탈하지 않고, 스위치 (SW1,SW2) 전환만으로 고주파특성을 쉽게 측정할 수 있게 된다.
그리고, 스위치 (SW1,SW2) 를 설치하여 이들 임피던스 (Z1) 와 임피던스 (Z2) 를 동등하게 설정하고, 동시에 측정용 단자 (61) 에서 스위치 (SW3) 까지의 임피던스를 복수개의 플라즈마 처리장치 (71,91,71') 에서의 각 플라즈마 챔버 (95,96,97) 에 대해 동등해지도록 설정함으로써, 스위치 (SW1,SW2,SW3) 를 전환하는 것만으로 임피던스 측정용 단자 (61) 에 접속된 임피던스 측정기 (AN) 로부터의 임피던스 측정치를 정합회로 (2A) 출력측 최종단의 출력위치 (PR) 에서 측정한 값과 동등한 것으로 볼 수 있다.
그리고, 본 실시형태에 있어서 스위치 (SW1,SW2,SW3) 를 측정하고자 하는 각 플라즈마 챔버 (95,96,97) 에 대한 전환동작을 연동시킬 수 있고, 또한 2 개의 스위치 (SW1) 및 스위치 (SW2) 의 구성을 분기점에서 출력단자 위치 (PR) 까지와 분기점에서 프로브까지의 임피던스가 동등하게 설정되는 1 개의 스위치로 할 수도 있다.
그리고, 본 발명에 있어서의 각 실시형태에 있어서는, 각 플라즈마 챔버 (95,96,97) 의 플라즈마 여기전극 (4) 에 대한 플라즈마 전극용량 (Ce), 손실용량 (Cx) 을 설정하였으나, 고주파전원 (27) 에 접속되는 서셉터전극 (8) 측에 대해 이것을 설정하도록 대응할 수도 있다. 이 경우, 도 13 에 PR' 로 나타낸 바와 같이, 임피던스 측정범위를 규정하는 정합회로 (25) 의 출력단자 위치를 측정위치로서 설정할 수 있다.
그리고, 평행평판형 전극 (4,8) 을 갖는 타입 대신에 ICP (inductive coupled plasma) 유도결합 플라즈마 여기형, RLSA (radial line slot antenna) 레이디얼 라인 슬롯 안테나형 등의 플라즈마 처리장치나, RIE (Reactive Ion Etching) 반응성 스퍼터 에칭용 처리장치에 적용할 수도 있다.
그리고, 상기 각 실시형태에 있어서는, 도 21 에 나타낸 바와 같이 플라즈마 챔버 (플라즈마 처리실 유닛) (95,96,97) 에 대응하여 정합회로 (2A) 와 고주파전원 (1) 이 각각 설치되어, 플라즈마 챔버 (95,96,97) 에 있어서의 정합회로 (2A) 의 접속위치에 스위치 (SW4) 를 통해 임피던스 측정기 (AN) 를 접속하였으나, 도 22 에 나타낸 바와 같이 개개의 플라즈마 챔버 (95,96,97) 에 대한 정합회로 (2A,2A,2A) 가 스위치 전환에 의해 동일한 고주파전원 (1) 에 접속되는 구성이나, 도 23 에 나타낸 바와 같이 개개의 플라즈마 챔버 (95,96,97) 가 스위치 전환에 의해 동일한 정합회로 (2A) 에 접속되는 구성도 가능하다. 이 경우, 도 21 에 나타낸 바와 같이 플라즈마 챔버 (95,96,97) 와 정합회로 (2A) 의 접속위치에 스위치 (SW4) 를 통해 임피던스 측정기 (AN) 가 접속된다.
또한, 상기 각 실시형태에 있어서는, 손실용량 (Cx) 으로서 플라즈마 챔버 (75,95) 에서 분리된 덮개체 (19) 에 있어서의 용량을 계측하였으나, 상기 측정위치 (PR) 에서 플라즈마 챔버 (75,95) 전체의 총용량 (CT) 을 측정하고, 이 총용량 (CT) 과 전극 (4,8) 의 면적과 이들 전극 (4,8) 사이의 거리에 의해 규정되는 플라즈마 전극용량 (Ce) 으로부터 손실용량 (Cx) 을 산출할 수 있다.
이 경우, 상술한 플라즈마 여기전극 (4) 과 절연체 (17a) 를 사이에 둔 가스도입관 (17) 사이의 용량 (CA), 플라즈마 여기전극 (4) 과 섀시 (21) 사이의 용량 (CB), 플라즈마 여기전극 (4) 과 챔버벽 상부 (10a) 사이의 용량 (CC) 이외에 있어서의 분류가 발생할 수 있는 용량도 고려할 수 있게 되기 때문에, 보다 정확한 손실용량 (Cx) 을 설정할 수 있다.
이하, 본 발명에 관한 플라즈마 처리장치 또는 플라즈마 처리시스템의 성능확인시스템의 다른 실시형태를 도면에 기초하여 설명한다. 그리고, 이하의 설명에서는 구입발주자를 간단히 발주자, 또한 판매보수자를 간단히 보수자라 한다.
도 24 는 본 실시형태의 플라즈마 처리장치 또는 플라즈마 처리시스템의 성능확인시스템의 시스템 구성도이다.
이 도면에 있어서, 참조부호 C1,C2,…는 클라이언트·컴퓨터 (이하, 간단히 클라이언트라 함), S 는 서버·컴퓨터 (성능상황정보제공수단, 이하 간단히 서버라 함), D 는 데이터베이스·컴퓨터 (기준정보기억수단, 이하 간단히 데이터베이스라 함), 또한 N 은 공중회선이다. 클라이언트 (C1,C2,…) 와 서버 (S) 와 데이터베이스 (D) 는 이 도면에 나타낸 바와 같이 공중회선 (N) 을 통해 서로 접속되어 있다.
클라이언트 (C1,C2,…) 는 일반적으로 널리 보급되어 있는 인터넷의 통신프로토콜 (TCP/IP 등) 을 사용하여 서버 (S) 와 통신하는 기능 (통신기능) 을 구비한 것이다. 이 중 클라이언트 (C1) (발주자측 정보단말) 는 발주자가 보수자에게 발주한 플라즈마 처리장치 또는 플라즈마 처리시스템의 플라즈마 챔버의 성능상황을 공중회선 (N) 을 통해 확인하기 위한 컴퓨터로서, 서버 (S) 가 저장하는「플라즈마 챔버의 성능정보제공페이지」를 정보제공페이지 (웹 페이지) 로서 열람하는 기능 (플라즈마 챔버의 성능상황정보열람기능) 을 구비한 것이다. 또한, 클라이언트 (C2) (보수자측 정보단말) 는 보수자가 상기「성능상황정보」의 일부인「플라즈마 전극용량 (Ce), 손실용량 (Cx) 정보」를 서버 (S) 에 업로딩함과 동시에 클라이언트 (C1) 를 통해 발주자가 보낸 전자메일을 수신하기 위한 것이다.
여기서, 플라즈마 처리장치 또는 플라즈마 처리시스템은 상기 제 1 ∼ 제 4 실시형태에 준하는 구성으로 되고, 이들과 동일한 플라즈마 처리 유닛 (플라즈마 챔버) 을 갖는 구성으로 됨과 동시에 챔버 수 등의 구성조건은 임의로 설정할 수 있는 것으로 된다.
상기 서버 (S) 의 통신기능은, 공중회선 (N) 이 아날로그 회선인 경우에는 모뎀에 의해 실현되고, 공중회선 (N) 이 ISDN (Integrated Services Digital Network) 등의 디지털회선인 경우에는 전용 터미널 어댑터 등에 의해 실현된다.
서버 (S) 는 성능상황정보제공용 컴퓨터로서, 상기 클라이언트 (C1) 로부터 수신되는 열람요구에 따라 성능상황정보를 인터넷의 통신 프로토콜을 사용하여 클라이언트 (C1) 에게 송신한다. 여기서, 상술한 발주자가 보수자로부터 플라즈마 처리장치를 납입받은 시점에서는, 성능상황정보를 열람하기 위한 개별「열람전용 패스워드」가 보수자로부터 개개의 발주자에게 제공되도록 이루어져 있다. 이 서버 (S) 는 정규의 열람전용 패스워드가 제공된 경우에만 성능상황정보 중 동작보수상황정보를 클라이언트 (C1) 에게 송신하도록 구성되어 있다.
여기서, 구체적인 상세에 대해서는 후술하는데, 상기「성능상황정보」는 보수자가 판매하는 플라즈마 처리장치 또는 플라즈마 처리시스템에 있어서의 플라즈마 챔버의 기종에 관한 정보, 각 기종에 있어서의 사양서로서의 품질성능정보, 납입된 각 실기에 있어서의 품질성능을 나타낸 파라미터의 정보 및 이 파라미터, 메인터넌스의 이력정보 등으로 구성되어 있다.
이 중 각 실기에 있어서의 품질성능, 파라미터, 메인터넌스의 이력정보에 대해서는,「열람전용 패스워드」가 제공된 발주자만 열람할 수 있게 되어 있다.
또한, 이들「성능상황정보」는 보수자 또는 발주자로부터 서버 (S) 에 제공됨과 동시에 실제의 동작·보수상황을 나타내는「동작보수상황정보」와, 데이터베이스 (D) 에 축적됨과 동시에 카탈로그로서 구입하지 않은 클라이언트가 열람할 수 있는「성능기준정보」로 구성되는 것이다. 「성능기준정보」는 보수자가 플라즈마 챔버에 의해 실시하는 플라즈마 처리에 대해 객관적으로 성능을 기술하기 위한 것으로서, 플라즈마 CVD, 스퍼터링 등의 막형성처리에 있어서는 막형성상태를 예측할 수 있게 하는 것이다.
본 실시형태에서, 이들「성능기준정보」는 데이터베이스 (D) 에 축적되도록 이루어져 있다.
서버 (S) 는, 클라이언트 (C1) 로부터 수신되는「성능상황정보」의 열람요구에 대해 데이터베이스 (D) 를 검색함으로써 필요한「성능기준정보」를 취득하여,「성능상황정보제공페이지」로서 발주자인 클라이언트 (C1) 에게 송신하도록 구성되어 있다. 또한, 서버 (S) 는「열람전용 패스워드」가 제공된 발주자로부터 수신되는「성능상황정보」의 열람요구에 대해서는, 마찬가지로 데이터베이스 (D) 를 검색함으로써 필요한「성능기준정보」를 취득함과 동시에 당해「성능기준정보」에 클라이언트 (C2) 를 통해 보수자로부터 제공된「동작보수상황정보」를 조합하여「성능상황정보」를 구성하고,「성능상황정보제공페이지」로서 발주자인 클라이언트 (C1) 에게 송신하도록 구성되어 있다.
데이터베이스 (D) 는, 이와 같은「성능상황정보」를 구성하는「성능기준정보」를 플라즈마 처리장치 또는 플라즈마 처리시스템의 플라즈마 챔버의 기종별로 기억축적하는 것으로서, 서버 (S) 로부터 수신되는 검색요구에 맞게 이들「성능기준정보」를 판독하여 서버 (S) 로 전송한다. 도 24 에서는 1 개의 서버 (S) 만을 나타내고 있으나, 본 실시형태에서는 범용성이 있는「성능기준정보」를 보수자가 복수 개소에서 관리하는 복수개의 서버 사이에서 공통이용할 수 있도록 이들 서버와는 개별의 데이터베이스 (D) 에「성능기준정보」를 축적하도록 하고 있다.
이어서, 이와 같이 구성된 플라즈마 처리장치 또는 플라즈마 처리시스템의 플라즈마 챔버의 성능확인시스템의 동작에 대해 도 25 에 나타낸 플로차트를 따라 상세하게 설명한다. 그리고, 이 플로차트는 상기 서버 (S) 에 있어서의「성능상황정보」의 제공처리를 나타낸 것이다.
통상, 보수자는 불특정의 발주자에 대해 판매하는 플라즈마 처리장치 또는 플라즈마 처리시스템에 있어서의 각 플라즈마 챔버의「성능상황정보」, 특히「성능기준정보」를 구입시의 지표로서 제시하게 된다. 한편, 발주자는 이「성능기준정보」에 의해 플라즈마 챔버 (CN) 에 어떤 성능, 즉 어떤 플라즈마 처리가 가능한지를 파악할 수 있다.
또한, 보수자는 특정 발주자에 대해 납입한 플라즈마 처리장치 또는 플라즈마 처리시스템에 있어서의 각 플라즈마 챔버의「성능상황정보」중「성능기준정보」를 사용시의 지표로서 제시함과 동시에「동작보수상황정보」를 동작상태의 파라미터로서 제시하게 된다. 한편, 사용자로서의 발주자는「성능기준정보」와「동작보수상황정보」를 비교함으로써 플라즈마 처리장치 또는 플라즈마 처리시스템에 있어서의 각 플라즈마 챔버의 동작확인을 실시함과 동시에 메인터넌스의 필요성을 인식하고 또한 플라즈마 처리장치의 상태를 파악할 수 있다.
예컨대, 플라즈마 처리장치 또는 플라즈마 처리시스템을 보수자로부터 구입하고자 하는 발주자는, 서버 (S) 에 액세스함으로써 다음과 같이 하여 직접 구입하고자 하는 플라즈마 처리장치 또는 플라즈마 처리시스템의「성능상황정보」의 실체를 쉽게 확인할 수 있다.
우선, 발주자가 액세스하고자 한 경우에는, 미리 설정된 서버 (S) 의 IP 어드레스에 기초하여 클라이언트 (C1) 로부터 서버 (S) 에게 표시요구가 송신된다.
한편, 서버 (S) 가 상기 표시요구를 수신하면 (단계 S1), 카탈로그 페이지 (CP) 를 클라이언트 (C1) 에게 송신한다 (단계 S2).
도 26 은 이와 같이 하여 서버 (S) 로부터 클라이언트 (C1) 에게 송신된 메인페이지 (CP) 의 일례이다. 이 카탈로그 페이지 (CP) 에는 보수자가 판매하는 다수의 기종마다 그「성능상황정보」중「성능기준정보」를 표시하기 위한 기종선택버튼 (K1,K2,K3,K4,…) 과, 후술하는 바와 같이 플라즈마 처리장치 또는 플라즈마 처리시스템을 보수자로부터 납입받은 발주자가 사용하는 소비자 사용자화면의 표시요구를 하기 위한 소비자 사용자버튼 (K4) 으로 구성되어 있다.
예컨대, 발주자가 클라이언트 (C1) 에게 구비된 포인팅 디바이스 (예컨대, 마우스) 등을 사용하여 상기 플라즈마 처리장치 또는 플라즈마 처리시스템의 기종을 선택지정한 후, 기종선택버튼 (K1∼K4,…) 중 하나를 선택지정하면, 그 지시는「성능상황정보」중「성능기준정보」의 표시요구로서 서버 (S) 에게 송신된다.
이 표시요구를 수신하면 (단계 S3), 서버 (S) 는 선택된 기종 중 표시요구된 정보에 해당하는 서브페이지를 클라이언트 (C1) 에게 송신한다. 즉, 서버 (S) 는「성능기준정보」의 표시가 요구된 경우 (A), 도 27 에 나타낸 바와 같은 선택된 기종을 지정함으로써 데이터베이스 (D) 에서「진공성능」「급배기성능」「온도성능」「플라즈마 처리실 전기성능」등의 데이터 및 이들 데이터에 있어서의 플라즈마 처리장치 또는 플라즈마 처리시스템마다의 각 파라미터의 편차 값의 데이터를 취득하고, 이들이 게재된 사양서 페이지 (CP1) 를 클라이언트 (C1) 에게 송신한다 (단계 S4).
사양서 페이지 (CP1) 에는 도 27 에 나타낸 바와 같이 선택된 기종을 나타낸 기종종별 (K6), 진공성능표시란 (K7), 급배기성능표시란 (K8), 온도성능표시란 (K9), 플라즈마 처리실 전기성능표시란 (K10) 으로 구성되어 있다. 이들은 선택된 기종의 플라즈마 챔버에 있어서의「성능기준정보」에 대응하는 것으로서, 각각
진공성능표시란 (K7) 에는
도달진공도 1 ×10-4 Pa 이하
조작압력 30 ∼ 300 Pa
급배기성능표시란 (K8) 에는
최대가스유량 SiH4 100 SCCM
NH3 500 SCCM
N2 2000 SCCM
배기특성 500 SCCM 으로 흘려보내 20 Pa 이하
온도성능표시란 (K9) 에는
히터설정온도 200 ∼ 350 ±10 ℃
챔버설정온도 60 ∼ 80 ±2.0 ℃
의 항목이 기재되어 있다.
여기서, SCCM (standard cubic centimeters per minute) 은 표준상태 (0 ℃, 1013 hPa) 로 환산하였을 때의 가스유량을 나타내며, ㎤/min 과 동일한 단위를 나타낸다.
그리고, 이들 파라미터 (P) 에 대해 각각의 플라즈마 처리장치 또는 플라즈마 처리시스템에 있어서의 각 플라즈마 챔버마다의 편차를, 각각의 파라미터 (P) 중 그 최대값 (Pmax) 과 최소값 (Pmin) 의 편차를
(Pmax-Pmin)/(Pmax+Pmin)
로서 정의하고, 이들 편차의 값의 각 플라즈마 처리장치 또는 플라즈마 처리시스템에 있어서의 설정범위에 각각의 파라미터의 항목에 대해 표시한다.
또한, 플라즈마 처리실 전기성능표시란 (K10) 에는, 상술한 제 1 ∼ 제 4 실시형태에서 설명한 플라즈마 전극용량 (Ce), 손실용량 (Cx) 의 값 및 이 편차의 설정범위가 기재된다. 또한, 그 이외에도 로드콘덴서 (22) 의 용량 (CL), 튜닝콘덴서 (24) 의 용량 (Ctu), 그리고 전력주파수 (fe) 에 있어서의 플라즈마 챔버의 레지스턴스 (R) 및 리액턴스 (X), 그리고 후술하는 제 1 직렬공진주파수 (fO) 등의 값이 기재된다. 또한, 사양서 페이지 (CP1) 에는「플라즈마 처리장치 또는 플라즈마 처리시스템의 납입시에는 각 파라미터값이 이 페이지에 기재된 설정범위내에 있음을 보증합니다」라는 성능보증문언이 기재된다.
그럼으로써, 종래에는 고려되지 않았던 플라즈마 처리장치 또는 플라즈마 처리시스템의 전체적인 전기적 고주파적인 특성 및 플라즈마 챔버의 전기적 특성의 편차를 구입시의 새로운 지표로서 제시할 수 있다. 또한, 클라이언트 (C1) 또는 클라이언트 (C2) 가 이들 성능상황정보를 프린터 등으로 출력하여 하드카피를 작성함으로써, 상기 성능상황정보내용이 기재된 카탈로그 또는 사양서로서 출력할 수 있다. 그리고, 플라즈마 전극용량 (Ce), 손실용량 (Cx), 제 1 직렬공진주파수 (fO), 레지스턴스 (R), 리액턴스 (X) 등의 값 및 상기 성능보증문언을 클라이언트 (C1,…) 의 단말, 카탈로그 또는 사양서 등에 제시함으로써, 발주자가 전기부품을 검사하듯이 플라즈마 챔버 (CN) 의 성능을 판단하여 보수자로부터 구입할 수 있게 된다.
그리고, 서버 (S) 는 이와 같은 서브페이지의 클라이언트 (C1) 로의 송신이 완료된 후에, 클라이언트 (C1) 로부터 접속해제요구가 수신되지 않은 경우에는 (단계 S5) 다음 서브페이지의 표시요구를 기다리며 대기하고 (단계 S3), 한편 클라이언트 (C1) 로부터 접속해제요구가 수신된 경우에는 (단계 S5) 당해 클라이언트 (C1) 와의 교신을 종료한다.
여기서, 제 1 직렬공진주파수 (fO) 의 정의에 대해 설명한다.
우선, 플라즈마 챔버의 임피던스의 주파수의존성을 계측한다. 이 때, 상술한 바와 같이 플라즈마 챔버의 임피던스 측정범위를 규정하고, 이 임피던스 측정범위에 대해 공급하는 전력주파수 (fe) 를 포함하는 범위에서 측정주파수를 변화시켜 임피던스의 벡터량 (Z, θ) 을 측정함으로써, 플라즈마 챔버의 임피던스의 주파수의존성을 계측한다. 여기서, 예컨대 13.56 ㎒, 27.12 ㎒, 40.68 ㎒ 등의 값으로 설정되는 전력주파수 (fe) 에 대응하여 측정주파수를 예컨대 1 ㎒ ∼ 100 ㎒ 정도의 범위로 설정한다.
이어서, 측정 주파수에 대해 임피던스 (Z) 와 위상 (θ) 을 플롯하여 임피던스 특성곡선 및 위상곡선을 도시하고, 임피던스 (Z) 의 최소값 중 주파수가 최소인 것, 즉 측정 주파수가 낮은 측에서 세어 최초로 위상 (θ) 이 마이너스에서 플러스로 변화되었을 때에 위상 (θ) 이 0 으로 되는 주파수를 제 1 직렬공진주파수 (fO) 로서 정의한다.
한편, 플라즈마 처리장치 또는 플라즈마 처리시스템을 보수자로부터 납입받은 발주자는, 서버 (S) 에 액세스하여 다음과 같이 직접 구입한 플라즈마 처리장치 또는 플라즈마 처리시스템에 있어서의 프라스마 챔버의「성능상황정보」의 실체를 쉽게 확인할 수 있다.
이 발주자는 보수자와 판매계약을 체결한 시점에서 발주자 개별에 대응함과 동시에 구입한 플라즈마 처리장치 또는 플라즈마 처리시스템의 기종번호 및 플라즈마 챔버의 기종번호에도 대응할 수 있는 소비자 사용자 ID 와, 플라즈마 처리장치 또는 플라즈마 처리시스템 및 그 각 플라즈마 챔버의「동작보수상황정보」를 열람하기 위한 개별의「사용자전용 패스워드 (열람전용 패스워드)」가 보수자로부터 개개의 발주자에게 제공되도록 이루어져 있다. 이 서버 (S) 는 정규 열람전용 패스워드가 제공된 경우에만「동작보수상황정보」를 클라이언트 (C1) 에게 송신하도록 구성되어 있다.
우선, 발주자가 액세스하고자 한 경우에는, 상술한 카탈로그 페이지 (CP) 에 있어서 소비자 사용자버튼 (K5) 을 지정조작함으로써, 발주자는 소비자 사용자화면의 표시요구를 서버 (S) 로 송신한다.
한편, 서버 (S) 는 상기 표시요구를 수신하면 (단계 S3-B), 당해 발주자에 대해「열람전용 패스워드」의 입력을 재촉하는 입력요구로서의 서브페이지를 클라이언트 (C1) 에게 송신한다 (단계 S6). 도 28 은 소비자 사용자페이지 (CP2) 를 나타내는 것으로서, 이 소비자 사용자페이지 (CP2) 는 소비자 사용자 ID 입력란 (K11) 및 패스워드 입력란 (K12) 으로 구성된다.
이 입력요구로서의 소비자 사용자페이지 (CP2) 는 클라이언트 (C1) 에게 표시되기 때문에, 발주자는 당해 입력요구에 응답하여 플라즈마 처리장치 또는 플라즈마 처리시스템 및 그 각 플라즈마 챔버의 식별을 가능하게 하기 위하여 보수자로부터 공여된「열람전용 패스워드」를「소비자 사용자 ID」와 함께 클라이언트 (CI) 에게 입력하게 된다.
여기서, 발주자는 도 28 에 나타낸 소비자 사용자 ID 입력란 (K11) 및 패스워드 입력란 (K12) 에 각각 소비자 코드 ID 와 패스워드를 입력한다. 서버 (S) 는 클라이언트 (C1) 로부터 정규「소비자 사용자 ID」및「열람전용 패스워드」가 수신된 경우에만 (단계 S7), 당해「열람전용 패스워드」에 미리 관련지어진「동작보수상황정보」의 서브페이지를 클라이언트 (C1) 에게 송신한다 (단계 S9).
즉,「동작보수상황정보」의 열람은 상기 플라즈마 처리장치 또는 플라즈마 처리시스템의 구입계약을 체결한 특정 발주자만, 즉 정규「열람전용 패스워드」를 알 수 있는 사람에게만 허가되도록 이루어져 있기 때문에, 당해 발주자 이외의 제 3 자가 서버 (S) 에 액세스하여도「동작보수상황정보」를 열람할 수 없다. 통상, 보수자는 동시에 다수의 발주자와의 사이에서 납입계약을 체결함과 동시에 각각의 발주자에게 복수개의 플라즈마 처리장치 또는 플라즈마 처리시스템의 납입을 동시에 병행하여 실시하는 경우가 있는데, 상기「열람전용 패스워드」는 개개의 발주자마다 및 각 플라즈마 처리장치 또는 플라즈마 처리시스템 및 그 각 플라즈마 챔버마다 상이한 것이 제공되기 때문에, 개개의 발주자는 각 플라즈마 처리장치 또는 플라즈마 처리시스템 및 그 각 플라즈마 챔버에 대해 각각 자신에게 제공된「열람전용 패스워드」와 관련된「동작보수상황정보」를 개별적으로 열람할 수 있다.
따라서, 납입에 관련된 비밀정보가 발주자 상호간에서 누설되는 것을 확실히 방지할 수 있음과 동시에, 복수개의 플라즈마 처리장치 또는 플라즈마 처리시스템이 납입된 경우라도 각각의 플라즈마 처리장치 또는 플라즈마 처리시스템 및 그 각 플라즈마 챔버를 개별적으로 식별할 수 있다. 그리고, 서버 (S) 는 정규「열람전용 패스워드」가 수신되지 않은 경우에는 (단계 S7) 접속불허가 메시지를 클라이언트 (C1) 에게 송신하여 (단계 S8) 발주자에게「열람전용 패스워드」를 다시 입력하도록 재촉한다. 발주자가「열람전용 패스워드」를 오입력한 경우에는, 이 기회에 정규 입력을 실시함으로써「동작보수상황정보」를 열람할 수 있다.
이 ID, 패스워드가 확인되면 (단계 S7), 서버 (S) 는 표시요구된 정보에 해당하는 서브페이지를 데이터베이스 (D) 에서 판독하여 클라이언트 (C1) 에게 송신한다. 즉, 서버 (S) 는 사용자 ID 에 의해 식별된 개별 플라즈마 처리장치 또는 플라즈마 처리시스템 및 그 각 플라즈마 챔버에 대한「성능기준정보」「동작보수상황정보」의 표시가 요구된 경우, 기종을 지정함으로써 데이터베이스 (D) 로부터「진공성능」「급배기성능」「온도성능」「플라즈마 처리실 전기성능」등의 데이터를 취득하고, 이들이 게재된 사양서 페이지 (CP3) 를 클라이언트 (C1) 에게 송신한다 (단계 S9).
도 29 는 이와 같이 하여 서버 (S) 로부터 클라이언트 (C1) 에게 송신된「동작보수상황정보」의 서브페이지 (CP3) 이다. 이 메인터넌스 이력페이지 (CP3) 에는 도 29 에 나타낸 바와 같이 납입된 플라즈마 처리장치 또는 플라즈마 처리시스템 및 그 각 플라즈마 챔버의 기계번호를 나타내는 로트번호표시 (K13), 진공성능표시란 (K7), 급배기성능표시란 (K8), 온도성능표시란 (K9), 플라즈마 처리실 전기성능표시란 (K10), 그리고 진공성능 메인터넌스란 (K14), 급배기성능 메인터넌스란 (K15), 온도성능 메인터넌스란 (K16), 플라즈마 처리실 전기성능 메인터넌스란 (K17) 으로 구성되어 있다. 이들은 납입된 실기의「동작성능기준정보」및「동작보수상황정보」에 대응하는 것으로서, 각각
진공성능 표시란 (K7), 진공성능 메인터넌스란 (K14) 에는
도달진공도 1.3 ×10-5 Pa 이하
조작압력 200 Pa
급배기성능 표시란 (K8), 급배기성능 메인터넌스란 (K15) 에는
가스유량 SiH4 40 SCCM
NH3 160 SCCM
N2 600 SCCM
배기성능 6.8 ×10-7 Pa·㎥/sec
온도성능 표시란 (K9), 온도성능 메인터넌스란 (K16) 에는
히터설정온도 302.3 ±4.9 ℃
챔버설정온도 80.1 ±2.1 ℃
의 항목이 기재되어 있다.
그리고, 이들 파라미터 (P) 에 대해 각각의 플라즈마 처리장치 또는 플라즈마 처리시스템에 있어서의 각 플라즈마 챔버마다의 편차를, 각각의 파라미터 (P) 중 그 최대값 (Pmax) 과 최소값 (Pmin) 의 편차를
(Pmax-Pmin)/(Pmax+Pmin)
로서 정의하고, 이들 편차의 값의 각 플라즈마 처리장치 또는 플라즈마 처리시스템에 있어서의 설정범위를 각각의 파라미터의 항목에 대해 표시한다.
그리고, 이 서브페이지 (CP3) 에는 각 플라즈마 챔버마다의 메인터넌스란을 표시하기 위한「상세」버튼 (K18) 이 각 메인터넌스 이력란 (K14,K15,K16,K17) 마다 설치되어 발주자가 당해 정보를 열람할 수 있게 되어 있다.
발주자가 당해 상세란에 의해 표시요구를 한 경우에는, 메인터넌스 이력의 상세정보가 기재된 메인터넌스 상세페이지 (CP4) 가 데이터베이스 (D) 에서 클라이언트 (C1) 에게 송신된다.
도 30 은 이와 같이 하여 서버 (S) 로부터 클라이언트 (C1) 에게 송신된「상세 메인터넌스 정보」의 서브페이지 (CP4) 이다.
도면에는 전기성능 메인터넌스의 페이지를 나타낸다.
이 메인터넌스 이력페이지 (CP3) 에는, 도 30 에 나타낸 바와 같이 납입된 플라즈마 처리장치 또는 플라즈마 처리시스템 및 그 각 플라즈마 챔버의 기계번호를 나타내는 로트번호표시 (K13), 선택된 각 메인터넌스란이 표시된다. 여기서, 각 메인터넌스란으로서는 각 플라즈마 챔버에 대응하는 파라미터 (P) 의 메인터넌스시의 값과 이들 파라미터 (P) 의 편차 값이 플라즈마 처리장치 또는 플라즈마 처리시스템 및 각 플라즈마 챔버마다의 로트번호별로 표시된다.
또한, 플라즈마 처리실 전기성능표시란 (K10) 및 플라즈마 처리실 전기성능 메인터넌스란 (K17) 에는, 상술한 제 1 ∼ 제 5 실시형태에서 설명한 바와 같이 플라즈마 전극용량 (CO) 의 값 및 손실용량 (Cx) 의 값과 이들의 설정범위가 기재된다. 또한, 그 이외에도 전력주파수 (fe) 에 있어서의 플라즈마 챔버의 레지스턴스 (R) 및 리액턴스 (X), 제 1 직렬공진주파수 (fO), 그리고 플라즈마 전극용량 (Ce), 손실용량 (Cx) 의 값 및 이 용량간의 관계, 그리고 편차 값의 범위 등의 값이 기재된다.
동시에, 데이터베이스 (D) 로부터「성능기준정보」로서의「진공성능」「급배기성능」「온도성능」「플라즈마 처리실 전기성능」등의 데이터를 취득하고, 이들을 도 29, 도 30 에 나타낸 바와 같이「동작보수상황정보」와 세트로 메인터넌스 이력페이지 (CP3), 메인터넌스 상세페이지 (CP4) 에 표시함으로써,「성능기준정보」를 참조하여「동작보수상황정보」를 열람할 수 있으며, 그에 따라 발주자는 납입된 플라즈마 처리장치 또는 플라즈마 처리시스템 및 플라즈마 챔버의「성능상황정보」중「성능기준정보」를 사용시의 지표로서 확인함과 동시에「동작보수상황정보」를 동작상태를 나타내는 파라미터로서 검토할 수 있다. 동시에「성능기준정보」와「동작보수상황정보」를 비교함으로써 플라즈마 처리장치 또는 플라즈마 처리시스템 및 플라즈마 챔버의 동작확인을 실시함과 동시에 메인터넌스의 필요성을 인식하고 또한 플라즈마 처리장치의 상태를 파악할 수 있다.
그리고, 서버 (S) 는 이와 같은 서브페이지 (CP3,CP4) 의 클라이언트 (C1) 로의 송신이 완료된 후에, 클라이언트 (C1) 로부터 접속해제요구가 수신되지 않은 경우에는 (단계 S5), 접속불허가 메시지를 클라이언트 (C1) 에게 송신하여 (단계 S8) 발주자에게「열람전용 패스워드」를 재입력하거나 다음 서브페이지의 표시요구를 기다리며 대기하고 (단계 S3), 한편 클라이언트 (C1) 로부터 접속해제요구가 수신된 경우에는 (단계 S5) 당해 클라이언트 (C1) 와의 교신을 종료한다.
본 실시형태의 플라즈마 처리장치 또는 플라즈마 처리시스템의 성능확인시스템에 있어서, 구입발주자가 판매보수자에게 발주한 플라즈마 처리장치 또는 플라즈마 처리시스템의 동작성능상황을 나타내는 성능상황정보의 열람을 공중회선을 통해 요구하는 구입발주자측 정보단말과, 판매보수자가 상기 성능상황정보를 업로딩하는 판매보수자측 정보단말과, 상기 구입발주자측 정보단말의 요구에 응답하여 판매보수자측 정보단말로부터 업로딩된 성능상황정보를 구입발주자측 정보단말로 제공하는 성능상황정보제공수단을 구비할 수 있고, 또한 상기 성능상황정보가 상기 플라즈마 전극용량 (Ce), 손실용량 (Cx) 의 값 및 그 파라미터에 대해 각각의 플라즈마 처리장치 또는 플라즈마 처리시스템에 있어서의 각 플라즈마 챔버마다의 편차 값을 포함함과 동시에, 상기 성능상황정보가 카탈로그 또는 사양서로서 출력됨으로써, 판매보수자가 업로딩한 플라즈마 처리장치 또는 플라즈마 처리시스템 및 그 플라즈마 챔버의 성능기준정보 및 동작보수상황정보로 이루어진 성능상황정보에 대해 구입발주자가 정보단말에서 공중회선을 통해 열람하게 함으로써, 발주자에 대해 구입시에 판단기준이 되는 정보를 전달할 수 있게 되며, 또한 사용시에 있어서의 플라즈마 처리장치 또는 플라즈마 처리시스템 및 그 플라즈마 챔버마다의 동작성능·보수정보를 쉽게 제공할 수 있게 된다.
또한, 상기 성능상황정보가 상술한 바와 같이 플라즈마 챔버에 대한 성능 파라미터로서의 상기 플라즈마 전극용량 (Ce), 손실용량 (Cx) 의 값 및 그 편차의 값을 포함함으로써, 발주자의 플라즈마 처리장치 또는 플라즈마 처리시스템 및 그 각 플라즈마 챔버에 대한 성능판단근거를 제공할 수 있음과 동시에 구입시에 적절한 판단을 할 수 있게 된다. 그리고, 상기 성능상황정보를 카탈로그 또는 사양서로서 출력할 수 있다.
[실시예 A]
본 발명에서는, 단일 플라즈마 챔버에 있어서 플라즈마 전극용량 (Ce) 과 손실용량 (Cx) 의 값을 변화시킴으로써 막형성시에 있어서의 막특성의 변화를 측정한다.
또한, 복수개의 플라즈마 챔버에 있어서, 플라즈마 전극용량 (Ce) 과 손실용량 (Cx) 의 편차 값을 소정 범위 이내의 값으로 설정함으로써 막형성시에 있어서의 막특성의 변화를 측정한다.
여기서, 실제로 사용한 플라즈마 처리장치는, 13 에 나타낸 제 3 실시형태와 같은 2 주파 여기형의 것으로 된다.
(비교예 1)
플라즈마 전극용량 (Ce) 을 25 pF, 손실용량 (Cx) 을 980 pF 로 설정한 비교예에서는, 평행평판형 전극 (4,8) 의 사이즈가 한변이 25 ㎝ 인 정사각형으로 되고, 이들 전극 간격을 30 ㎜ 로 설정하고, 그 전력을 1000 W, 전력주파수 (fe) 를 40.68 ㎒ 로 설정한다.
이 비교예에 있어서는, 39.2Ce = Cx, 즉 26Ce < Cx 로 되어 있다.
(실시예 1)
상기 플라즈마 처리장치에 있어서, 실시예 1 로서 플라즈마 전극용량 (Ce) 을 37 pF, 손실용량 (Cx) 을 980 pF 로 설정하고, 평행평판형 전극 (4,8) 의 사이즈가 한변이 25 ㎝ 인 정사각형으로 되고, 이들 전극 간격이 20 ㎜ 로 설정되고, 그 전력을 1000 W, 전력주파수 (fe) 를 40.68 ㎒ 로 설정한다.
이 실시예 1 에 있어서는, 26.5Ce = Cx 로 되어 있다.
(실시예 2)
상기한 플라즈마 처리장치에 있어서, 실시예 1 로서 플라즈마 전극용량 (Ce) 을 37 pF, 손실용량 (Cx) 을 250 pF 로 설정하고, 평행평판형 전극 (4,8) 의 사이즈가 한변이 25 ㎝ 인 정사각형으로 되고, 이들 전극 간격을 20 ㎜ 로 설정하고, 그 전력을 1000 W, 전력주파수 (fe) 를 40.68 ㎒ 로 설정한다.
이 실시예 2 에 있어서는, 6.76Ce = Cx, 즉 7Ce > Cx 로 되어 있다.
(실시예 3)
상기한 플라즈마 처리장치에 있어서, 실시예 1 로서 플라즈마 전극용량 (Ce) 을 37 pF, 손실용량 (Cx) 을 180 pF 로 설정하고, 평행평판형 전극 (4,8) 의 사이즈가 한변이 25 ㎝ 인 정사각형이 되고, 이들 전극 간격을 20 ㎜ 로 설정하고, 그 전력을 800 W, 전력주파수 (fe) 를 40.68 ㎒ 로 설정한다.
이 실시예 3 에 있어서는, 4.86Ce = Cx 즉, 5Ce > Cx 로 되어 있다.
그리고, 이들 실시예 및 비교예에 대한 평가로서, SiNx 막을 형성하고, 이 SiNx 막에 대한 평가로서 다음과 같이 실시한다.
(1) 퇴적속도와 막내 균일성
① 유리기판상에 플라즈마 CVD 에 의해 SiNX 막을 형성한다.
② 포토리소에 의해 레지스트의 패터닝을 실시한다.
③ SF6 와 O2 를 사용하여 SiNX 막을 드라이에칭한다.
④ O2 애싱에 의해 레지스트를 박리한다.
⑤ SiNX 막의 막두께 단차를 촉침식 단차계에 의해 계측한다.
⑥ 막형성시간과 막두께로부터 퇴적속도를 산출한다.
⑦ 막면내 균일성은 6 인치 유리기판내에서 16 포인트로 측정한다.
(2) BHF 에칭율
상기 (1) ① ∼ ② 와 동일한 방법으로 레지스트 마스크를 패터닝한다.
③ 25 ℃ 의 BHF 액 (HF : NH4F = 1 : 10 의 혼합액) 에 1 분간 유리기판을 침지한다.
④ 순수로 세정한 후에 건조시키고, 레지스트를 황산과산화수소 (H2SO4 + H2O2) 로 박리한다.
⑤ 상기 (1) ⑤ 와 마찬가지로 단차를 계측한다.
⑥ 침지시간과 단차로부터 에칭율을 산출한다.
그리고, 이들 실시예 및 비교예에 대한 평가로서, SiNX 막을 형성하고, 이 SiNX 막에 대한 평가를 다음과 같이 한다.
(3) 절연내압
① 유리기판상에 스퍼터링에 의해 크롬막을 형성하고, 하부전극으로서 패터닝한다.
② 플라즈마 CVD 에 의해 SiNX 막을 형성한다.
③ ① 과 동일한 방법으로 크롬으로 이루어진 상부전극을 형성한다.
④ 하부전극용 콘택트 홀을 형성한다.
⑤ 상하전극에 프로빙하여 Ⅰ-Ⅴ 특성 (전류전압특성) 을 측정한다. 이 때, 최대전압으로서 200 V 정도까지 인가한다.
⑥ 전극면적을 한변이 100 ㎛ 인 정사각형으로 하고, 100 pA 를 흘러가게 하면, 1 ㎂/㎠ 에 상당하기 때문에, 이 때의 V 를 절연내압으로서 정의한다.
여기서, 막형성시에 있어서의 조건은
기판온도 250 ℃
SiH4 100 SCCM
NH3 700 SCCM
N2 450 SCCM
압력 150 Pa 이다.
이들 결과를 표 1 에 나타낸다.
이들 결과로부터, 플라즈마 전극용량 (Ce), 손실용량 (Cx) 의 값과 퇴적속도, 막면내 균일성, BHF 에칭율, 절연내압에 대하여, 플라즈마 전극용량 (Ce) 의 26 배가 손실용량 (Cx) 보다 큰 범위의 값으로 설정된 경우에는, 비교예 1 에 비하여 퇴적속도가 100 ㎚/min 이상으로 개선되었고, 또한 막두께의 편차가 개선되었음을 알 수 있다. 그리고, BHF 에칭율도 200 ㎚/min 이하로 되어 막질이 개선되었음을 알 수 있다.
또한, 플라즈마 전극용량 (Ce) 의 7 배가 손실용량 (Cx) 보다 큰 범위의 값으로 설정됨으로써, 퇴적속도가 비교예의 5 배 정도로까지 개선되었음과 동시에 막두께의 편차가 실시예 1 의 절반 정도로 개선되고 또한 절연내압도 향상되었다.
플라즈마 전극용량 (Ce) 의 5 배가 손실용량 (Cx) 보다 큰 범위의 값으로 설정됨으로써, 투입하는 전력을 1000 W 에서 800 W 로 저감시켜도 실시예 2 와 동일한 정도의 결과를 얻을 수 있었다.
즉, 플라즈마 전극용량 (Ce), 손실용량 (Cx) 의 값을 설정함으로써, 플라즈마 처리장치의 성능이 향상되었다.
[실시예 B]
또한, 본 발명에서는 복수개의 플라즈마 챔버에 있어서, 플라즈마 전극용량 (Ce), 손실용량 (Cx) 의 편차 값을 소정 범위 이내의 값으로 설정함으로써 막형성시에 있어서의 막특성의 변화를 측정하였다.
여기서, 실제로 사용한 플라즈마 처리장치는, 제 3 실시형태에 나타낸 바와 같이 2 개의 플라즈마 챔버를 갖고, 이들 플라즈마 처리실이 2 주파 여기형의 것이다.
사용한 플라즈마 처리장치로서는, 평행평판형 전극 (4,8) 의 사이즈가 한변이 25 ㎝ 인 정사각형이고, 이들 전극 간격을 15 ㎜ 로 설정하고, 그 전력을 800 W, 전력주파수 (fe) 를 40.68 ㎒ 로 설정한다.
(실시예 4)
상기한 플라즈마 처리장치에 있어서, 실시예 4 로서 플라즈마 챔버에 대한 플라즈마 전극용량 (Ce), 손실용량 (Cx) 의 최대값과 최소값에 대한 편차를 식 (1), 식 (2) 에 따라 0.09 로 설정한다. 동시에, 이들 플라즈마 전극용량 (Ce) 의 평균치를 37 pF, 손실용량 (Cx) 의 평균치를 250 pF 로 설정한다.
(실시예 5)
상기한 플라즈마 처리장치에 있어서, 실시예 5 로서 플라즈마 챔버에 대한 플라즈마 전극용량 (Ce), 손실용량 (Cx) 의 최대값과 최소값에 대한 편차를 식 (1), 식 (2) 에 따라 0.02 로 설정한다. 동시에, 이들 플라즈마 전극용량 (Ce) 의 평균치를 37 pF, 손실용량 (Cx) 의 평균치를 980 pF 로 설정한다.
(비교예 2)
상기한 플라즈마 처리장치에 있어서, 비교예 2 로서 플라즈마 챔버에 대한 플라즈마 전극용량 (Ce), 손실용량 (Cx) 의 최대값과 최소값에 대한 편차를 식 (1), 식 (2) 에 따라 1 로 설정한다. 동시에, 이들 플라즈마 전극용량 (Ce) 의 평균치를 37 pF, 손실용량 (Cx) 의 평균치를 pF 로 설정한다.
상기한 실시예 4, 5 및 비교예 2 에 있어서, 실시예 및 비교예에 대한 평가로서 동일한 프로세스 레서피를 적용하고, 질화규소막을 퇴적하여 다음과 같이 각 플라즈마 처리실에 대한 막두께 편차를 계측한다.
① 유리기판상에 플라즈마 CVD 에 의해 SiNX 막을 형성한다.
② 포토리소에 의해 레지스트의 패터닝을 실시한다.
③ SF6 와 O2 를 사용하여 SiNX 막을 드라이에칭한다.
④ O2 애싱에 의해 레지스트를 박리한다.
⑤ SiNX 막의 막두께 단차를 촉침식 단차계에 의해 계측한다.
⑥ 막형성시간과 막두께로부터 퇴적속도를 산출한다.
⑦ 막면내 균일성은 6 인치 유리기판내에서 16 포인트로 측정한다.
여기서, 막형성시에 있어서의 조건은
기판온도 350 ℃
SiH4 40 SCCM
NH3 200 SCCM
N2 600 SCCM
압력 150 Pa 이다.
이들 결과를 표 2 에 나타낸다.
이들 결과로부터, 플라즈마 전극용량 (Ce), 손실용량 (Cx) 의 편차 값을 설정한 경우에는, 플라즈마 챔버마다의 기기별 차이로 인한 막두께의 편차가 개선되었음을 알 수 있다.
즉, 플라즈마 전극용량 (Ce), 손실용량 (Cx) 의 값을 설정함으로써, 플라즈마 처리장치의 동작특성이 향상되었다.
본 발명의 플라즈마 처리장치, 플라즈마 처리시스템 및 이들의 성능확인시스템, 검사방법에 의하면, 플라즈마 전극용량 (Ce) 의 26 배가 상기 고주파전원이 접속된 전극과 직류적으로 어스된 각 접지전위부 사이의 용량 (손실용량) (Cx) 보다 큰 범위의 값으로 설정됨으로써, 전력을 효율적으로 플라즈마 발생공간으로 도입될 수 있게 되어 플라즈마 공간에서 소비되는 실효적인 전력의 증대를 도모할 수 있다. 또한, 플라즈마 전극용량 (Ce) 의 편차 값을 설정함으로써, 복수개의 플라즈마 챔버 (플라즈마 처리실 유닛) 에 대해 전기적 고주파적인 특성의 기기별 차이를 없앨 수 있게 된다. 그리고, 플라즈마 여기 주파수의 고주파화에 의한 처리속도, 피처리기판 면내방향에 있어서의 플라즈마 처리의 균일성, 피형성막에 있어서의 막특성, 전력의 소비효율, 생산성의 향상을 도모할 수 있고, 적정한 동작상태로 간편하게 유지할 수 있는 플라즈마 처리장치 및 플라즈마 처리시스템을 제공할 수 있다는 효과, 및 구입시에 있어서의 발주자의 플라즈마 처리장치 또는 플라즈마 처리시스템에 대한 성능판별재료를 제공할 수 있게 되고, 또한 상기 성능확인정보를 카탈로그 또는 사양서로서 출력할 수 있다는 효과를 갖는다.
도 1 은 본 발명에 관한 플라즈마 처리장치의 제 1 실시형태를 나타낸 개략구성도이다.
도 2 는 도 1 에 있어서의 플라즈마 챔버의 정합회로를 나타낸 모식도이다.
도 3 은 도 1 에 있어서의 플라즈마 챔버의 덮개체를 나타낸 단면도이다.
도 4 는 도 3 에 있어서의 덮개체의 손실용량 (Cx) 을 설명하기 위한 모식도이다.
도 5 는 도 4 에 있어서의 덮개체의 손실용량 (Cx) 을 설명하기 위한 등가회로이다.
도 6 은 도 7 에 있어서의 플라즈마 챔버의 등가회로를 나타낸 회로도이다.
도 7 은 도 1 에 있어서의 플라즈마 챔버의 임피던스 특성을 설명하기 위한 모식도이다.
도 8 은 전류 (I), 플라즈마 전류 (Ie), 손실전류 (Ix) 를 설명하기 위한 회로도이다.
도 9 는 본 발명에 관한 플라즈마 처리장치의 제 2 실시형태를 나타낸 개략 구성도이다.
도 10 은 도 9 에 있어서의 레이저 어닐실을 나타낸 종단면도이다.
도 11 은 도 9 에 있어서의 열처리실을 나타낸 종단면도이다.
도 12 는 본 발명에 관한 플라즈마 처리장치의 제 3 실시형태를 나타낸 개략 구성도이다.
도 13 은 도 12 에 있어서의 플라즈마 챔버를 나타낸 단면도이다.
도 14 는 도 12 에 있어서의 플라즈마 챔버의 덮개체의 단면도를 나타낸 회로도이다.
도 15 는 도 12 에 있어서의 플라즈마 챔버의 등가회로를 나타낸 회로도이다.
도 16 은 도 15 에 있어서의 덮개체의 손실용량 (Cx) 을 설명하기 위한 등가회로이다.
도 17 은 플라즈마 발광상태에 있어서의 전극간의 상태를 나타낸 모식도이다.
도 18 은 본 발명에 관한 플라즈마 처리시스템의 제 4 실시형태를 나타낸 개략 구성도이다.
도 19 는 임피던스 측정기의 프로브를 나타낸 사시도이다.
도 20 은 도 19 의 임피던스 측정기의 프로브의 접속상태를 나타낸 모식도이다.
도 21 은 본 발명에 관한 플라즈마 처리장치의 다른 실시형태를 나타낸 개략 구성도이다.
도 22 는 본 발명에 관한 플라즈마 처리장치의 다른 실시형태를 나타낸 개략 구성도이다.
도 23 은 본 발명에 관한 플라즈마 처리장치의 다른 실시형태를 나타낸 개략 구성도이다.
도 24 는 본 발명의 플라즈마 처리장치의 성능확인시스템을 나타낸 시스템 구성도이다.
도 25 는 본 발명의 플라즈마 처리장치의 성능확인시스템에 관한 서버 (S) 의 성능상황정보의 제공처리를 나타낸 플로차트이다.
도 26 은 본 발명의 플라즈마 처리장치의 성능확인시스템에 관한 메인페이지 (CP) 의 구성을 나타낸 평면도이다.
도 27 은 본 발명의 플라즈마 처리장치의 성능확인시스템에 관한 서브페이지 (CP1) 의 구성을 나타낸 평면도이다.
도 28 은 본 발명의 플라즈마 처리장치의 성능확인시스템에 관한 메인페이지 (CP2) 의 구성을 나타낸 평면도이다.
도 29 는 본 발명의 플라즈마 처리장치의 성능확인시스템에 관한 서브페이지 (CP3) 의 구성을 나타낸 평면도이다.
도 30 은 본 발명의 플라즈마 처리장치의 성능확인시스템에 관한 서브페이지 (CP4) 의 구성을 나타낸 평면도이다.
도 31 은 종래의 플라즈마 처리장치의 일례를 나타낸 모식도이다.
도 32 는 종래의 플라즈마 처리장치의 다른 예를 나타낸 모식도이다.
도 33 은 본 발명의 플라즈마 처리장치의 검사방법의 실시형태를 나타낸 플로차트이다.
*도면의 주요 부분에 대한 부호의 설명*
1 : 고주파전원 1A,27A : 급전선
2,26 : 매칭박스 2A,25 : 정합회로
3,28 : 급전판 4 : 플라즈마 여기전극 (캐소드전극)
5 : 샤워 플레이트 6 : 공간
7 : 구멍 8 : 웨이퍼 서셉터 (서셉터전극)
9 : 절연체 10 : 챔버벽
10a : 챔버벽 상부 10A : 챔버저부
11 : 벨로스 12 : 서셉터실드
12A : 실드 지지판 12B : 지지통
13 : 샤프트 16 : 기판 (피처리기판)
17 : 가스도입관 17a : 절연체
21,29 : 섀시 22,32 : 로드콘덴서
23,30 : 코일 24,31 : 튜닝콘덴서
27 : 제 2 고주파전원 60 : 챔버실 (플라즈마 처리실)
61 : 임피던스 측정용 단자 (측정용 단자)
71, 91 : 플라즈마 처리장치 72, 92 : 운송실
73 : 로더실 74 : 언로더실
75, 76, 77, 95, 96, 97 : 플라즈마 챔버 (플라즈마 처리실 유닛)
78 : 레이저 어닐실 79, 99 : 열처리실
80, 84 : 챔버 81 : 레이저광원
82 : 스테이지 83 : 레이저광
85 : 히터 86 : 게이트 밸브
87 : 기판운송로봇 (운송수단) 88 : 아암
93 : 로드록실 105 : 프로브
AN : 임피던스 측정기 (고주파특성 측정기)
B : 분기점 P : 플라즈마 발광영역
PR, PR', PR2, PR3 : 출력단자 위치
SW1, SW2, SW3, SW4 : 스위치
g0, g1, g2, g3, g4 : 게이트

Claims (34)

  1. 플라즈마를 여기하기 위한 전극을 갖는 플라즈마 처리실, 상기 전극에 고주파전력을 공급하기 위한 고주파전원, 및 입력단자와 출력단자를 갖고 상기 입력단자에 상기 고주파전원을 접속함과 동시에 상기 전극에 접속한 고주파전력 배전체를 상기 출력단자에 접속함으로써 상기 플라즈마 처리실과 상기 고주파전원의 임피던스 정합을 얻는 정합회로를 구비하는 플라즈마 처리실 유닛을 복수개 구비하는 플라즈마 처리장치로서,
    상기 고주파전원이 접속된 전극과 쌍을 이루며 협동하여 플라즈마를 발생시키는 전극 사이의 플라즈마 전극용량 (Ce) 중 그 최대값 (Cemax) 과 최소값 (Cemin ) 의 편차가
    (Cemax-Cemin)/(Cemax+Cemin)
    로 되고, 이 값이 소정 범위의 값으로 설정됨과 동시에,
    상기 고주파전원이 접속된 전극과 직류적으로 어스된 각 접지전위부 사이의 용량 (Cx) 중 그 최대값 (Cxmax) 과 최소값 (Cxmin) 의 편차가
    (Cxmax-Cxmin)/(Cxmax+Cxmin)
    로 되고, 이 값이 소정 범위의 값으로 설정되며,
    상기 플라즈마 전극용량 (Ce) 과 상기 용량 (Cx) 은 모두 비플라즈마 발광시의 값인 것을 특징으로 하는 플라즈마 처리장치.
  2. 제 1 항에 있어서,
    상기 플라즈마 전극용량 (Ce) 의 편차와 상기 용량 (Cx) 의 편차가 모두 0.1 보다 작은 범위의 값으로 설정되는 것을 특징으로 하는 플라즈마 처리장치.
  3. 제 2 항에 있어서,
    상기 플라즈마 전극용량 (Ce) 의 편차와 상기 용량 (Cx) 의 편차가 모두 0.03 보다 작은 범위의 값으로 설정되는 것을 특징으로 하는 플라즈마 처리장치.
  4. 제 1 항에 있어서,
    상기 플라즈마 전극용량 (Ce) 의 26 배가 상기 용량 (Cx) 보다 큰 범위의 값으로 설정되는 것을 특징으로 하는 플라즈마 처리장치.
  5. 제 1 항에 있어서,
    상기 플라즈마를 여기하기 위한 전극이 평행평판형이며, 이 평행평판형의 대향하는 전극간의 용량이 상기 플라즈마 전극용량 (Ce) 이고,
    상기 전극 중 상기 고주파전원이 접속된 전극이 상기 플라즈마 처리실의 덮개체의 일부를 구성하고,
    상기 덮개체에 있어서 상기 정합회로의 상기 출력단자로 되는 측정위치에서 측정한 용량이 상기 용량 (Cx) 인 것을 특징으로 하는 플라즈마 처리장치.
  6. 제 5 항에 있어서,
    상기 측정위치 근방에 상기 플라즈마 처리실의 고주파특성을 측정하는 측정용 단자가 각각 형성되고,
    플라즈마를 여기할 때에는 상기 측정위치와 상기 측정용 단자의 전기적 접속을 절단함과 동시에 상기 배전체측과 상기 고주파전원측의 전기적 접속을 확보하고, 또한 상기 플라즈마 처리실의 주파수특성을 측정할 때에는 상기 측정위치와 상기 측정용 단자의 전기적 접속을 확보함과 동시에 상기 고주파전원측과 상기 측정위치의 전기적 접속을 절단하는 전환 스위치가 설치되는 것을 특징으로 하는 플라즈마 처리장치.
  7. 구입발주자가 판매보수자에게 발주한 제 1 항에 기재된 플라즈마 처리장치의 동작성능상황을 나타내는 성능상황정보의 열람을 공중회선을 통해 요구하는 구입발주자측 정보단말;
    판매보수자가 상기 성능상황정보를 업로딩하는 판매보수자측 정보단말; 및
    상기 구입발주자측 정보단말의 요구에 응답하여 상기 판매보수자측 정보단말로부터 업로딩된 성능상황정보를 상기 구입발주자측 정보단말로 제공하는 성능상황정보 제공수단을 구비하는 것을 특징으로 하는 플라즈마 처리장치의 성능확인시스템.
  8. 제 7 항에 있어서,
    상기 성능상황정보가 상기 플라즈마 전극용량 (Ce) 을 포함하는 것을 특징으로 하는 플라즈마 처리장치의 성능확인시스템.
  9. 제 8 항에 있어서,
    상기 성능상황정보가 카탈로그 또는 사양서로서 출력되는 것을 특징으로 하는 플라즈마 처리장치의 성능확인시스템.
  10. 플라즈마를 여기하기 위한 전극을 갖는 플라즈마 처리실과, 상기 전극에 고주파전력을 공급하기 위한 고주파전원, 및 입력단자와 출력단자를 갖고 상기 입력단자에 상기 고주파전원을 접속함과 동시에 상기 전극에 접속한 고주파전력 배전체를 상기 출력단자에 접속함으로써 상기 플라즈마 처리실과 상기 고주파전원의 임피던스 정합을 얻는 정합회로를 구비하는 플라즈마 처리장치가 복수개 설치되고,
    상기 고주파전원이 접속된 전극과 협동하여 플라즈마를 발생시키는 전극 사이의 플라즈마 전극용량 (Ce) 중 그 최대값 (Cemax) 과 최소값 (Cemin) 의 편차가
    (Cemax-Cemin)/(Cemax+Cemin)
    로 되고, 이 값이 소정 범위의 값으로 설정됨과 동시에,
    상기 고주파전원이 접속된 전극과 직류적으로 어스된 각 접지전위부 사이의 용량 (Cx) 중 그 최대값 (Cxmax) 과 최소값 (Cxmin) 의 편차가
    (Cxmax-Cxmin)/(Cxmax+Cxmin)
    로 되고, 이 값이 소정 범위의 값으로 설정되며,
    상기 플라즈마 전극용량 (Ce) 과 상기 용량 (Cx) 은 모두 비플라즈마 발광시의 값인 것을 특징으로 하는 플라즈마 처리시스템.
  11. 제 10 항에 있어서,
    상기 플라즈마 전극용량 (Ce) 의 편차와 상기 용량 (Cx) 의 편차가 모두 0.1 보다 작은 범위의 값으로 설정되는 것을 특징으로 하는 플라즈마 처리시스템.
  12. 제 11 항에 있어서,
    상기 플라즈마 전극용량 (Ce) 의 편차와 상기 용량 (Cx) 의 편차가 모두 0.03 보다 작은 범위의 값으로 설정되는 것을 특징으로 하는 플라즈마 처리시스템.
  13. 제 11 항에 있어서,
    상기 플라즈마 전극용량 (Ce) 의 26 배가 상기 용량 (Cx) 보다 큰 범위의 값으로 설정되는 것을 특징으로 하는 플라즈마 처리시스템.
  14. 제 13 항에 있어서,
    상기 플라즈마 전극용량 (Ce) 의 7 배가 상기 용량 (Cx) 보다 큰 범위의 값으로 설정되는 것을 특징으로 하는 플라즈마 처리시스템.
  15. 제 14 항에 있어서,
    상기 플라즈마 전극용량 (Ce) 의 5 배가 상기 용량 (Cx) 보다 큰 범위의 값으로 설정되는 것을 특징으로 하는 플라즈마 처리시스템.
  16. 제 13 항에 있어서,
    상기 플라즈마를 여기하기 위한 전극이 평행평판형이며, 이 평행평판형의 대향하는 전극간의 용량이 상기 플라즈마 전극용량 (Ce) 이고,
    상기 전극 중 상기 고주파전원이 접속된 전극이 상기 플라즈마 처리실의 덮개체의 일부를 구성하고,
    상기 덮개체에 있어서 상기 정합회로의 상기 출력단자로 되는 측정위치에서 측정한 용량이 상기 용량 (Cx) 인 것을 특징으로 하는 플라즈마 처리시스템.
  17. 제 16 항에 있어서,
    상기 측정위치 근방에 상기 플라즈마 처리실의 고주파특성을 측정하는 측정용 단자가 각각 형성되고,
    플라즈마를 여기할 때에는 상기 측정위치와 상기 측정용 단자의 전기적 접속을 절단함과 동시에 상기 배전체측과 상기 고주파전원측의 전기적 접속을 확보하고, 또한 상기 플라즈마 처리실의 주파수특성을 측정할 때에는 상기 측정위치와 상기 측정용 단자의 전기적 접속을 확보함과 동시에 상기 고주파전원측과 상기 측정위치의 전기적 접속을 절단하는 전환 스위치가 설치되는 것을 특징으로 하는 플라즈마 처리시스템.
  18. 제 17 항에 있어서,
    각 플라즈마 처리실의 상기 측정용 단자에 고주파특성 측정기가 전환이 자유롭게 접속되는 것을 특징으로 하는 플라즈마 처리시스템.
  19. 제 18 항에 있어서,
    각 플라즈마 처리실에 있어서의 상기 측정위치와 상기 측정용 단자에 접속된 고주파특성 측정기 사이의 고주파특성 (A) 이 각각 동등하게 설정되는 것을 특징으로 하는 플라즈마 처리시스템.
  20. 구입발주자가 판매보수자에게 발주한 제 10 항에 기재된 플라즈마 처리장치의 동작성능상황을 나타내는 성능상황정보의 열람을 공중회선을 통해 요구하는 구입발주자측 정보단말;
    판매보수자가 상기 성능상황정보를 업로딩하는 판매보수자측 정보단말; 및
    상기 구입발주자측 정보단말의 요구에 응답하여 상기 판매보수자측 정보단말로부터 업로딩된 성능상황정보를 상기 구입발주자측 정보단말로 제공하는 성능상황정보 제공수단을 구비하는 것을 특징으로 하는 플라즈마 처리시스템의 성능확인시스템.
  21. 제 20 항에 있어서,
    상기 성능상황정보가 상기 플라즈마 전극용량 (Ce) 을 포함하는 것을 특징으로 하는 플라즈마 처리시스템의 성능확인시스템.
  22. 제 21 항에 있어서,
    상기 성능상황정보가 카탈로그 또는 사양서로서 출력되는 것을 특징으로 하는 플라즈마 처리시스템의 성능확인시스템.
  23. 플라즈마를 여기하기 위한 전극을 갖는 플라즈마 처리실, 상기 전극에 고주파전력을 공급하기 위한 고주파전원, 및 입력단자와 출력단자를 갖고 상기 입력단자에 상기 고주파전원을 접속함과 동시에 상기 전극에 접속한 고주파전력 배전체를 상기 출력단자에 접속함으로써 상기 플라즈마 처리실과 상기 고주파전원의 임피던스 정합을 얻는 정합회로를 구비하는 플라즈마 처리실 유닛을 복수개 구비하는 플라즈마 처리장치의 검사방법으로서,
    상기 고주파전원이 접속된 전극과 쌍을 이루며 협동하여 플라즈마를 발생시키는 전극 사이의 플라즈마 전극용량 (Ce) 중 그 최대값 (Cemax) 과 최소값 (Cemin ) 의 편차가
    (Cemax-Cemin)/(Cemax+Cemin)
    로 되고, 이 값을 소정 범위의 값으로 설정함과 동시에, 상기 고주파전원이 접속된 전극과 직류적으로 어스된 각 접지전위부 사이의 용량 (Cx) 중 그 최대값 (Cxmax) 과최소값 (Cxmin) 의 편차가
    (Cxmax-Cxmin)/(Cxmax+Cxmin)
    로 되고, 이 값을 소정 범위의 값으로 설정하며,
    상기 플라즈마 전극용량 (Ce) 과 상기 용량 (Cx) 은 모두 비플라즈마 발광시의 값인 것을 특징으로 하는 플라즈마 처리장치의 검사방법.
  24. 제 23 항에 있어서,
    상기 플라즈마 전극용량 (Ce) 의 편차와 상기 용량 (Cx) 의 편차를 모두 0.1 보다 작은 범위의 값으로 설정하는 것을 특징으로 하는 플라즈마 처리장치의 검사방법.
  25. 제 24 항에 있어서,
    상기 플라즈마 전극용량 (Ce) 의 편차와 상기 용량 (Cx) 의 편차를 모두 0.03 보다 작은 범위의 값으로 설정하는 것을 특징으로 하는 플라즈마 처리장치의 검사방법.
  26. 제 24 항에 있어서,
    상기 플라즈마 전극용량 (Ce) 의 26 배를 상기 용량 (Cx) 보다 큰 범위의 값으로 설정하는 것을 특징으로 하는 플라즈마 처리장치의 검사방법.
  27. 제 23 항에 있어서,
    상기 플라즈마를 여기하기 위한 전극이 평행평판형며, 이 평행평판형의 대향하는 전극간의 용량이 상기 플라즈마 전극용량 (Ce) 이고,
    상기 전극 중 상기 고주파전원이 접속된 전극이 상기 플라즈마 처리실의 덮개체의 일부를 구성하는 플라즈마 처리장치의 검사방법으로서,
    상기 덮개체에 있어서 상기 정합회로의 상기 출력단자로 되는 측정위치에서 측정한 용량을 상기 용량 (Cx) 으로 하는 것을 특징으로 하는 플라즈마 처리장치의 검사방법.
  28. 플라즈마를 여기하기 위한 전극을 갖는 플라즈마 처리실, 상기 전극에 고주파전력을 공급하기 위한 고주파전원, 및 입력단자와 출력단자를 갖고 상기 입력단자에 상기 고주파전원을 접속함과 동시에 상기 전극에 접속한 고주파전력 배전체를 상기 출력단자에 접속함으로써 상기 플라즈마 처리실과 상기 고주파전원의 임피던스 정합을 얻는 정합회로를 구비하는 플라즈마 처리장치가 복수개 설치되는 플라즈마 처리시스템의 검사방법으로서,
    상기 고주파전원이 접속된 전극과 협동하여 플라즈마를 발생시키는 전극 사이의 플라즈마 전극용량 (Ce) 중 그 최대값 (Cemax) 과 최소값 (Cemin) 의 편차가
    (Cemax-Cemin)/(Cemax+Cemin)
    로 되고, 이 값을 소정 범위의 값으로 설정함과 동시에,
    상기 고주파전원이 접속된 전극과 직류적으로 어스된 각 접지전위부 사이의 용량 (Cx) 중 그 최대값 (Cxmax) 과 최소값 (Cxmin) 의 편차가
    (Cxmax-Cxmin)/(Cxmax+Cxmin)
    로 되고, 이 값을 소정 범위의 값으로 설정하며,
    상기 플라즈마 전극용량 (Ce) 과 상기 용량 (Cx) 은 모두 비플라즈마 발광시의 값인 것을 특징으로 하는 플라즈마 처리시스템의 검사방법.
  29. 제 28 항에 있어서,
    상기 플라즈마 전극용량 (Ce) 의 편차와 상기 용량 (Cx) 의 편차를 모두 0.1 보다 작은 범위의 값으로 설정하는 것을 특징으로 하는 플라즈마 처리시스템의 검사방법.
  30. 제 29 항에 있어서,
    상기 플라즈마 전극용량 (Ce) 의 편차와 상기 용량 (Cx) 의 편차를 모두 0.03 보다 작은 범위의 값으로 설정하는 것을 특징으로 하는 플라즈마 처리시스템의 검사방법.
  31. 제 29 항에 있어서,
    상기 플라즈마 전극용량 (Ce) 의 26 배를 상기 용량 (Cx) 보다 큰 범위의 값으로 설정하는 것을 특징으로 하는 플라즈마 처리시스템의 검사방법.
  32. 제 31 항에 있어서,
    상기 플라즈마 전극용량의 (Ce) 의 7 배를 상기 용량 (Cx) 보다 큰 범위의 값으로 설정하는 것을 특징으로 하는 플라즈마 처리시스템의 검사방법.
  33. 제 32 항에 있어서,
    상기 플라즈마 전극용량 (Ce) 의 5 배를 상기 용량 (Cx) 보다 큰 범위의 값으로 설정하는 것을 특징으로 하는 플라즈마 처리시스템의 검사방법.
  34. 제 29 항에 있어서,
    상기 플라즈마를 여기하기 위한 전극이 평행평판형이며, 이 평행평판형의 대향하는 전극간의 용량이 상기 플라즈마 전극용량 (Ce) 이고,
    상기 전극 중 상기 고주파전원이 접속된 전극이 상기 플라즈마 처리실의 덮개체의 일부를 구성하는 플라즈마 처리시스템의 검사방법으로서,
    상기 덮개체에 있어서 상기 정합회로의 상기 출력단자로 되는 측정위치에서 측정한 용량을 상기 용량 (Cx) 으로 하는 것을 특징으로 하는 플라즈마 처리시스템의 검사방법.
KR10-2005-0007970A 2000-10-16 2005-01-28 플라즈마 처리장치 KR100517036B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2000-00315728 2000-10-16
JP2000315728A JP3640609B2 (ja) 2000-10-16 2000-10-16 プラズマ処理装置,プラズマ処理システムおよびこれらの性能確認システム,検査方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0062563A Division KR100502522B1 (ko) 2000-10-16 2001-10-11 플라즈마 처리장치

Publications (2)

Publication Number Publication Date
KR20050067362A true KR20050067362A (ko) 2005-07-01
KR100517036B1 KR100517036B1 (ko) 2005-09-26

Family

ID=18794784

Family Applications (2)

Application Number Title Priority Date Filing Date
KR10-2001-0062563A KR100502522B1 (ko) 2000-10-16 2001-10-11 플라즈마 처리장치
KR10-2005-0007970A KR100517036B1 (ko) 2000-10-16 2005-01-28 플라즈마 처리장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR10-2001-0062563A KR100502522B1 (ko) 2000-10-16 2001-10-11 플라즈마 처리장치

Country Status (3)

Country Link
US (1) US6538388B2 (ko)
JP (1) JP3640609B2 (ko)
KR (2) KR100502522B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100915613B1 (ko) * 2007-06-26 2009-09-07 삼성전자주식회사 펄스 플라즈마 매칭시스템 및 그 방법
WO2010102125A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
TWI740577B (zh) * 2020-06-09 2021-09-21 南韓商光洋熱系統韓國股份有限公司 熱處理爐的加熱器供電裝置

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US6597117B2 (en) * 2001-11-30 2003-07-22 Samsung Austin Semiconductor, L.P. Plasma coil
US7018517B2 (en) * 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
US6864636B1 (en) * 2002-07-25 2005-03-08 Mark J. Hagmann Apparatus, method, and system for a laser-assisted field emission microwave signal generator
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US6919689B2 (en) * 2002-09-26 2005-07-19 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US7184146B2 (en) * 2003-06-24 2007-02-27 Cardinal Ig Company Methods and apparatus for evaluating insulating glass units
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
JP4606944B2 (ja) * 2004-06-02 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置およびインピーダンス調整方法
US20060021580A1 (en) 2004-06-02 2006-02-02 Tokyo Electron Limited Plasma processing apparatus and impedance adjustment method
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
ATE543199T1 (de) * 2005-05-23 2012-02-15 New Power Plasma Co Ltd Plasmakammer mit entladung induzierender brücke
DE102006012920B3 (de) * 2006-03-21 2008-01-24 Universität Konstanz Verfahren zum Herstellen eines Photovoltaikelements mit stabilisiertem Wirkungsgrad
JP5213322B2 (ja) * 2006-10-05 2013-06-19 東京エレクトロン株式会社 基板処理方法及び基板処理装置並びにプログラムを記憶する記憶媒体
US7774082B2 (en) * 2006-10-05 2010-08-10 Tokyo Electron Limited Substrate processing method and storage medium having program stored therein
JP5246836B2 (ja) 2007-01-24 2013-07-24 東京エレクトロン株式会社 プラズマ処理装置用のプロセス性能検査方法及び装置
JP4989276B2 (ja) * 2007-03-30 2012-08-01 東京エレクトロン株式会社 測定システム
US8269510B2 (en) * 2007-10-05 2012-09-18 Lam Research Corporation Apparatus for measuring dielectric properties of parts
KR101617781B1 (ko) * 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
US20100276391A1 (en) * 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
JP5231308B2 (ja) * 2009-03-31 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置
US8154209B2 (en) * 2009-04-06 2012-04-10 Lam Research Corporation Modulated multi-frequency processing method
US9039864B2 (en) * 2009-09-29 2015-05-26 Applied Materials, Inc. Off-center ground return for RF-powered showerhead
KR20110067570A (ko) * 2009-12-14 2011-06-22 삼성전자주식회사 모니터링 방법
US8581496B2 (en) * 2011-07-29 2013-11-12 Oaks Plasma, LLC. Self-igniting long arc plasma torch
JP2013105543A (ja) * 2011-11-10 2013-05-30 Tokyo Electron Ltd 基板処理装置
CN108602187A (zh) * 2015-09-09 2018-09-28 碳机器人公司 机械臂系统和物体躲避方法
US9954508B2 (en) * 2015-10-26 2018-04-24 Lam Research Corporation Multiple-output radiofrequency matching module and associated methods
MX2018010985A (es) * 2016-03-17 2019-05-06 Jcu Corp Dispositivo generador de plasma.
JP7007936B2 (ja) * 2018-01-26 2022-01-25 ダイハツ工業株式会社 プラズマリアクター用電源システム
KR20220069148A (ko) * 2020-11-19 2022-05-27 삼성전자주식회사 반도체 소자의 제조 장치 및 반도체 소자의 제조 방법
US11972932B2 (en) * 2021-07-16 2024-04-30 Ulvac, Inc. Deposition method and deposition apparatus

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05205898A (ja) * 1991-07-24 1993-08-13 Tokyo Electron Yamanashi Kk プラズマ処理装置
US5309063A (en) * 1993-03-04 1994-05-03 David Sarnoff Research Center, Inc. Inductive coil for inductively coupled plasma production apparatus
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
US5467013A (en) 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
JP3022806B2 (ja) * 1997-05-15 2000-03-21 九州日本電気株式会社 半導体装置の製造装置及びその調整方法
JP3396399B2 (ja) * 1997-06-26 2003-04-14 シャープ株式会社 電子デバイス製造装置
JPH11121440A (ja) * 1997-10-20 1999-04-30 Toshiba Corp プラズマ評価方法及びその装置
US6155202A (en) * 1997-11-28 2000-12-05 Alps Electric Co., Ltd. Plasma processing apparatus, matching box, and feeder
JP3396879B2 (ja) * 1997-11-30 2003-04-14 アルプス電気株式会社 プラズマ処理装置、マッチングボックス及び給電体
JP3565311B2 (ja) * 1997-12-17 2004-09-15 アルプス電気株式会社 プラズマ処理装置
US6280563B1 (en) * 1997-12-31 2001-08-28 Lam Research Corporation Plasma device including a powered non-magnetic metal member between a plasma AC excitation source and the plasma
KR100269942B1 (ko) * 1998-02-03 2000-10-16 윤종용 반도체제조설비관리방법
JP2000012468A (ja) * 1998-06-24 2000-01-14 Sharp Corp 薄膜の製造方法
JP3497091B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ生成用高周波パワーの制御方法、およびプラズマ発生装置
JP2000173982A (ja) * 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
KR100542459B1 (ko) * 1999-03-09 2006-01-12 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 플라즈마처리방법
US6462483B1 (en) * 1999-11-18 2002-10-08 Nano-Architect Research Corporation Induction plasma processing chamber

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100915613B1 (ko) * 2007-06-26 2009-09-07 삼성전자주식회사 펄스 플라즈마 매칭시스템 및 그 방법
US8222821B2 (en) 2007-06-26 2012-07-17 Samsung Electronics Co., Ltd. Pulse plasma matching systems and methods including impedance matching compensation
WO2010102125A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
WO2010102125A3 (en) * 2009-03-05 2011-01-06 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
TWI740577B (zh) * 2020-06-09 2021-09-21 南韓商光洋熱系統韓國股份有限公司 熱處理爐的加熱器供電裝置

Also Published As

Publication number Publication date
US20020088776A1 (en) 2002-07-11
US6538388B2 (en) 2003-03-25
JP3640609B2 (ja) 2005-04-20
KR100517036B1 (ko) 2005-09-26
KR20020030257A (ko) 2002-04-24
JP2002124400A (ja) 2002-04-26
KR100502522B1 (ko) 2005-07-20

Similar Documents

Publication Publication Date Title
KR100517036B1 (ko) 플라즈마 처리장치
KR100478034B1 (ko) 플라즈마 처리장치의 성능평가방법
KR100478035B1 (ko) 플라즈마 처리장치
KR100478036B1 (ko) 플라즈마 처리장치의 성능평가방법
KR100450100B1 (ko) 플라즈마 처리장치 및 플라즈마 처리시스템
KR100408098B1 (ko) 플라즈마 처리장치, 플라즈마 처리장치 또는 플라즈마처리시스템의 성능평가방법, 보존방법, 성능관리시스템,및 성능확인시스템
JP3600144B2 (ja) プラズマ処理装置の性能評価方法、保守方法、及び性能管理システム、並びにプラズマ処理装置及びプラズマ処理装置の性能確認システム
JP2002056999A (ja) プラズマ処理装置およびプラズマ処理装置の性能確認システム
JP3600146B2 (ja) プラズマ処理装置又はプラズマ処理システムの性能評価方法、性能管理システム、及び性能確認システム
JP3600143B2 (ja) プラズマ処理装置,プラズマ処理システムおよびこれらの性能確認システム,検査方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110915

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee