KR20040111507A - 크리닝 과정에서의 손상을 저감시키기 위한 다공성유전막의 처리 방법 - Google Patents

크리닝 과정에서의 손상을 저감시키기 위한 다공성유전막의 처리 방법 Download PDF

Info

Publication number
KR20040111507A
KR20040111507A KR10-2004-7016321A KR20047016321A KR20040111507A KR 20040111507 A KR20040111507 A KR 20040111507A KR 20047016321 A KR20047016321 A KR 20047016321A KR 20040111507 A KR20040111507 A KR 20040111507A
Authority
KR
South Korea
Prior art keywords
supercritical
low
dielectric
treating
solvent
Prior art date
Application number
KR10-2004-7016321A
Other languages
English (en)
Other versions
KR100969027B1 (ko
Inventor
쉴링폴
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20040111507A publication Critical patent/KR20040111507A/ko
Application granted granted Critical
Publication of KR100969027B1 publication Critical patent/KR100969027B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0075Cleaning of glass
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 마이크로전자 소자 크리닝 공정 중에서의 손상을 저감시키기 위한 저-k 유전재 필름을 처리하는 장치, 방법 및 시스템을 개시한다. 본 발명은 마이크로전자 소자를 패시베이팅 공정으로 먼저 처리한 후, 크리닝 용액 공정에 의해 최소한의 유전재 손상을 갖는 고도의 선택성으로 다공성 저-k 유전재 필름을 크리닝한다.

Description

크리닝 과정에서의 손상을 저감시키기 위한 다공성 유전막의 처리 방법{METHOD OF TREATMENT OF POROUS DIELECTRIC FILMS TO REDUCE DAMAGE DURING CLEANING}
관련 출원
본 특허출원은 "웨이퍼 가공에서의 저유전재의 패시베이팅 방법"이라는 명칭으로 2003년 3월 4일자로 출원된 공계류중인 미국 특허출원 제 10/379,984 호의 일부 계속 출원이다. 이 특허출원은 미국 특허법 제119조 e항(35USC 119(e))에 따라 "크리닝 과정에서의 손상을 저감시키기 위한 다공성 유전막의 처리 방법"이라는 명칭으로 2002년 4월 12일자로 출원된 공계류중인 미국 가특허출원 제 60/377,822 호의 우선권을 주장하고 있다. "크리닝 과정에서의 손상을 저감시키기 위한 다공성 유전막의 처리 방법"이라는 명칭으로 2002년 4월 12일자로 출원된 미국 가특허출원 제 60/372,822 호, 및 "웨이퍼 가공에서의 저유전재의 패시베이팅 방법"이라는 명칭으로 2003년 3월 4일자로 출원된 미국 특허출원 제 10/379,984 호는 또한 본원에 참조로 이용된다.
최근 진보된 반도체 기술은 인터커넥트(interconnect)를 절연시키는데 사용되는 유전재를 저-k 유전재로 대체시키는 기술을 수반한다. 저-k 유전재는 현재 층간 유전재로서 통합되어 있다. 저-k 유전재의 3개의 주요 부류로는 무기(SiO2계 재료), 하이브리드(유기 작용화 무기 매트릭스), 및 유기 재료를 들 수 있다. 이와 같이 저-k 유전재를 사용하는 기술로의 이동은 비용 추가나 처리량에 영향을 주는 일 없이 청결성 및 잔류물 제거에 대한 더욱 높은 요건을 충족시키도록 이끄는 포토레지스트 스트리핑을 필요로 한다.
인터커넥트를 절연시키기 위해 저-k 유전재를 사용함으로써, 더욱 작은 형태의 인터커넥트 구조물이 더욱 빠르게 회로에 통합될 수 있다. 다공성 저-k 유전재는 이들 저-k 유전재의 특정한 부류이다. 다공성 저-k 유전재에서의 선 및 바이어스를 에칭하는 경우, 실란올기는 선 및 바이어스 내에서 표면 상에 형성하는 경향이 있다. 또한, 실란올기는 선 및 바이어스와 인접하는 다공성 저-k 유전재의 공극에 형성하는 경향이 있다.
저-k 유전성 무기 및 하이브리드 재료의 경우, 전형적인 크리닝 제제에서 시도되는 이들 재료의 크리닝은 에칭 잔류물의 용해 또는 유전체의 약한 에칭을 통해잔류물을 배출시키도록 고안된다. 그러나, 저-k 유전재를 사용하면, 다공성으로 인해 증가된 표면적이 이들 크리닝 제제에 대한 민감성을 크게 증가시켜 에칭 잔류물에 대한 제제의 선택성을 감소시킨다. 또한, 애슁(ashing)과 같은 전형적인 드라이 크리닝법은, 애슁 프라즈마가 하이브리드 재료의 유기 함량에 영향을 줌으로써 유전 상수를 증가시키는 경향을 갖기 때문에 허용될 수 없는 결점을 가진다.
현재, 사용되고 있는 2개의 기본적인 시스템, 즉 습식 및 건식 시스템이 존재한다. 건식 시스템은 스트리핑에 전형적으로 사용되며, 습식 시스템은 크리닝에 통상 사용된다. 습식 시스템은 산, 염기 또는 용매를 사용하며, 잔류물 제거를 위한 여러 처리 단계를 필요로 한다. 건식 시스템은 유기 포토레지스트 재료로 처리할 경우 바람직한 선택안이다. 건식 스트리핑 시스템이 이용되는 경우일지라도, 스트리핑-후 습식 처리는 건식 시스템에서 남게 되는 무기 잔류물을 제거하는 것이 여전히 요구된다.
반도체 제작시, 저-k 유전재 층은 하나 이상의 에칭 및 애슁 단계에서 포토레지스트 마스크를 사용하여 패턴화시키는 것이 일반적이다. 이들 필름은 에칭 후 또는 그의 물성으로 인해 표면 상에 다수의 실란올 작용기를 갖는 경향이 있으며, 다공성 성질로 인해 크리닝 과정에서 크리닝 제제에 대해 재료가 넓은 표면적으로 존재한다. 이는 종종 저-k 유전재 막을 파괴한다는 관점에서 다수의 크리닝 제제에 의한 저-k 유전재 막의 실질적 에칭의 문제를 초래한다.
이들 실란올기, 선 및 바이어스에서의 에칭 및 포토레지스트 잔류물, 및 저-k 유전재의 노출 표면으로부터의 벌크 포토레지스트를 제거하기 위해, 크리닝 공정은 이후의 선 및 바이어스의 에칭이 수행된다. 이 크리닝 공정에서, 약한 에칭제를 사용하여 단층의 저-k 유전재를 제거하여 에칭 잔류물, 포토레지스트 및 벌크 포토레지스트를 배출시키는 것이 전형적이다. 이 크리닝 공정은 다공성 저-k 유전재의 허용될 수 없이 높은 에칭 속도를 유발하는 것으로 밝혀졌다. 다공성 저-k 유전재가 약한 에칭제에 노출되는 경우에도 사실이다. 실란올기가 존재하는 경우, 단층의 저-k 유전재 보다 훨씬 많은 부분이 약한 에칭제에 의해 제거되는 것을 밝혀졌다.
현재의 높은 사용량의 이식(implant) 크리닝은 문제점을 가진다. 사용시, 레지스트가 심하게 이식되고, 레지스트의 상부로부터 수소가 나오고, 극단적으로 탄화된 층이 생성된다. 이 탄화된 층은 제거하기 힘들고 쉽게 에칭되지 않는다. 또한, 휘발성 성분을 갖는 벌크 레지스트가 아래에 여전히 존재한다.
통상의 스트리핑이 사용되는 경우라도, 더욱 느린 속도로 크리닝하는 동안 압력 축적으로 인해 튀어오르거나 부풀어오르는 현상이 발생한다. 이는 챔버를 오염시킬 뿐 아니라 이들 탄화 덩어리가 또한 웨이퍼 표면의 노출된 면적과 결합한다. 또한, 표준 고온 산소계 플라즈마는 저-k 유전재 크리닝에 적합하지 않다. 이러한 고온 및 고-산소 환경은 산화하고 필름 무결점 및 저-k 유전재 특성을 열화시킨다.
크리닝 이전에 에칭에 이어 다공성 저-k 유전재에서 실란올기의 존재를 감소시키는 다공성 저-k 유전재의 처리 방법이 요구된다. 저-k 재료를 에칭시키거나 변형하지 않으면서 표면을 효율적으로 세척하기에 충분히 공격적인 크리닝 방법을확보하는 것이 관건이다.
발명의 요약
더욱 미세한 구조 및 더욱 높은 종횡비를 갖는 오늘날의 마이크로전자 소자는 신규한 저-k 재료를 요구한다. 결정적인 종횡비 및 수축 크기에 의한 요건을 충족시키는 포토레지스트 스트리핑 기법에 대한 요구가 존재한다. 저-k 유전재는 제조 방법이 전례없는 수준의 청결함을 요구하는 경우에서의 필름이다. 저-k 유전재는 바이어스 및 선 모두가 유전성 층으로 에칭되는 0.25㎛ 구조(이는 잔류물을 가둘 수 있다)에서 발견되는 전형적인 특징과 상이하다. 또한, 현재의 포토레지스트는 더욱 처리 곤란한 잔류물을 생성한다. 본 발명은 한편으로는 바이어스 및 선을 크리닝하고 다른 한편으로는 유전막을 보호하는 수단을 제공한다.
본 발명은 노출된 저-k 재료의 크리닝, 즉 스트리핑시 가장 큰 어려움을 처리한다. 스트리핑은 중합체가 저-k 및 유기 레지스트에 사용된다는 점으로 인해 제한된다. 저-k 유전재에 영향을 주지 않으면서 저-k 유전재로부터 레지스트 또는 잔류물을 세척하는 것은 복잡하다. 통상적으로, 저-k 유전재 상에 견고한 마스크를 위치시켜 에칭 스탑을 제공한다. 견고한 마스크는 또한 CMP 스탑으로서 사용될 수 있다. 에칭시, 대부분의 벌크 레지스트가 제거된다. 그러나, 상당량의 잔류물 및 중합체는 트렌치 및 바이어스의 측벽에 남는 것이 전형적이다. 본 발명은 이들 잔류물 및 중합체의 제거와 관련된 문제점을 처리하지만 저-k 유전재를 에칭시켜 없애지는 않는다.
표준 250°F 산소계 플라즈마는 저-k 유전재 크리닝에 적합하지 않다. 고-산소 환경은 산화하고 필름 무결점 및 저-k 유전재 특성을 열화시킨다. 본 발명은 측벽을 세척하기 위한 추가적인 물리적 크리닝이 없으며 서로 마주하는 중합체에 선택적인 화학적 크리닝을 제공한다. 또한, 본 발명은 크리닝 공정 과정에서 더욱 낮은 온도를 이용함으로써 현재의 크리닝 공정 상의 결점을 해소한다.
본 발명의 바람직한 실시양태는 초임계 이산화탄소(SCCO2)와 함께 사용하기 위한 것이다. 본 발명의 다른 실시양태에서는, 건식 화학적 이온-고갈된 다운스트림 마이크로웨이브 플라즈마 접근법이 이용된다. 본 발명의 또다른 실시양태에서, 습식 화학적 공정이 본 발명의 방법과 함께 이용되어 고 선택성 및 최소한의 저-k 유전재 손상을 달성한다.
본 발명은 스트리퍼(stripper) 또는 잔류물 제거기가 저-k 유전재를 확실히 공격하거나 열화시키지 않는다는 1차적인 장애 요인을 제거한다. 또한, 이의 에칭으로 인한 두께의 감소 또는 개구부의 확대가 최소화된다. 또한, 필름의 k-값은 본 발명의 사용을 통해 유지되거나 감소한다.
본 발명은 유전막의 크리닝(cleaning) 기술분야에 관한 것이다. 더욱 구체적으로는, 본 발명은 크리닝 과정에서의 손상을 저감시키기 위한 저-k 유전재 필름을 처리하는 시스템, 장치 및 방법에 관한 것이다.
도 1A 및 1B는, 본 발명에 따라 초임계 이산화탄소 및 규소계 패시베이팅제를 포함하는 초임계 용액을 사용하고(즉, 패시베이팅 처리 단계) 이후 크리닝액 처리 단계를 거치는 에칭-후 잔류물의 제거 이전 및 이후의 저-k 유전재에 대한 간략한 개략도이다.
도 2는 본 발명의 실시양태에 따른 초임계 웨이퍼 가공 장치의 간략한 개략도이다.
도 3은 본 발명의 실시양태에 따른 초임계 처리 장치의 세부적인 개략도이다.
도 4는 본 발명의 실시양태에 따라 산화규소계 저-k 유전재 층을 처리하는 단계를 개요한 개략적인 블록 다이어그램이다.
3.5 내지 2.5의 저 유전 상수를 나타내는 재료가 일반적으로 저-k 유전재로 지칭된다. 유전 상수가 2.5 이하인 다공성 재료는 초저-k(ULK) 유전재로 일반적으로 지칭된다. 이 용도를 위해, 저-k 유전재는 저-k 유전재 및 초저-k 유전재 모두를 지칭한다. 저-k 유전재는 통상 다공성 산화물계 재료이며 유기 또는 탄화수소 성분을 포함할 수 있다. 저-k 유전재의 예로는 탄소-도핑된 산화물(COD), 스핀-온-글라스(spin-on-glass)(SOG) 및 불화규소 유리(FSG) 재료를 들 수 있지만 이에 한정되지 않는다. 이들 다공성 저-k 유전재 막은 전형적으로 탄소 및 수소를 함유하고, 스핀-온 또는 CVD와 같은 방법에 의해 침착된다. 이들 막은 크리닝 제제로부터의 손상에 대해 필름 저항물을 생성하고 SiOx 염기 또는 SiOx-CxHy 염기의 무기 매트릭스를 전형적으로 갖도록 하는 방식으로 처리된다.
본 발명의 방법에 따르면, 패턴화된 저-k 유전재 층은 저-k 유전재의 연속 층을 침착시키고, 사진석판 공정을 사용하여 저-k 유전재에 패턴을 에칭시키고, 초임계 이산화탄소 및 규소계 패시베이팅제를 포함하는 초임계 용액을 이용하여 에칭-후 잔류물을 제거(즉, 패시베이팅 처리 단계)함으로써 형성되며, 후속적으로 크리닝액 처리 단계가 이어진다.
본 발명은 초임계 실릴화제와 실란올 작용기를 반응시킴으로써 에칭을 감소시키거나 제거함으로써 크리닝 제제에서의 저-k 유전재 막의 에칭 속도를 감소시키도록 작용한다. 본 발명의 방법은 바람직하게는 저-k 유전재의 표면 및/또는 벌크 상에 실란올기를 말단캡핑시킴으로써 패턴화된 저-k 유전재 층을 패시베이팅하여 더욱 소수성이고, 오염에 대해 더욱 저항성이 있고/있으며 덜 반응성인 패턴화된 저-k 유전재를 생성한다. 이러한 패시베이팅 후, 본 발명의 방법은 바람직하게는 최소한으로 에칭시키면서 크리닝액으로 세척한다. 본 발명의 실시양태에 따르면, 패시베이팅 처리 단계는 초임계 에칭-후 크리닝 공정과 별도로 수행되거나, 다르게는 초임계 에칭-후 크리닝 공정과 동시에 수행된다. 또한, 본 발명의 실시양태에 따르면, 크리닝액 처리 단계는 패시베이팅 처리 단계 후에 수행된다. 본 발명의 실시양태에 따르면, 초임계 실릴화제는 초임계 이산화탄소 및 실릴화제로서 바람직한 소정량의 패시베이팅제를 포함한다. 실릴화제는 바람직하게는 실레인 구조(R1);(R2);(R3)SiNH(R4)를 포함하며, 여기서 R1, R2, R3은 동일하거나 독립적으로 H, 알킬, 아릴, 프로필, 페닐 및/또는 그의 유도체 뿐만 아니라 할로젠(Cl, Br, F, I)으로 이루어진 군으로부터 선택될 수 있다. R4는 H, 알킬, 아릴, 프로필, 페닐 및 이들의 유도체로 이루어진 군으로부터 독립적으로 선택되는 것에 부가하여(SiR1;R2;R3)일 수 있다. 다른 실시양태에서, 실릴화제는 4가 유기규소 화합물을 포함하며, 상기 규소원자는 피라미드 구조로 1, 2, 3 및 4 위치에서 4개의 리간드와 배위결합되어 있다. 다른 실시양태에서, 실릴화제는 실라잔 구조를 포함하며, 이는 아민의 질소에 배위결합된 2개의 유기실릴 기를 갖는 아민 구조로서 기술될 수 있다.
실릴화제는 스스로 또는 담체 용매, 예컨대 N-다이메틸아세트아마이드(DMAC), 감마-뷰티롤아세톤(BLO), 다이메틸 설폭사이드(DMSO), 에틸렌 카보네이트(EC), N-메틸피롤리돈(NMP), 다이메틸피페리돈, 프로필렌 카보네이트, 알콜 또는 이들의 조합을 사용하여 초임계 이산화탄소(SCCO2)에 도입되어 초임계 실릴화제를 생성할 수 있다. 바람직하게는, SCCO2는 실릴화제를 위한 담체 유체로서 사용된다. 담체 유체로서 SCCO2를 사용함으로써, 실릴화제가 필름 전반에 걸쳐 용이하고 신속하게 이동될 수 있어 전체 필름과의 완벽하고 신속한 반응을 보장할 수 있다.
임의의 개수의 실릴화제 및 실릴화제의 조합을 함유하는 초임계 패시베이팅 용액은 본 발명의 범위내에 있음이 당해 분야의 숙련자에게 자명할 것이다.
열역학적 조건은 처리 온도가 25 내지 200℃이고, 압력이 700 내지 9000 psi로 가변적이다. 초임계 CO2가 바람직하지만, 특정 환경하에서는 액상 CO2가 사용될 수 있다. 바람직하게는, 실릴화제는 헥사메틸다이실라잔을 포함한다. 다르게는, 실릴화제는 오가노클로로실레인을 포함한다. 또 다르게는, 실릴화제는 가수분해된알콕시실레인을 포함한다. 전형적인 공정 시간은 15초 내지 10분이다.
도 1A 및 1B는, 초임계 이산화탄소 및 규소계 패시베이팅제를 포함하는 초임계 용액을 사용하여 에칭-후 잔류물을 제거한 다음(즉, 패시베이팅 처리 단계) 크리닝액 처리 단계를 수행하기 이전 및 이후의 저-k 유전재에 대한 간략한 개략도이다. 도 1A의 패턴화된 저-k 유전재(100)는 에칭-후 잔류물의 제거 이전의 패턴화된 저-k 유전재(100)를 도시한 것이며, 도 1B는 에칭-후 잔류물의 제거 이후의 저-k 유전재(100)를 도시한 것이다. 특히, 레지스트(110) 및 측벽 중합체 잔류물(120)이 초임계 이산화탄소 크리닝 및 크리닝액 처리 단계 이전의 도 1A에서의 저-k 유전재 구조(130) 상에 나타날 수 있다. 도 1B는 고-선택성 크리닝 이후의 동일한 저-k 유전재 구조(130)를 도시한 것으로, 잘려나간 아래부분이 없고 잔류물이 제거된 것을 보여준다.
도 2는 초임계 처리 장치(200)의 간략한 개략도를 도시한 것이다. 장치(200)는 공급원 밸브(223)를 통해 주입 라인(226)에 연결된 이산화탄소 공급원(221)을 포함하는데, 상기 밸브(223)는 개방 및 폐쇄되어 이산화탄소 공급원(221)으로부터 주입 라인(226)으로 이산화탄소의 유동을 시작 및 중단시킬 수 있다. 주입 라인(226)에는 바람직하게는 초임계 이산화탄소의 스트림을 생성하고/하거나 유지하기 위해 박스(220)로 개략적으로 도시되어 있는 하나 이상의 역류 밸브, 펌프 및 히터가 구비되어 있다. 또한, 주입 라인(226)은 바람직하게는 개방 및 폐쇄되어 가공 챔버(201)내로 유동하도록 하거나 이를 방지하는 구조로 된 주입 밸브(225)를 가진다.
도 2를 참조하면, 가공 챔버(201)는 바람직하게는 가공 챔버(201)를 배기시키고/시키거나 가공 챔버(201)내의 압력을 조절하는 하나 이상의 압력 밸브(209)가 구비되어 있다. 또한, 본 발명의 실시양태에 따르면, 가공 챔버(201)는 가공 챔버(201)에 압력을 가하고/하거나 제거하는 펌프 및/또는 진공(211)과 연결된다.
다시, 도 2를 참조하면, 장치(200)의 가공 챔버(201) 내에는, 바람직하게는 웨이퍼 구조물(213)을 고정시키고/시키거나 지지하는 척(233)이 존재한다. 본 발명의 추가의 실시양태에 따르면, 척(233) 및/또는 가공 챔버(201)는 웨이퍼 구조물(213)의 온도 및/또는 가공 챔버(201)내의 초임계 처리 용액의 온도를 조절하는 히터(231)를 하나 이상 가진다.
장치(200)는 또한 바람직하게는 가공 챔버(201)와 연결된 순환 루프(203)를 가진다. 순환 루프(203)에는 순환 루프(203) 및 가공 챔버(201)를 통해 초임계 처리 용액의 유동을 조절하는 하나 이상의 밸브(215, 215')가 구비된다. 순환 루프(203)에는 또한 바람직하게는 초임계 처리 용액을 유지하고, 순환 루프(203) 및 가공 챔버(201)를 통해 초임계 처리 용액이 유동하도록 하는, 박스(205)로 도시되어 있는 임의 개수의 역류 밸브, 펌프 및/또는 히터가 구비된다. 본 발명의 바람직한 실시양태에 따르면, 순환 루프(203)는 동일 반응계에서 초임계 처리 용액을 생성하는 순환 루프(203)로 패시베이팅제 및 용매와 같은 화학물질을 도입시키기 위한 주입 포트(207)를 가진다.
도 3은 도 2보다 더욱 상세하게 초임계 처리 장치(76)를 도시하고 있다. 초임계 처리 장치(76)는 초임계 크리닝, 헹굼 및 경화 용액을 생성하고, 이를 이용하여 웨이퍼를 처리하는 구조로 이루어져 있다. 초임계 처리 장치(76)는 이산화탄소 공급 용기(332), 이산화탄소 펌프(334), 가공 챔버(336), 화학물질 공급 용기(338), 순환 펌프(340) 및 배기 가스 수집 용기(344)를 포함한다. 이산화탄소 공급 용기(332)는 이산화탄소 펌프(334) 및 이산화탄소 파이프(346)를 거쳐 가공 챔버(336)와 연결된다. 이산화탄소 파이프(346)는 이산화탄소 펌프(334)와 가공 챔버(336) 사이에 위치하는 이산화탄소 히터(348)를 포함한다. 가공 챔버(336)는 가공 챔버 히터(350)를 포함한다. 순환 펌프(340)는 순환 라인(352) 상에 위치하며, 이는 순환 입구(354) 및 순환 출구(356)에서 가공 챔버(336)와 연결되어 있다. 화학물질 공급 용기(338)는 제 1 주입 펌프(359)를 포함하는 화학물질 공급 라인(358)을 거쳐 순환 라인(352)에 연결되어 있다. 헹굼 제제 공급 용기(360)는 제 2 주입 펌프(363)를 포함하는 헹굼액 공급 라인(362)을 거쳐 순환 라인(352)에 연결되어 있다. 배기 가스 수집 용기(344)는 배기 가스 파이프(364)를 거쳐 가공 챔버(336)와 연결되어 있다.
이산화탄소 공급 용기(332), 이산화탄소 펌프(334) 및 이산화탄소 히터(348)는 이산화탄소 공급 장치(349)를 형성한다. 화학물질 공급 용기(338), 제 1 주입 펌프(359), 헹굼 제제 공급 용기(360) 및 제 2 주입 펌프(363)는 화학물질 및 헹굼 제제 공급 장치(365)를 형성한다.
초임계 처리 장치(76)가 초임계 유체 가공 시스템에 전형적인 밸브, 제어 전기장치, 여과기 및 설비 연결기를 포함한다는 것은 당해 분야의 숙련자에게 쉽게 자명할 것이다.
도 3을 참조하면, 작동시 상부에 잔류물을 갖는 웨이퍼(도시되지 않음)는 챔버(336)의 웨이퍼 공동(312)내로 삽입되고, 가공 챔버(336)는 봉입된다. 가공 챔버(336)는 이산화탄소 공급 용기(332)로부터의 이산화탄소를 사용하여 이산화탄소 펌프(334)에 의해 가압되며, 가공 챔버(336)가 가공 챔버 히터(350)에 의해 가열되어 가공 챔버(336) 내의 이산화탄소의 온도가 확실하게 임계 온도 이상이 되게 하면서 이산화탄소는 이산화탄소 히터(348)에 의해 가열된다. 이산화탄소에 대한 임계 온도는 31℃이다. 바람직하게는, 가공 챔버(336)내 이산화탄소의 온도는 초임계 패시베이팅 단계에서 25℃ 내지 약 200℃ 범위내이고, 바람직하게는 70℃ 또는 70℃ 근처이다.
초기 초임계 조건에 도달시, 제 1 주입 펌프(359)는, 이산화탄소 펌프가 초임계 이산화탄소를 추가로 가압시키면서, 순환 라인(352)을 거쳐 화학물질 공급 용기(338)로부터 가공 챔버(336)내로 가공 화학물질, 예컨대 실릴화제를 펌핑시킨다. 가공 화학물질의 가공 챔버(336)로의 첨가를 시작할 때에, 가공 챔버(336)내 압력은 바람직하게는 약 700 내지 9,000 psi 범위이고, 가장 바람직하게는 3,000 psi 또는 그 근처이다. 요구되는 양의 가공 화학물질이 가공 챔버(336)내로 펌핑되고, 요구되는 초임계 조건에 도달되는 경우, 이산화탄소 펌프(334)는 가공 챔버(336)를 가압시키는 것을 중단하고, 제 1 주입 펌프(359)는 가공 화학물질을 가공 챔버(336)내로 펌핑시키는 것을 중단하고, 순환 펌프(340)는 초임계 이산화탄소 및 크리닝액을 순환시키기 시작한다. 최종적으로, 순환 펌프(340)는 초임계 이산화탄소 및 가공 화학물질을 포함하는 초임계 크리닝액을 순환시키기 시작한다. 바람직하게는, 이 시점에서의 가공 챔버(336) 내의 압력은 약 3000 psi이다. 초임계 크리닝액 및 초임계 처리 용액을 순환시킴으로써, 초임계 용매 및 용액이 웨이퍼의 표면에 신속하에 보급되고, 이에 의해 웨이퍼 상의 저-k 유전재 층 표면의 패시베이팅 및 크리닝 속도를 향상시킨다.
저-k 유전재 층을 갖는 웨이퍼(도시되지 않음)가 가공 챔버(336) 내에서 가공되는 경우, 웨이퍼는 기계적 척, 진공 척 또는 다른 적합한 고정 또는 잠금 수단을 이용하여 고정된다. 본 발명의 실시양태에 따르면, 웨이퍼는 가공 챔버(336) 내에 고정되어 있거나, 또는 초임계 처리 단계 과정에서 회전하거나, 공전하거나 교란된다.
초임계 처리 용액이 순환 라인(352) 및 가공 챔버(336)를 통해 순환된 후, 가공 챔버(336)는 초임계 처리 용액 중 일부를 배기 가스 수집 용기(344)로 배기시킴으로써 부분적으로 탈압하여 초기 초임계 조건 근처로 가공 챔버(336)내 조건을 되돌린다. 바람직하게는, 가공 챔버(336)는, 초임계 처리 용액이 가공 챔버(336)를 수집 용기(344) 내로의 배기물로 완전 배기시키기 전 한 주기 이상의 이러한 감압 및 압축 주기를 통해 순환된다. 압력 챔버(336)를 배기시킨 후, 제 2 초임계 처리 단계가 수행되거나 웨이퍼가 가공 챔버(336)로부터 제거되고, 웨이퍼 가공은 제 2 가공 장치 또는 모듈(도시되지 않음)에서 지속된다.
도 4는 초임계 크리닝 및 패시베이팅 용액을 이용하여 패턴화된 저-k 유전재 층 및 그 위의 에칭-후 또는 애슁-후 잔류물을 포함하는 기판 구조물을 처리하는 단계를 개략적으로 나타낸 블록 다이어그램(400)이다. 단계(402)에서, 에칭-후 잔류물을 포함하는 기판 구조물이 가공 챔버내에 위치하여 봉입된다. 기판 구조물이 단계(402)에서 가공 챔버 내에 위치하여 봉입된 후, 단계(404)에서 가공 챔버는 초임계 CO2로 가압되고, 가공 화학물질이 초임계 CO2에 첨가되어 초임계 크리닝 및 패시베이팅 용액을 생성한다. 바람직하게는, 크리닝 및 패시베이팅 화학물질은 1종 이상의 유기규소 화합물을 포함한다.
초임계 크리닝 및 패시베이팅 용액이 단계(404)에서 생성된 후, 단계(406)에서 기판 구조물은 기판 구조물로부터 적어도 일부의 잔류물을 제거하고 잔류물이 제거된 후 노출된 표면을 패시베이팅하기에 충분한 시간 동안 초임계 처리 용액 중에 유지된다. 단계(406) 과정에서, 초임계 크리닝 및 패시베이팅 용액은 바람직하게는 가공 챔버를 통해 순환되고/되거나 또는 교란되어 기판 구조물의 표면 상에서 초임계 크리닝 용액을 이동시킨다. 이 크리닝 단계는 또한 패시베이팅 후, 이전 또는 도중에 수행될 수 있다.
도 4를 참조하면, 잔류물 중 적어도 일부는 단계(406)에서 기판 구조물로부터 제거된 후, 단계(408)에서, 초임계 크리닝 용액 가공 단계가 이루어져 초임계 크리닝 용액이 바람직하게는 가공 챔버를 통해 순환하고/하거나 또는 교란되어 기판 구조물의 표면 상에서 초임계 용매를 이동시킨다. 초임계 크리닝 용액 가공 단계(408) 이후, 가공 챔버는 단계(401)에서 일부 배기된다. 단계(404, 406, 408)를 포함하는 크리닝 공정은 단계(410, 404)를 연결시키는 화살표로 나타낸 바와 같이 수차례 반복되며, 이때 기판 구조물로부터 잔류물을 제거하고 노출된 표면을 패시베이팅시키는 것이 요구된다. 단계(404, 406, 408)를 포함하는 처리는 본 발명에 따른 실시양태에 따라 새로운 초임계 이산화탄소, 새로운 화학물질 또는 둘 모두를 사용한다. 다르게는, 크리닝 화학물질의 농도는 초임계 이산화탄소를 갖는 가공 챔버를 희석함으로써, 크리닝 화학물질의 추가적인 충전을 부가함으로써, 또는 이들을 조합함으로써 변경된다.
도 4를 참조하면, 처리 단계(404, 406, 408, 410)가 완결된 후, 단계(412)에서, 기판 구조물은 바람직하게는 초임계 헹굼 용액으로 처리된다. 초임계 헹굼 용액은 바람직하게는 초임계 CO2및 1종 이상의 유기 용매를 포함하지만, 순수한 초임계 CO2일 수도 있다.
도 4를 참조하면, 기판 구조물이 단계(404, 406, 408, 410)에서 크리닝되고, 단계(412)에서 헹궈진 후, 단계(414)에서 가공 챔버는 감압되고 기판 구조물이 기판 구조물로부터 제거된다. 다르게는, 기판 구조물은 단계(412, 404)를 연결하는 화살표로 나타낸 바와 같이 단계(404, 406, 408 및 412)를 포함하는 하나 이상의 추가적인 크리닝/헹굼 공정을 통해 순환된다. 하나 이상의 크리닝/헹굼 주기를 통해 기판 구조물을 순환시키는 것과 다르게 또는 그에 덧붙여, 기판 구조물은 단계(414)에서 챔버로부터 기판 구조물을 제거하기 전 단계(412, 410)를 연결하는 화살표로 나타내는 바와 같이 여러 차례의 헹굼 주기로 처리된다.
전술한 바와 같이, 기판 구조물은 초임계 이산화탄소 및 메탄올, 에탄올 및/또는 이들의 조합과 같은 1종 이상의 용매를 포함하는 초임계 용액을 사용함으로써그 위의 저-k 유전재 층을 패시베이팅하기 전 건조되고/되거나 전처리될 수 있다. 또한, 전술한 바와 같이, 공용매와 함께 또는 이를 사용하지 않으면서 초임계 이산화탄소를 포함하는 초임계 용액으로 저-k 유전재 층을 전처리하면 저-k 유전재 층의 표면 상의 실릴기의 범위를 개선시키는 것으로 보여진다. 또한, 에칭-후 잔류물 및/또는 패턴화된 저-k 유전재 층을 포함하는 웨이퍼는 임의의 개수의 크리닝 및 패시베이팅 단계 및/또는 순서로 처리될 수 있음은 당해 분야의 숙련자에게 자명할 것이다.
저-유전재를 패시베이팅시키는 방법이 에칭-후 처리 및/또는 에칭-후 크리닝 처리와 관련하여 본원에 이전에 기재되어 있지만, 본 발명의 방법은 저-k 유전재를 직접 패시베이팅하는데 사용될 수 있음을 당해 분야의 숙련자는 이해할 것이다. 또한, 저-k 유전재의 처리시 본 발명의 방법에 따르면, 초임계 헹굼 단계는 항상 필수적인 것은 아니며, 저-k 유전재를 초임계 패시베이팅 용액으로 처리하기 전 간단히 저-k 유전재를 건조시키는 것이 일부 경우 적합할 것임이 이해될 것이다.

Claims (41)

  1. (a) 저-k 유전재 표면을 초임계 실릴화제로 처리하여 패시베이팅된 저-k 유전재 표면을 형성하고,
    (b) 상기 저-k 유전재 표면을 초임계 실릴화제로 처리한 후, 초임계 실릴화제를 제거하고,
    (c) 상기 패시베이팅된 저-k 유전재 표면을 초임계 용매로 처리하고,
    (d) 상기 패시베이팅된 저-k 유전재 표면을 초임계 용매로 처리한 후, 초임계 용매를 제거하는 것을 포함하고,
    상기 패시베이팅된 저-k 유전재 표면이 초임계 실릴화제 및 초임계 용매에 의해 적어도 부분적으로 패시베이팅되는, 저-k 유전재 표면의 처리 방법.
  2. 제 1 항에 있어서,
    상기 초임계 실릴화제가 초임계 CO2, 및 유기기를 포함하는 소정량의 실릴화제를 포함하는, 저-k 유전재 표면의 처리 방법.
  3. 제 2 항에 있어서,
    상기 유기기가 5개 이하의 탄소원자를 포함하는, 저-k 유전재 표면의 처리 방법.
  4. 제 1 항에 있어서,
    상기 초임계 용매가 초임계 CO2및 산과 불화물의 혼합물을 포함하는, 저-k 유전재 표면의 처리 방법.
  5. 제 4 항에 있어서,
    상기 산이 유기 산을 포함하는, 저-k 유전재 표면의 처리 방법.
  6. 제 4 항에 있어서,
    상기 산이 무기 산을 포함하는, 저-k 유전재 표면의 처리 방법.
  7. 제 1 항에 있어서,
    상기 초임계 실릴화제가 (R1);(R2);(R3)SiNH(R4) 구조를 갖는 실레인인, 저-k 유전재 표면의 처리 방법.
  8. 제 1 항에 있어서,
    상기 초임계 실릴화제가 담체 용매를 추가로 포함하는, 저-k 유전재 표면의 처리 방법.
  9. 제 5 항에 있어서,
    상기 담체 용매가 N,N-다이메틸아세트아마이드(DMAC), 감마-뷰티롤아세톤(BLO), 다이메틸 설폭사이드(DMSO), 에틸렌 카보네이트(EC), N-메틸피롤리돈(NMP), 다이메틸피페리돈, 프로필렌 카보네이트 및 알콜로 이루어진 군으로부터 선택되는, 저-k 유전재 표면의 처리 방법.
  10. 제 1 항에 있어서,
    상기 저-k 유전재 표면이 25 내지 200℃ 범위의 온도에서 유지되는, 저-k 유전재 표면의 처리 방법.
  11. 제 1 항에 있어서,
    상기 저-k 유전재 표면을 초임계 실릴화제로 처리하는 것이 저-k 유전재 표면 상에 초임계 실릴화제를 순환시키는 것을 포함하는, 저-k 유전재 표면의 처리 방법.
  12. 제 1 항에 있어서,
    상기 저-k 유전재 표면을 초임계 용매로 처리하는 것이 저-유전재 표면 상에 초임계 용매를 순환시키는 것을 포함하는, 저-k 유전재 표면의 처리 방법.
  13. 제 1 항에 있어서,
    상기 초임계 실릴화제가 700 내지 9,000 psi 범위 압력으로 유지되는, 저-k 유전재 표면의 처리 방법.
  14. 제 1 항에 있어서,
    상기 저-k 유전재 표면을 초임계 용액으로 처리하기 전 저-k 유전재 표면을 건조시키는 것을 추가로 포함하는 저-k 유전재 표면의 처리 방법.
  15. 제 10 항에 있어서,
    상기 저-k 유전재 표면을 건조시키는 것이 저-k 유전재 표면을 초임계 이산화탄소를 포함하는 초임계 건조 용액으로 처리하는 것을 포함하는, 저-k 유전재 표면의 처리 방법.
  16. 제 1 항에 있어서,
    상기 저-k 유전재 표면이 산화규소를 포함하는, 저-k 유전재 표면의 처리 방법.
  17. 제 1 항에 있어서,
    상기 저-k 유전재 표면이 탄소-도핑된 산화물(COD), 스핀-온 글라스(SOG) 및 불화 규소 유리(FSG)로 이루어진 군으로부터 선택된 재료를 포함하는, 저-k 유전재 표면의 처리 방법.
  18. (a) 제 1 초임계 크리닝 용액을 사용하여 에칭-후 잔류물을 유전성 표면으로부터 제거하고,
    (b) 상기 유전성 표면을 제 2 초임계 크리닝 용액에 존재하는 실릴화제로 처리하여 패시베이팅된 유전성 표면을 형성하고,
    (c) 상기 패시베이팅된 유전성 표면을 제 3 초임계 크리닝 용액에 존재하는 용매로 처리하는 것을 포함하는 유전성 표면의 처리 방법.
  19. 제 18 항에 있어서,
    상기 잔류물이 중합체를 포함하는, 유전성 표면의 처리 방법.
  20. 제 19 항에 있어서,
    상기 중합체가 포토레지스트 중합체인, 유전성 표면의 처리 방법.
  21. 제 20 항에 있어서,
    상기 포토레지스트 중합체가 반사 방지 염료를 포함하는, 유전성 표면의 처리 방법.
  22. 제 18 항에 있어서,
    상기 유전성 표면이 산화규소를 포함하는, 유전성 표면의 처리 방법.
  23. 제 18 항에 있어서,
    상기 유전성 표면이 저-k 유전재를 포함하는, 유전성 표면의 처리 방법.
  24. 제 18 항에 있어서,
    상기 유전성 표면이 탄소-도핑된 산화물(COD), 스핀-온 글라스(SOG) 및 불화 규소 유리(FSG)로 이루어진 군으로부터 선택된 재료를 포함하는, 유전성 표면의 처리 방법.
  25. 제 18 항에 있어서,
    에칭-후 잔류물이 반사 방지 코팅을 포함하는, 유전성 표면의 처리 방법.
  26. 제 18 항에 있어서,
    상기 실릴화제가 유기규소 화합물을 포함하는, 유전성 표면의 처리 방법.
  27. 제 18 항에 있어서,
    상기 용매가 초임계 CO2, 및 산과 불화물의 혼합물을 포함하는, 유전성 표면의 처리 방법.
  28. 제 25 항에 있어서,
    유기규소 화합물이 (R1);(R2);(R3)SiNH(R4) 구조를 갖는 실레인인, 유전성 표면의 처리 방법.
  29. (a) 저-k 유전재의 연속 층을 침착시키고,
    (b) 상기 저-k 유전재의 연속 층 상에 포토레지스트 마스크를 형성하고,
    (c) 상기 저-k 유전재의 연속 층을 포토레지스트 마스크를 통해 패턴화함으로써 에칭-후 잔류물을 형성하고,
    (d) 에칭-후 잔류물 중 일부를 초임계 이산화탄소 및 패시베이팅제를 포함하는 초임계 용액을 이용하여 제거하고,
    (e) 잔존하는 에칭-후 잔류물을 산 및 불화물 용액을 포함하는 초임계 용매를 이용하여 제거하는 것을 포함하는,
    패턴화된 저-k 유전재 층의 형성 방법.
  30. 제 28 항에 있어서,
    상기 초임계 처리 용액이 초임계 이산화탄소를 포함하는, 유전성 표면의 처리 방법.
  31. 제 28 항에 있어서,
    상기 초임계 용매가 초임계 이산화탄소를 추가로 포함하는, 유전성 표면의 처리 방법.
  32. 제 24 항에 있어서,
    상기 패시베이팅제가 규소계인, 유전성 표면의 처리 방법.
  33. 제 31 항에 있어서,
    상기 규소계 패시베이팅제가 유기규소 화합물을 포함하는, 유전성 표면의 처리 방법.
  34. (a) 유전재 층을 패턴화하여 제 1 k 값을 갖는 패턴화된 유전재 층을 형성하고,
    (b) 상기 패턴화된 유전재 층을 패시베이팅제로 패시베이팅하여 제 2 k 값을 갖는 패턴화된 감소된 저-k 유전재 층을 형성하고,
    (c) 상기 패턴화된 감소된 저-k 유전재 층을 초임계 크리닝 용매로 처리하는 것을 포함하는,
    저감된 k 값을 갖는 유전재 층의 형성 방법.
  35. 제 33 항에 있어서,
    상기 제 1 k 값이 3.0 초과인, 유전성 표면의 처리 방법.
  36. 제 33 항에 있어서,
    상기 제 2 k 값이 3.0 미만인, 유전성 표면의 처리 방법.
  37. 제 33 항에 있어서,
    제 1 k 값과 제 2 k 값이 1.0 이상 차이나는, 유전성 표면의 처리 방법.
  38. 제 33 항에 있어서,
    유전재가 산화규소 성분 및 탄화수소 성분을 포함하는, 유전성 표면의 처리 방법.
  39. 제 33 항에 있어서,
    상기 패시베이팅제가 유기기를 포함하는 실릴화제인, 유전성 표면의 처리 방법.
  40. 제 33 항에 있어서,
    초임계 크리닝 용매가 산과 불화물 용액인, 유전성 표면의 처리 방법.
  41. 제 33 항에 있어서,
    상기 초임계 크리닝 용매가 0.1 내지 15.0 부피%인, 유전성 표면의 처리 방법.
KR1020047016321A 2002-04-12 2003-04-11 세정 과정에서의 손상을 저감시키기 위한 다공질 유전체막의 처리 방법 KR100969027B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US37282202P 2002-04-12 2002-04-12
US60/372,822 2002-04-12
PCT/US2003/011012 WO2003087936A1 (en) 2002-04-12 2003-04-11 Method of treatment of porous dielectric films to reduce damage during cleaning

Publications (2)

Publication Number Publication Date
KR20040111507A true KR20040111507A (ko) 2004-12-31
KR100969027B1 KR100969027B1 (ko) 2010-07-09

Family

ID=29250913

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047016321A KR100969027B1 (ko) 2002-04-12 2003-04-11 세정 과정에서의 손상을 저감시키기 위한 다공질 유전체막의 처리 방법

Country Status (7)

Country Link
EP (1) EP1495366A1 (ko)
JP (1) JP4424998B2 (ko)
KR (1) KR100969027B1 (ko)
CN (2) CN100335969C (ko)
AU (1) AU2003226048A1 (ko)
TW (1) TWI272693B (ko)
WO (1) WO2003087936A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100335969C (zh) * 2002-04-12 2007-09-05 东京毅力科创株式会社 减少多孔介电薄膜清洗期间损伤的处理方法
US20050158664A1 (en) * 2004-01-20 2005-07-21 Joshua Tseng Method of integrating post-etching cleaning process with deposition for semiconductor device
JP4630077B2 (ja) 2005-01-27 2011-02-09 日本電信電話株式会社 レジストパターン形成方法
JP4555698B2 (ja) * 2005-01-27 2010-10-06 日本電信電話株式会社 レジストパターン形成方法
US7008853B1 (en) * 2005-02-25 2006-03-07 Infineon Technologies, Ag Method and system for fabricating free-standing nanostructures
SG161280A1 (en) * 2005-04-15 2010-05-27 Advanced Tech Materials Removal of high-dose ion-implanted photoresist using self assembled monolayers in solvent systems
JP5247999B2 (ja) * 2005-09-29 2013-07-24 東京エレクトロン株式会社 基板処理方法およびコンピュータ読取可能な記憶媒体
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
JP5173396B2 (ja) * 2007-12-25 2013-04-03 大陽日酸株式会社 絶縁膜のダメージ回復処理方法
JP6151484B2 (ja) 2012-06-11 2017-06-21 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
KR20200015279A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 나노결정질 그래핀의 형성방법 및 이를 포함하는 소자

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
GB2262465A (en) * 1991-12-16 1993-06-23 Secr Defence Casting of aluminium-lithium alloys
US5479727A (en) * 1994-10-25 1996-01-02 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
CN1216415C (zh) * 2000-04-25 2005-08-24 东京毅力科创株式会社 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具
US6673521B2 (en) * 2000-12-12 2004-01-06 Lnternational Business Machines Corporation Supercritical fluid(SCF) silylation process
CN100335969C (zh) * 2002-04-12 2007-09-05 东京毅力科创株式会社 减少多孔介电薄膜清洗期间损伤的处理方法

Also Published As

Publication number Publication date
KR100969027B1 (ko) 2010-07-09
WO2003087936A1 (en) 2003-10-23
CN1646990A (zh) 2005-07-27
CN101005024B (zh) 2011-06-08
TW200308051A (en) 2003-12-16
EP1495366A1 (en) 2005-01-12
JP2005522737A (ja) 2005-07-28
TWI272693B (en) 2007-02-01
JP4424998B2 (ja) 2010-03-03
CN100335969C (zh) 2007-09-05
AU2003226048A1 (en) 2003-10-27
CN101005024A (zh) 2007-07-25

Similar Documents

Publication Publication Date Title
US7169540B2 (en) Method of treatment of porous dielectric films to reduce damage during cleaning
US7270941B2 (en) Method of passivating of low dielectric materials in wafer processing
US7553769B2 (en) Method for treating a dielectric film
US7585777B1 (en) Photoresist strip method for low-k dielectrics
US7387868B2 (en) Treatment of a dielectric layer using supercritical CO2
JP3771496B2 (ja) 超臨界二酸化炭素法を用いた基板からフォトレジストおよび残渣の除去
US6680164B2 (en) Solvent free photoresist strip and residue removal processing for post etching of low-k films
US20050227187A1 (en) Ionic fluid in supercritical fluid for semiconductor processing
KR100969027B1 (ko) 세정 과정에서의 손상을 저감시키기 위한 다공질 유전체막의 처리 방법
KR100505693B1 (ko) 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
US20050158667A1 (en) Solvent free photoresist strip and residue removal processing for post etching of low-k films
US8017568B2 (en) Cleaning residues from semiconductor structures
US20070000519A1 (en) Removal of residues for low-k dielectric materials in wafer processing
TW500985B (en) Removal of photoresist and residue from substrate using supercritical carbon dioxide process
TW200305213A (en) Method of passivating of low dielectric materials in wafer processing
US7399708B2 (en) Method of treating a composite spin-on glass/anti-reflective material prior to cleaning

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee