TWI272693B - Method of treatment of porous dielectric films to reduce damage during cleaning - Google Patents

Method of treatment of porous dielectric films to reduce damage during cleaning Download PDF

Info

Publication number
TWI272693B
TWI272693B TW092108563A TW92108563A TWI272693B TW I272693 B TWI272693 B TW I272693B TW 092108563 A TW092108563 A TW 092108563A TW 92108563 A TW92108563 A TW 92108563A TW I272693 B TWI272693 B TW I272693B
Authority
TW
Taiwan
Prior art keywords
supercritical
low
dielectric material
dielectric
treating
Prior art date
Application number
TW092108563A
Other languages
Chinese (zh)
Other versions
TW200308051A (en
Inventor
Paul E Schilling
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200308051A publication Critical patent/TW200308051A/en
Application granted granted Critical
Publication of TWI272693B publication Critical patent/TWI272693B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0075Cleaning of glass
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

A device, method, and system for treating low-k dielectric material films to reduce damage during microelectronic component cleaning processes is disclosed. The current invention cleans porous low-k dielectric material films in a highly selectivity with minimal dielectric material damage by first treating microelectronic components to a passivating process followed by a cleaning solution process.

Description

1272693 (1) 玖、發明說明 本專利申請案係在2003年3月4日郵寄之同在申請 中之美國專利申請案序號第1 0/3 79,984號、標題爲“晶圓 處理中之低電介質材料之鈍化方法”之部份接續申請案。 此申請案主張在2002年4月12日提出之同在申請中之美 國臨時專利申請案序號第60/3 72,8 22號、且標題爲“處理 多孔電介質膜以減少清潔期間之損壞的方法,,之35 U.S.C.119(e)下之優先權。2002年4月12日提出、且標 題爲“處理多孔電介質膜以減少清潔期間之損壞的方法,,之 臨時專利申請案序號第60/372,822號,及在2003年3月 4曰郵寄、且標題爲“晶圓處理中之低電介質材料之鈍化 方法”之美國專利申請案序號第1 〇/3 79,9 84號亦據此以引 用的方式倂入本文中。 【發明所屬之技術領域】 本發明有關電介質膜之清潔領域。更特別是本發明有 關處理低k値電介質膜以減少清潔期間之損壞的系統、裝 置、及方法。 【先前技術】 半導體技術中之近來進步涉及用低k値電介質材料取 代絕緣互連部份所用之電介質材料。低k値電介質材料目 前係整合成層間電介質材料。低k値電介質材料之三種主 要分類包含:無機(以二氧化矽爲基底的材料);混合(有 (2) 1272693 機官能化之無機基質)、及有機材料。此轉變至使用低k 値電介質材料要求光阻蝕刻劑之剝除進展至滿足用於清潔 及殘渣移除之較高要求,而不會增加成本及影響生產量。 藉著使用絕緣該連線用之低k値電介質材料,可建立 較小之幾何形狀連線結構,導致更快之積體電路。多孔低 k値電介質材料係這些低k値電介質材料之一特別等級。 當在該多孔低k値電介質材料中蝕刻直線及通孔時,矽醇 基官能團傾向於形成在該直線及通孔內之表面上。該矽醇 基官能團亦傾向於形成在該多孔低k値電介質材料毗連該 直線及通孔之空隙。 於低k値電介質無機及混合材料之情況中,這些材料 之清潔呈現出一項挑戰,其中傳統之清潔配方係設計成可 經由該殘渣之溶化移除蝕刻殘渣或經由電介質之輕微蝕刻 以釋放殘渣。但,以低k値電介質材料,由於其多孔性所 該所增加之表面積大幅增加其對這些清潔配方之敏感性, 而減少對該蝕刻殘渣之配方之選擇性。諸如灰化之傳統等 離子體清洗方法亦具有不能接受之缺點,因爲該灰化之等 離子體傾向於影響該混合材料之有機含量,藉此增加該電 介常數。 目前使用二基本系統:濕式及乾式。乾式系統係典型 用於剝除,且濕式系統係通常用於清潔。濕式系統使用酸 類、鹼類或溶劑,需要數種殘渣移除用之處理步驟。當處 理有機之光阻鈾刻劑材料時,乾式系統係較佳之選擇。即 使當利用乾式剝除系統時’在剝除後之濕式處理係仍然需 -6 - (3) * 1272693 要,以移除該乾式系統所遺留之無機殘澄。 於半導體製造中,一低k値電介質材料層大致上係使 、 用一光阻蝕刻劑遮罩於一或多個蝕刻及灰化步驟中圖樣化 。在蝕刻之後或由於其物理本質,這些薄膜傾向於在其表 面上具有大重砂醇基g能性,且由於其多孔本質,於清潔 期間對一清潔配方呈現大表面積之材料。這呈現該具有很 多清潔配方之低k値電介質材料薄膜之實質蝕刻問題,通 常至破壞該低k値電介質材料薄膜之程度。 馨 爲了移除這些矽醇基官能團、該直線及通孔中之蝕刻 及光阻蝕刻劑殘澄、及來自該低k値電介質材料之一暴露 表面之本體光阻鈾刻劑,在該直線及通孔之蝕刻之後施行 一清潔製程。於此清潔製程中,一弱蝕刻劑典型係用於移 除該低k値電介質材料之單層,以便釋放該蝕刻殘渣、該 光阻蝕刻劑、及該本體光阻蝕刻劑。吾人已發現此清潔製 程導致該多孔低k値電介質材料之一不能接受之高蝕刻速 率。當該多孔低k値電介質材料係暴露至一弱鈾刻劑時, 鲁 這是更加真實的。於存在有該矽醇基官能團處,吾人已發 現藉著該弱飩刻劑移除顯著地超過該單層之低k値電介質 材料。 目前之高劑量植入物清潔具有多項問題。當利用時, 該抗触劑濃密地植入,氫氣係由該抗蝕劑頂部三分之一處 逐出’且產生一極端之碳化層。該碳化層係難以移除及不 會迅速地触刻。再者’具有易揮發成分之本體抗鈾劑仍然 存在下邊。 1272693 (4) 縱使利用正常之剝除,在此有一壓力增強,而當在較 慢之速率下清潔時導致爆裂及起泡。這不只汙染該處理室 ’亦使這些碳化厚塊與該晶圓表面之暴露區域黏合。此外 ’標準之以高溫氧爲基底的等離子體不能爲低k値電介質 材料之清潔工作。這些高溫及高氧氣環境使薄膜完整性及 低k値電介質材料之性質氧化及降級。 吾人所需者是一種在蝕刻之後及在清潔之前處理多孔 低k値電介質材料之方法,而可減少該多孔低k値電介質 材料中之矽醇基官能團之存在。其挑戰係確保該清潔方法 係足夠積極以充分清潔該表面,而不會蝕刻或改變該低k 値材料。 【發明內容】 今曰具有更細結構及更高縱橫比之微電子裝置需要新 的低k値材料。有需要光阻蝕刻劑剝除技術以滿足臨界縱 橫比及縮減尺寸所帶來之挑戰。低k値電介質材料係一種 需要空前清潔程度之製程之薄膜。該低k値電介質材料不 同於在通孔及直線兩者皆蝕刻進入該電介質層而能夠捕獲 殘渣之〇·25微米結構中所發現之典型特色。此外,目前 之光阻蝕刻劑造成較堅硬之殘渣。本發明提供一種一方面 清潔該通孔及直線、且另一方面保存一電介質薄膜之機構 本發明強調於清潔已暴露之低k値材料中之最大困難 點:剝除。由於聚合物係用於該低k値有機抗蝕劑之事實 (5) ‘ 1272693 ,剝除係一項限制。清潔來自低k値電介質材料之抗飩劑 或殘渣而不會影響該低k値電介質材料係複雜的。通常, 一硬質遮罩係放在該低k値電介質材料上,以具有一蝕刻 停止層之作用。該硬質遮罩亦可用作一 CMP停止層。當 蝕刻時,大部份本體蝕刻劑係移除。然而,大量殘渣及聚 合物典型係留在該溝槽及通孔之側壁上。本發明強調有關 追些殘渣及聚合物之移除問題,但不齡刻該低k値電介質 材料。 _ 標準之以華氏250度氧爲基底的等離子體不會工作用 於低k値電介質材料之清潔。高氧環境將使薄膜完整性及 低k値電介質材料之性質氧化及降級。本發明提供化學清 潔,而無額外之物理性清潔,以清潔各側壁,及仍然可關 於聚合物作選擇。此外,本發明藉著於該清潔製程期間利 用較低之溫度強調目前清潔處理之缺點。 本發明之較佳具體實施例係用於會同超臨界二氧化碳 (SCC〇2)—起使用。於本發明之另一具體實施例中,利用 鲁 一乾式化學離子-損耗之下游微波等離子體方法。在本發 明之又另一具體實施例中,一濕式化學製程係會同本發明 一起使用,以達成高選擇性及最小之低k値電介質材料損 壞。 本發明清除確保該剝除器及殘渣移除器不會攻擊或降 級該低k値電介質材料之主要障礙。亦使導致厚度損失或 加寬開口之蝕刻減至最小。再者,經由使用本發明維持或 減少該薄膜之k値。 -9- 12726931272693 (1) 发明 发明 发明 本 本 本 发明 发明 发明 发明 发明 发明 发明 发明 发明 发明 发明 发明 发明 发明 发明 发明 发明 发明 发明 发明 本 本 发明 发明 本 本 发明 发明 发明 本 本 发明 发明 晶圆 晶圆 晶圆 晶圆 晶圆 晶圆 晶圆 晶圆 晶圆 晶圆 晶圆 晶圆 晶圆 晶圆Part of the application for the passivation method of the material. This application claims the method of treating a porous dielectric film to reduce damage during cleaning, as disclosed in U.S. Provisional Patent Application Serial No. 60/3,722, filed on Apr. 12, 2002. 35, USC 119(e) priority. Method entitled "Treatment of Porous Dielectric Films to Reduce Damage During Cleaning," April 12, 2002, Provisional Patent Application Serial No. 60/372,822 No. 1/3, 79, 9 84, which is hereinafter referred to as "passivation method for low dielectric materials in wafer processing", and is also hereby incorporated by reference. The way to break into this article. TECHNICAL FIELD OF THE INVENTION The present invention relates to the field of cleaning of dielectric films. More particularly, the present invention relates to systems, devices, and methods for processing low-k値 dielectric films to reduce damage during cleaning. [Prior Art] Recent advances in semiconductor technology have involved the replacement of dielectric materials for insulating interconnect portions with low-k値 dielectric materials. Low-k値 dielectric materials are currently integrated into interlayer dielectric materials. The three main categories of low-k値 dielectric materials include: inorganic (cerium oxide-based materials); mixed (with (2) 1272693 functionalized inorganic matrix), and organic materials. This shift to the use of low-k 値 dielectric materials requires that the stripping of the photoresist etchant progress to meet the higher requirements for cleaning and residue removal without increasing cost and affecting throughput. By using a low-k値 dielectric material that insulates the wiring, a smaller geometry wiring structure can be created, resulting in a faster integrated circuit. Porous low-k値 dielectric materials are one of these low-k値 dielectric materials. When straight lines and vias are etched in the porous low-k値 dielectric material, sterol-based functional groups tend to form on the surfaces of the lines and vias. The sterol-based functional group also tends to form a void in the porous low-k値 dielectric material adjacent to the line and via. In the case of low-k値 dielectric inorganic and hybrid materials, the cleaning of these materials presents a challenge in which conventional cleaning formulations are designed to remove etch residues via the dissolution of the residue or to lightly etch through the dielectric to release the residue. . However, with low-k値 dielectric materials, the increased surface area due to their porosity greatly increases their sensitivity to these cleaning formulations, reducing the selectivity of the formulation for the etch residue. Conventional plasma cleaning methods such as ashing also have unacceptable disadvantages because the ashing plasma tends to affect the organic content of the hybrid material, thereby increasing the dielectric constant. Two basic systems are currently used: wet and dry. Dry systems are typically used for stripping, and wet systems are commonly used for cleaning. Wet systems use acids, bases or solvents and require several treatment steps for residue removal. Dry systems are a preferred choice when dealing with organic photoresist uranium engraving materials. Even when using a dry stripping system, the wet processing system after stripping still requires -6 - (3) * 1272693 to remove the inorganic residue left by the dry system. In semiconductor fabrication, a low-k値 dielectric material layer is substantially patterned with a photoresist etchant masked in one or more etching and ashing steps. These films tend to have large heavy alkanel-based g energy on their surface after etching or due to their physical nature, and due to their porous nature, exhibit a large surface area material for a cleaning formulation during cleaning. This presents a substantial etching problem with the low k 値 dielectric material film with many cleaning formulations, typically to the extent that the low k 値 dielectric material film is destroyed. In order to remove these sterol-based functional groups, the etching and photoresist etchants in the lines and vias, and the bulk photoresist uranium engraving agent from the exposed surface of one of the low-k dielectric materials, A cleaning process is performed after the etching of the via holes. In this cleaning process, a weak etchant is typically used to remove a single layer of the low-k値 dielectric material to release the etch residue, the photoresist etchant, and the bulk photoresist etchant. We have found that this cleaning process results in a high etch rate that is unacceptable for one of the porous low-k値 dielectric materials. This is more true when the porous low-k値 dielectric material is exposed to a weak uranium engraving agent. In the presence of the sterol-based functional group, it has been discovered by the weak etchant that a low-k値 dielectric material that significantly exceeds the monolayer is removed. The current high dose implant cleaning has a number of problems. When utilized, the anti-contact agent is densely implanted and hydrogen is ejected from the top third of the resist' and produces an extreme carbonized layer. The carbonized layer is difficult to remove and does not quickly strike. Furthermore, the bulk anti-uranium agent having a volatile component still exists below. 1272693 (4) Even with normal stripping, there is a pressure increase, and when it is cleaned at a slower rate, it causes bursting and foaming. This not only contaminates the processing chamber' but also bonds the carbonized chunks to the exposed areas of the wafer surface. In addition, the standard high temperature oxygen-based plasma cannot be used for the cleaning of low-k値 dielectric materials. These high temperature and high oxygen environments oxidize and degrade the integrity of the film and the properties of the low-k dielectric material. What is required by us is a method of treating a porous low-k値 dielectric material after etching and prior to cleaning, while reducing the presence of sterol-based functional groups in the porous low-k値 dielectric material. The challenge is to ensure that the cleaning method is sufficiently aggressive to adequately clean the surface without etching or altering the low k 値 material. SUMMARY OF THE INVENTION A microelectronic device having a finer structure and a higher aspect ratio requires a new low-k material. There is a need for photoresist etch stripping techniques to meet the challenges of critical aspect ratios and downsizing. A low-k値 dielectric material is a film that requires an unprecedented degree of cleanliness. The low-k値 dielectric material is distinguished from the typical features found in the 25-micron structure where both the via and the line are etched into the dielectric layer to capture the residue. In addition, current photoresist etchants result in harder residues. The present invention provides a mechanism for cleaning the through-holes and lines on the one hand and for retaining a dielectric film on the other hand. The present invention emphasizes the greatest difficulty in cleaning exposed low-k materials: stripping. Due to the fact that the polymer is used in the low-k値 organic resist (5) ‘ 1272693, stripping is a limitation. Cleaning the anti-caries agent or residue from the low-k値 dielectric material without affecting the complexity of the low-k値 dielectric material. Typically, a hard mask is placed over the low k 値 dielectric material to function as an etch stop layer. The hard mask can also be used as a CMP stop layer. Most of the bulk etchant is removed when etched. However, a large amount of residue and polymer are typically retained on the sidewalls of the trench and via. The present invention emphasizes the problem of removing some of the residue and the removal of the polymer, but does not age the low-k dielectric material. _ The standard plasma with 250 degrees Fahrenheit oxygen does not work for the cleaning of low-k値 dielectric materials. The high oxygen environment will oxidize and degrade the integrity of the film and the properties of the low-k dielectric material. The present invention provides chemical cleaning without additional physical cleaning to clean the sidewalls and still be selective for the polymer. In addition, the present invention emphasizes the shortcomings of current cleaning processes by utilizing lower temperatures during the cleaning process. Preferred embodiments of the invention are used in conjunction with supercritical carbon dioxide (SCC〇2). In another embodiment of the invention, a downstream microwave plasma method of chemical ion-loss is used. In yet another embodiment of the invention, a wet chemical process system is used with the present invention to achieve high selectivity and minimal low-k値 dielectric material damage. The present invention removes the major obstacles that ensure that the stripper and residue remover do not attack or degrade the low-k dielectric material. Etching that results in thickness loss or widening the opening is also minimized. Furthermore, the k値 of the film is maintained or reduced by the use of the present invention. -9- 1272693

【實施方式】 呈現3.5-2.5低介電常數之材料大致上係稱爲低k値 電介質材料。具有2 · 5及以下介電常數之多孔材料大致上 係稱爲超低k値(ULK)電介質材料。爲此申請案之故,低 k値電介質材料意指低k値電介質及超低k値電介質材料 兩者。低k値電介質材料通常係以多孔氧化物爲基底的材 料’及可包含一有機或碳化氫成份。低k値電介質材料之 範例包括 '但不限於摻雜碳之氧化物(c 〇 D)、旋轉塗佈玻 璃(SOG)、及氟化矽玻璃(FSG)材料。這些多孔低k値電介 質材料膜典型包含碳及氫,且藉著諸如旋轉塗佈或cvd 方法源積。這些薄膜係以產生一可承受來自清潔配方之損 害的薄膜之方式來予以處理且典型上具有一以Si0x爲基 底或以SiOx-CxHy爲基底之無機基質。 按照本發明之方法,一已圖案化之低k値電介質材料 層係藉著澱積一低k値電介質材料之連續層、於該低k値 電介質材料中使用微影術蝕刻一佈線圖案、及使用一包括 超臨界二氧化碳及以矽爲基底的鈍化劑(亦即鈍化處理步 驟)之超臨界溶液移除蝕刻後殘渣,隨後藉著清潔處理步 驟所形成。 本發明用於藉著該矽烷醇官能團與一超臨界甲矽烷基 化劑之反應減少或消除鈾刻,藉此減少該清潔配方中低k 値電介質材料膜之蝕刻速率。本發明之方法最好藉著封端 在該低k値電介質材料之表面上及/或本體中之矽烷醇官 (7) 1272693[Embodiment] A material exhibiting a low dielectric constant of 3.5 to 2.5 is roughly referred to as a low-k値 dielectric material. A porous material having a dielectric constant of 2.5 and below is roughly referred to as an ultra low k (ULK) dielectric material. For this application, a low-k値 dielectric material means both a low-k値 dielectric and an ultra-low-k値 dielectric material. The low-k値 dielectric material is typically a porous oxide based material and may comprise an organic or hydrocarbon component. Examples of low-k値 dielectric materials include, but are not limited to, carbon doped oxide (c 〇 D), spin-on coated glass (SOG), and fluorinated yttrium glass (FSG) materials. These porous low-k値 dielectric film typically contain carbon and hydrogen and are sourced by methods such as spin coating or cvd. These films are treated in a manner that produces a film that can withstand damage from cleaning formulations and typically have an inorganic matrix based on Si0x or SiOx-CxHy. In accordance with the method of the present invention, a patterned low-k値 dielectric material layer is formed by depositing a continuous layer of low-k値 dielectric material, etching a wiring pattern using the lithography in the low-k dielectric material, and The post-etch residue is removed using a supercritical solution comprising supercritical carbon dioxide and a ruthenium-based passivating agent (i.e., a passivation treatment step), followed by a cleaning process step. The present invention is useful for reducing or eliminating uranium engraving by reacting the stanol function with a supercritical formazan alkylating agent, thereby reducing the etch rate of the low k 値 dielectric material film in the cleaning formulation. Preferably, the method of the present invention is terminated by a stanol official on the surface of the low-k dielectric material and/or in the bulk (7) 1272693

能團使一已圖案化之低k値電介質材料層鈍化,以產生一 更疏水、更耐汙染及/或較不會起反應之已圖案化之低k 値電介質材料。在該鈍化處理之後,本發明之方法最好用 清潔溶液以最小之齡刻清潔該薄膜。按照本發明之具體實 施例,由一超臨界蝕刻後清潔處理分開地進行一鈍化處理 步驟’或另一選擇係與一超臨界蝕刻後清潔處理同時進行 。再者,按照本發明之具體實施例,在一鈍化處理步驟之 後進行一清潔溶液處理步驟。按照本發明之具體實施例, 一超臨界甲矽烷基化劑包含超臨界二氧化碳及最好係甲矽 烷基化劑之某一數量之鈍化劑。該甲矽烷基化劑最好包含 一矽甲烷結構(111),(尺2)5(113)31>^(114),在此11】5112,113應係 相同或獨立地選自氫、烷基、芳香族羥基、丙基、苯基及 /或其衍生物之基團以及鹵素(氯、溴、氟、碘)。除了獨 立地選自氫、烷基、芳香族羥基、丙基、苯基及/或其衍 生物之基團外,R4可爲(SiU2;!^)。於另一具體實施例 中,該甲砂院基化劑包含一四價有機砂化合物,其中該砍 原子係於一金字塔狀架構中之位置1,2,3及4組合成4個 配合基。於又另一具體實施例中,該甲矽烷基化劑包含一 矽氨烷結構,其能敘述爲一具有組合成該碳氫基氨之氮之 二The energy layer deactivates a patterned low-k値 dielectric material layer to produce a patterned, low-k 値 dielectric material that is more hydrophobic, more resistant to contamination, and/or less reactive. After the passivation treatment, the method of the present invention preferably cleans the film with a cleaning solution at a minimum age. In accordance with a specific embodiment of the present invention, a passivation process is performed separately from a supercritical post-etch cleaning process or another option is performed simultaneously with a supercritical post-etch cleaning process. Further, in accordance with a specific embodiment of the present invention, a cleaning solution processing step is performed after a passivation treatment step. In accordance with a particular embodiment of the invention, a supercritical methyl hydrazine alkylating agent comprises a quantity of passivating agent of supercritical carbon dioxide and, preferably, a formazan alkylating agent. Preferably, the formamylating agent comprises a monomethane structure (111), (foot 2) 5 (113) 31 > ^ (114), where 11 5112, 113 should be identical or independently selected from hydrogen, an alkane a group of a group, an aromatic hydroxy group, a propyl group, a phenyl group and/or a derivative thereof, and a halogen (chlorine, bromine, fluorine, iodine). R4 may be (SiU2; !^), except for a group independently selected from the group consisting of hydrogen, an alkyl group, an aromatic hydroxyl group, a propyl group, a phenyl group, and/or a derivative thereof. In another embodiment, the sandstone base comprises a tetravalent organic sand compound, wherein the chopped atoms are combined at a position 1, 2, 3 and 4 in a pyramidal structure into four ligands. In yet another embodiment, the formamylating agent comprises a guanamine structure, which can be described as a nitrogen having a combination of the hydrocarbon-based ammonia.

該甲矽烷基化劑能本身或以一載體溶劑導入超臨界二 氧化碳(SCC02),諸如N,-二甲基乙醯胺(DMAC)、y -丁內 酯(BLO)、二甲亞硕(DMSO)、碳酸乙烯酯(EC)、甲基吡咯 烷酮(NMP)、二甲基吡啶酮、碳酸丙烯酯、酒精或其組合 -11 - (8) 1272693 物,以產生該超臨界甲矽烷基化劑。scco2最好係用作一 用於該甲矽烷基化劑之載體流體。藉著使用scco2當作 該載體流體,該甲矽烷基化劑可輕易及迅速地載送遍及該 薄膜,確保與該整個薄膜完全及快速反應。 熟諳此技藝者將清楚的是一種具有任意數量之甲矽烷 基化劑及各甲矽烷基化劑之組合之超臨界鈍化溶液係落在 本發明之範圍內。 該熱力學條件係可變的:該製程溫度係於攝氏25及 200度之間,且該壓力係於每平方英吋700及9000磅之 間。雖然超臨界C 02係較佳,在某些情況下能使用液體 C〇2。該甲矽烷基化劑最好包含六甲基二矽氮烷。另一選 擇係該甲矽烷基化劑包含有機氯砂甲烷。又另一選擇係該 甲矽烷基化劑包含一水解矽烷類。該典型製程時間係於 1 5秒及1 0分鐘之間。 圖1A及1B顯示一在使用該超臨界溶液、隨後藉著 一清潔溶液處理步驟移除蝕刻後殘渣之前後之低k値電介 質材料之簡化槪要圖,該溶液包括超臨界二氧化碳及以矽 爲基底的鈍化劑(亦即鈍化處理步驟)。圖1 A中之已圖案 化低k値電介質材料〗〇〇說明移除蝕刻後殘渣之前之已圖 案化低k値電介質材料丨〇 〇,且圖1 b說明移除蝕刻後殘 渣之後之低k値電介質材料1 〇〇。特別地是於該超臨界二 氧化碳清潔及清潔溶液處理步驟之前,能在圖1 A中之低 lc値電介質材料結構! 3〇上看見該抗蝕劑n 〇及該側壁聚 合物殘渣1 20。圖1B說明在高度選擇性清潔之後之相同 -12- 1272693 ⑼ 低k値電介質材料結構1 3 Ο,其顯示無任何側凹及殘渣移 除。 圖2顯不一超臨界處理設備2 0 0之簡化槪要圖。該設 備2 00包含經過一氣源閥22 3連接至入口管線226之二氧 化碳氣源221,該氣源閥可 化碳由二氧化碳氣源221流 線226最好配有一或多個回 箱子2 2 0所圖示地顯示,用 氧化碳之流動。該入口管線 ,其係架構成可打開及關閉 碳流入一處理室201之流動 又參考圖2,該處理室 閥209,用於排出該處理室 處理室201內之壓力。亦按 理室201係耦合至一用於加 泵浦及/或真空裝置211。 再次參考圖2,在該設 一用於固持及/或支撐一晶 本發明之另一具體實施例, 具有一或多個用於調節該處 度及/或一超臨界處理溶液 該設備200最好亦具有 迴路203。該循環迴路203 節超臨界處理溶液之流經該 打開及關閉以開始及停止二氧 至該入口管線226。該入口管 流閥、泵浦及加熱器,其由該 於產生及/或維持一超臨界二 226最好亦具有一入口閥225 ,以允許或防止超臨界二氧化 〇 2 0 1最好係設有一或多個壓力 201之氣體及/或用於調節該 照本發明之具體實施例,該處 壓及/或排空該處理室201之 備200之處理室201內最好有 圓結構213之卡盤23 3。按照 該卡盤233及/或處理室201 理室201內晶圓結構213之溫 之溫度之加熱器231。 一耦合至該處理室201之循環 最好係配備有一或多個用於調 循環迴路2 03及流經該處理室 (10) 1272693 2 0 1之閥門2 1 5及2 1 5 ’。該循環迴路2 0 3最好亦配備有任 意數目之回流閥、泵浦及加熱器,其由該箱子205所圖示 地顯示,用於維持一超臨界處理溶液及使該超臨界處理溶 液流經該循環迴路2 0 3及經過該處理室2 0 1。按照本發明 之一較佳具體實施例,該循環迴路2 03具有一用於將諸如 鈍化劑及溶劑等化學成分導入該循環迴路203之噴射通口 2 0 7,用於在原處產生超臨界處理溶液。 圖3比上述圖2更詳細地顯示一超臨界處理設備76 。該超臨界處理設備76係架構成用於產生超臨界清潔、 洗滌及固化溶液,及用於隨其處理一晶圓。該超臨界處理 設備76包含一二氧化碳供給容器332、二氧化碳泵浦334 、處理室3 3 6、化學品供給容器3 3 8、循環泵浦340、及 一廢氣收集容器3 44。該二氧化碳供給容器3 32係經由該 二氧化碳泵浦3 3 4及二氧化碳管道3 46耦合至該處理室 336。該二氧化碳管道3 46包含一位於該二氧化碳泵浦 334及該處理室336間之二氧化碳加熱器348。該處理室 336包含一處理室加熱器350。該循環泵浦340係位在一 循環管線3 5 2上,該管線在一循環入口 3 5 4及在一循環出 口 3 5 6耦合至該處理室3 3 6。該化學品供給容器3 3 8係經 由一包含第一噴射泵3 5 9之化學供給管線3 5 8耦合至該循 環管線3 5 2。一洗滌劑供給容器3 60係經由一包含第二噴 射泵3 63之洗滌供給管線3 62耦合至該循環管線3 52。該 廢氣收集容器344係經由廢氣管道3 64耦合至該處理室 3 3 6 ° -14- (11) 1272693 該二氧化碳供給容器3 3 2、該二氧化碳泵浦3 34、及 二氧化碳加熱器3 4 8形成一二氧化碳供給配置3 4 9。該化 學品供給容器3 3 8、該第一噴射泵3 5 9、該洗滌劑供給容 器3 6 0、及該第二噴射泵3 6 3形成一化學及洗滌劑供給配 置 3 65。 對熟練該技藝者將明顯易見的是該超臨界處理設備 76包含典型用於超臨界流體處理系統之裝設閥門、控制 電子工學、過濾器、實用之連結器。 仍參考圖3,一在其上具有殘渣之晶圓(未示出)於操 作中係插入該處理室3 3 6之晶圓孔腔3 1 2,且密封該處理 室33 6。藉著具有來自該二氧化碳供給容器332之二氧化 碳之二氧化碳泵浦3 34加壓該處理室336,且藉著該二氧 化碳加熱器348加熱該二氧化碳,而藉著該處理室加熱器 3 5 0加熱該處理室3 3 6,以確保該處理室3 3 6中之二氧化 碳溫度高於一臨界溫度。該二氧化碳之臨界溫度係攝氏 3 1度。於一超臨界鈍化步驟期間,該處理室3 3 6中之二 氧化碳溫度最好是在由攝氏25度至約攝氏200度之範圍 內,且最好是在或接近攝氏7〇度。 當達到最初之超臨界狀態時,該第一噴射泵浦3 5 9由 該化學品供給容器3 3 8泵吸諸如甲矽烷基化劑之化學成分 經由該循環管線3 5 2進入該處理室3 3 6,而該二氧化碳泵 浦進一步加壓該超臨界二氧化碳。在開始將處理化學成分 加至該處理室3 3 6時,該處理室3 3 6中之壓力較好係於大 約每平方英吋700至9,000磅之範圍中,且最好是在或接 (12) 1272693 近每平方英吋3 000磅。一旦想要之化學成分數量已泵吸 進入該處理室3 3 6,且達到想要之超臨界狀態,該二氧化 碳泵浦3 3 4停止加壓該處理室3 3 6,該第一噴射泵浦359 停止泵吸處理化學成分進入該處理室3 3 6,及該循環泵浦 340開始循環超臨界二氧化碳及一清潔溶液。最後,該循 環泵浦3 40開始循環包含該超臨界二氧化碳及該處理化學 成分之超臨界清潔溶液。在此點,該處理室3 3 6內之壓力 係大約每平方英吋3 000磅。藉著循環該超臨界清潔溶液 及該超臨界處理溶液,在該晶圓之表面迅速地補充該超臨 界溶劑及溶液,藉此增強該晶圓上一低k値電介質材料層 表面之鈍化及清潔速率。 當一具有低k値電介質材料層之晶圓(未示出)晶圓係 正在該壓力室336內處理時,使用一機械式卡盤、真空卡 盤或其他合適之固持或固定機構固定該晶圓。按照本發明 之具體實施例,該晶圓係於超臨界處理步驟期間在該處理 室3 3 6內固定不動,或另一選擇係旋轉、迴轉或以別的方 式搖動。 在該超臨界處理溶液係循環經過循環管線3 52及該處 理室336之後,該處理室336係藉著排出一些超臨界處理 溶液至該廢氣收集容器344而局部減壓,以便使該處理室 3 3 6中之狀態回復至接近最初之超臨界狀態。在該超臨界 處理溶液係完全排出該處理室3 3 6至該廢氣進入收集容器 344之前,該處理室3 3 6最好循環經過至少一此減壓及壓 縮循環。在使該壓力室3 3 6排出之後,施行第二超臨界處 -16- (13) 1272693 理或由該處理室3 3 6移除該晶圓,且於第二處理設備或組 件(未示出)中繼續該晶圓處理。 圖4係一簡述使用超臨界清潔及鈍化溶液處理基板結 構之步驟方塊圖400,該基板結構包含一已圖案化之低k 値電介質材料層及在其上面之蝕刻後或灰化後殘渣。於該 步驟402中,包含該蝕刻後殘渣之基板結構係放置及密封 在一處理室內。在該基板結構係於該步驟402中放入及密 封在處理室內之後,該處理室係於該步驟404中以超臨界 (:02力|]壓,且處理化學成分係力口至該超臨界C02以產生一 超臨界清潔及鈍化溶液。該清潔及鈍化化學成分最好包含 至少一有機矽化合物。 於該步驟404中產生該超臨界清潔及鈍化溶液之後, 該基板結構在移除該殘渣之後於該步驟406中維持在該超 臨界處理溶液內達一段足以由已暴露之基板結構及鈍化表 面移除至少一部份殘渣之時期。於該步驟406期間,該超 臨界清潔及鈍化溶液最好係循環經過該處理室及/或以別 的方式攪動,以在該基板結構之表面上方移動該超臨界清 潔溶液。亦可在鈍化之後、在鈍化之前或於鈍化期間施行 該清潔步驟。 仍參考圖4,在該步驟406中由該基板結構移除至少 一部份殘渣之後,於該步驟408中發生一超臨界清潔溶液 處理步驟,其中一超臨界清潔溶液最好係循環經過該處理 室及/或以別的方式攪動,以在該基板結構之表面上方移 動該超臨界溶劑。在該超臨界清潔溶液處理步驟4 0 8之後 (14) 1272693 ,該處理室係在該步驟 41 0中局部排出。包含步驟 _ 404,406及408之清潔處理係重複任意次數,如藉著連接 ’ 該步驟4 1 0至404之箭頭所示,如由基板結構移除殘渣及 鈍化已暴露之表面所需者。按照本發明之具體實施例,包 含步驟404,406及408之處理使用新鮮之超臨界二氧化碳 、新鮮之化學成分或雨者。另一選擇係藉著用超臨界二氧 化碳稀釋該處理室、藉著加入清潔化學成分之額外電荷或 其組合以修改該清潔化學成分之濃度。 # 仍參考圖4,在完成該處理步驟之後,於該步驟412 中,該基板結構最好係以一超臨界洗滌溶液處理。該超臨 界洗滌溶液最好包含超臨界C02及一或多個有機溶劑,但 可爲純超臨界C02。 仍參考圖4,在該基板結構於該步驟404,406,408及 4 1 〇中清潔及於該步驟4 1 2中洗滌之後,該處理室係於該 步驟4 1 4中減壓及該基板結構係由該處理室移去。另一選 擇爲該基板結構係循環經過包含步驟404,406,408,410及 Φ 4 1 2之一或多個額外之清潔/洗滌處理,如由連接步驟 412及404之箭頭所示。另一選擇、或除了使該基板結構 循環經過一或多個額外之清潔/洗滌循環外,於步驟4 j 4 中由該室移除該基板結構之前,以數個洗滌循環處理該基 板結構,如由連接步驟4 1 2及4 1 0之箭頭所示。 如先前所述,於鈍化其上面之低k値電介質材料層之 則可藉著使用一超臨界溶液乾燥及/或預處理該基板結構 _ ’該溶液包括超臨界二氧化碳及一或多種溶劑,諸如甲醇 -18- 1272693 (15) '乙醇、及/或其組合物。亦如先前所論及,以包括有或 無共溶劑之超臨界二氧化碳之超臨界溶液預處理該低k値 電介質材料層明顯改善該低k値電介質材料層表面上之甲 矽烷基團之作用範圍。熟諳此技藝者亦將清楚的是可用任 意數目之清潔及鈍化步驟及/或順序處理一包括蝕刻後殘 澄及/或已圖案化之低k値電介質材料層之晶圓。 熟諳此技藝者應了解雖然在此已主要參考蝕刻後處理 及/或蝕刻後清潔處理敘述鈍化低k値電介質材料之方法 ’本發明之方法可用於直接鈍化低k値電介質材料。再者 ’按照本發明之方法,應了解當處理一低k値電介質材料 時’未必需要一超臨界洗滌步驟,且以一超臨界鈍化溶液 處理該低k値電介質材料之前僅只乾燥,該.低k値電介質材 料可適合某些應用。 【圖式簡單說明】 圖1 A及1 B按照本發明說明一在使用該超臨界溶液 、隨後藉著一清潔溶液處理步驟移除蝕刻後殘渣之前後之 低k値電介質材料之簡化槪要圖,該溶液包括超臨界二氧 化碳及以矽爲基底的鈍化劑(亦即鈍化處理步驟)。 圖2按照本發明之具體實施例說明一超臨界晶圓處理 設備之簡化槪要圖。 圖3按照本發明之具體實施例說明一超臨界晶圓處理 設備之詳細槪要圖。 圖4按照本發明之具體實施例說明一槪要方塊圖,其 -19- (16) 1272693 簡述用以處理以氧化矽爲基底的低k値電介質材料層之步 驟。 主要元件對照表 76超臨界處理設備 1 〇 〇電介質材料 1 1 〇抗蝕劑 1 2 0聚合物殘渣 <1 1 3 0電介質材料結構 200超臨界處理設備 2 0 1 處理室 2 0 3循環迴路 205箱子 2 0 7 噴射通口 209壓力閥 211真空裝置 _ 2 1 3晶圓結構 2 1 5閥門 2 1 5 ’閥門 220箱子 221二氧化碳氣源 223氣源閥 225 入口閥 _ 2 2 6 入口管線 -20- 力口熱器 卡盤 晶圓孔腔 二氧化碳供給容器 二氧化碳泵浦 處理室 化學品供給容器 循環泵浦 廢氣收集容器 二氧化碳管道 二氧化碳泵浦 二氧化碳供給配置 處理室加熱器 循環管線 循環入口 循環出口 化學供給管線 噴射泵 洗滌劑供給容器 洗滌供給管線 噴射泵 廢氣管道 洗滌劑供給配置 方塊圖 -21 -The formylating agent can be introduced into supercritical carbon dioxide (SCC02) by itself or in a carrier solvent, such as N,-dimethylacetamide (DMAC), y-butyrolactone (BLO), and dimethyl sulfoxide (DMSO). ), ethylene carbonate (EC), methyl pyrrolidone (NMP), dimethylpyridone, propylene carbonate, alcohol or a combination thereof 11 - (8) 1272693 to produce the supercritical formazan alkylating agent. Scco2 is preferably used as a carrier fluid for the formazan alkylating agent. By using scco2 as the carrier fluid, the formylating agent can be easily and rapidly carried throughout the film to ensure complete and rapid reaction with the entire film. It will be apparent to those skilled in the art that a supercritical passivation solution having any combination of a methacrylating agent and each of the formazanating agents is within the scope of the invention. The thermodynamic conditions are variable: the process temperature is between 25 and 200 degrees Celsius and the pressure is between 700 and 9000 pounds per square inch. Although the supercritical C 02 system is preferred, the liquid C 〇 2 can be used in some cases. The formylating agent preferably comprises hexamethyldioxane. Alternatively, the formamylating agent comprises organic chlorinated methane. Still another option is that the formamylating agent comprises a hydrolyzed decane. The typical process time is between 15 seconds and 10 minutes. 1A and 1B show a simplified schematic diagram of a low-k値 dielectric material after the use of the supercritical solution followed by removal of the post-etch residue by a cleaning solution treatment step, the solution comprising supercritical carbon dioxide and The passivating agent of the substrate (ie, the passivation treatment step). The patterned low-k値 dielectric material in Figure 1A illustrates the patterned low-k値 dielectric material 之前 prior to removal of the post-etch residue, and Figure 1b illustrates the low k after removal of the post-etch residue値 Dielectric material 1 〇〇. In particular, the low lc値 dielectric material structure in Figure 1A prior to the supercritical carbon dioxide cleaning and cleaning solution processing steps! The resist n 〇 and the sidewall polymer residue 126 are seen on the crucible. Figure 1B illustrates the same -12-1272693 (9) low-k値 dielectric material structure 13 Ο after highly selective cleaning, which shows no undercut and residue removal. Figure 2 shows a simplified summary of the supercritical processing equipment 200. The apparatus 200 includes a carbon dioxide gas source 221 coupled to an inlet line 226 via a gas source valve 22 3, the gas source valve carbonizable by the carbon dioxide gas source 221 streamline 226 preferably having one or more return boxes 2 2 0 It is shown graphically that the flow of carbon oxide is used. The inlet line, the ties constitute a flow that opens and closes the flow of carbon into a processing chamber 201. Referring again to Figure 2, the processing chamber valve 209 is for discharging pressure within the processing chamber processing chamber 201. The process room 201 is also coupled to a pump and/or vacuum unit 211. Referring again to FIG. 2, in another embodiment of the invention for holding and/or supporting a crystal, there is one or more devices 200 for adjusting the degree and/or a supercritical processing solution. Well, there is also a loop 203. The circulation loop 203 supercritical processing solution flows through the opening and closing to start and stop the dioxer to the inlet line 226. The inlet tube valve, pump and heater, which preferably produces and/or maintains a supercritical second 226, preferably also has an inlet valve 225 to allow or prevent supercritical cerium oxide 2 0 1 The gas is provided with one or more pressures 201 and/or for adjusting the specific embodiment of the present invention. The processing chamber 201 for pressing and/or evacuating the processing chamber 201 preferably has a circular structure 213. Chuck 23 3 . The heater 231 at the temperature of the wafer structure 213 in the chamber 201 is treated in accordance with the chuck 233 and/or the processing chamber 201. A cycle coupled to the process chamber 201 is preferably provided with one or more valves 2 1 5 and 2 1 5 ' for the recycle loop 203 and the process chamber (10) 1272693 2 0 1 . Preferably, the circulation loop 203 is also provided with any number of return valves, pumps and heaters, as shown graphically by the tank 205, for maintaining a supercritical treatment solution and for flowing the supercritical treatment solution Through the circulation loop 2 0 3 and through the processing chamber 2 0 1 . According to a preferred embodiment of the present invention, the circulation loop 203 has a spray port 2 0 7 for introducing chemical components such as a passivating agent and a solvent into the circulation loop 203 for generating a supercritical treatment in situ. Solution. Figure 3 shows a supercritical processing device 76 in more detail than Figure 2 above. The supercritical processing apparatus 76 is configured to produce a supercritical cleaning, washing and curing solution, and for processing a wafer therewith. The supercritical processing apparatus 76 includes a carbon dioxide supply vessel 332, a carbon dioxide pump 334, a processing chamber 336, a chemical supply vessel 338, a circulation pump 340, and an exhaust gas collection vessel 344. The carbon dioxide supply vessel 3 32 is coupled to the processing chamber 336 via the carbon dioxide pump 3 3 4 and carbon dioxide conduit 3 46. The carbon dioxide conduit 3 46 includes a carbon dioxide heater 348 located between the carbon dioxide pump 334 and the processing chamber 336. The processing chamber 336 includes a process chamber heater 350. The circulator pump 340 is coupled to a recycle line 325 which is coupled to the process chamber 336 at a recycle inlet 345 and at a recycle outlet 356. The chemical supply container 3 3 8 is coupled to the circulation line 3 5 2 via a chemical supply line 358 comprising a first injection pump 359. A detergent supply container 3 60 is coupled to the circulation line 3 52 via a wash supply line 3 62 including a second injection pump 3 63. The exhaust gas collection container 344 is coupled to the processing chamber 3 3 6 - 14- (11) 1272693 via the exhaust gas conduit 3 64. The carbon dioxide supply container 3 3 2, the carbon dioxide pump 3 34, and the carbon dioxide heater 3 4 8 are formed. A carbon dioxide supply configuration 3 4 9 . The chemical supply container 338, the first jet pump 359, the detergent supply container 306, and the second jet pump 363 form a chemical and detergent supply configuration 365. It will be apparent to those skilled in the art that the supercritical processing apparatus 76 includes a valve, control electronics, filter, and utility connector typically used in supercritical fluid processing systems. Still referring to Fig. 3, a wafer (not shown) having a residue thereon is inserted into the wafer cavity 3 1 2 of the processing chamber 336 during operation, and the processing chamber 336 is sealed. The processing chamber 336 is pressurized by a carbon dioxide pump 346 having carbon dioxide from the carbon dioxide supply container 332, and the carbon dioxide is heated by the carbon dioxide heater 348 to heat the treatment by the processing chamber heater 350. Chamber 3 3 6 ensures that the temperature of the carbon dioxide in the processing chamber 336 is above a critical temperature. The critical temperature of the carbon dioxide is 31 degrees Celsius. The temperature of the carbon dioxide in the treatment chamber 336 during a supercritical passivation step is preferably in the range of from 25 degrees Celsius to about 200 degrees Celsius, and is preferably at or near 7 degrees Celsius. When the initial supercritical state is reached, the first jet pump 359 pumps the chemical component such as the formazan alkylating agent from the chemical supply container 3 3 8 into the processing chamber 3 via the circulation line 325. 3, and the carbon dioxide pump further pressurizes the supercritical carbon dioxide. The pressure in the processing chamber 336 is preferably in the range of about 700 to 9,000 pounds per square inch, and preferably at or in conjunction, when the processing chemistry is initially applied to the processing chamber 336. 12) 1272693 Nearly 3,000 pounds per square inch. Once the desired amount of chemical component has been pumped into the processing chamber 3 3 6 and reaches the desired supercritical state, the carbon dioxide pump 324 stops pressurizing the processing chamber 3 3 6, the first jet pump 359 Stop pumping the chemical components into the processing chamber 3 3 6, and the circulating pump 340 begins to circulate the supercritical carbon dioxide and a cleaning solution. Finally, the recycle pump 340 begins to recycle the supercritical cleaning solution comprising the supercritical carbon dioxide and the processing chemical. At this point, the pressure in the process chamber 3 36 is about 3,000 pounds per square inch. By circulating the supercritical cleaning solution and the supercritical processing solution, the supercritical solvent and the solution are rapidly replenished on the surface of the wafer, thereby enhancing the passivation and cleaning of the surface of a low-k dielectric material layer on the wafer. rate. When a wafer (not shown) having a low-k値 dielectric material layer is being processed in the pressure chamber 336, the mechanical chuck, vacuum chuck or other suitable holding or securing mechanism is used to secure the crystal. circle. In accordance with a particular embodiment of the present invention, the wafer is held stationary within the processing chamber 336 during the supercritical processing step, or another option is rotated, swiveled, or otherwise shaken. After the supercritical processing solution is circulated through the circulation line 3 52 and the processing chamber 336, the processing chamber 336 is partially decompressed by discharging some supercritical processing solution to the exhaust collecting container 344 to make the processing chamber 3 The state in 3 6 returns to near the initial supercritical state. Preferably, the processing chamber 336 is circulated through at least one of the reduced pressure and compression cycles before the supercritical processing solution is completely discharged from the processing chamber 336 to the exhaust gas entering the collection vessel 344. After the pressure chamber 336 is discharged, the second supercritical portion is subjected to -16- (13) 1272693 or the wafer is removed by the processing chamber 336 and is not shown in the second processing device or component (not shown) The wafer processing is continued in the out). Figure 4 is a block diagram 400 illustrating a step of processing a substrate structure using a supercritical cleaning and passivation solution comprising a patterned low k 値 dielectric material layer and a post-etched or post-ash residue thereon. In step 402, the substrate structure including the post-etch residue is placed and sealed in a processing chamber. After the substrate structure is placed and sealed in the processing chamber in the step 402, the processing chamber is subjected to supercritical (:02 force|] pressure in the step 404, and the chemical component is applied to the supercritical C02 to produce a supercritical cleaning and passivating solution. The cleaning and passivating chemistry preferably comprises at least one organic cerium compound. After the supercritical cleaning and passivating solution is produced in step 404, the substrate structure is removed after the residue is removed. Maintaining in the supercritical processing solution for a period of time sufficient to remove at least a portion of the residue from the exposed substrate structure and the passivated surface during the step 406. During the step 406, the supercritical cleaning and passivation solution is preferably performed. Circulating through the processing chamber and/or otherwise agitating to move the supercritical cleaning solution over the surface of the substrate structure. The cleaning step may also be performed after passivation, prior to passivation, or during passivation. 4, after removing at least a portion of the residue from the substrate structure in the step 406, a supercritical cleaning solution processing step occurs in the step 408, Preferably, the medium-supercritical cleaning solution is circulated through the processing chamber and/or otherwise agitated to move the supercritical solvent over the surface of the substrate structure. After the supercritical cleaning solution processing step 4 0 8 ( 14) 1272693, the processing chamber is partially discharged in step 41 0. The cleaning process including steps _404, 406 and 408 is repeated any number of times, as indicated by the arrow connecting the steps 4 10 to 404, as indicated by The substrate structure removes debris and passivates the exposed surface. In accordance with a particular embodiment of the invention, the process comprising steps 404, 406 and 408 uses fresh supercritical carbon dioxide, fresh chemical components or rain. The treatment chamber is diluted with supercritical carbon dioxide, by adding additional charge of the cleaning chemistry or a combination thereof to modify the concentration of the cleaning chemistry. # Still referring to Figure 4, after completing the processing step, in step 412, Preferably, the substrate structure is treated with a supercritical washing solution. The supercritical washing solution preferably comprises supercritical CO 2 and one or more organic solvents, but Pure supercritical C02. Still referring to FIG. 4, after the substrate structure is cleaned in the steps 404, 406, 408 and 4 1 , and after washing in the step 4 12 2, the processing chamber is decompressed in the step 4 14 and the The substrate structure is removed from the processing chamber. Alternatively, the substrate structure is cycled through one or more additional cleaning/washing processes including steps 404, 406, 408, 410 and Φ 4 1 2, as indicated by the arrows connecting steps 412 and 404. Alternatively, or in addition to cycling the substrate structure through one or more additional cleaning/wash cycles, the substrate is processed in a number of wash cycles prior to removing the substrate structure from the chamber in step 4j4. The structure is as indicated by the arrows connecting steps 4 1 2 and 4 1 0. As previously described, the passivation of the low-k値 dielectric material layer thereon may be by drying and/or pretreating the substrate structure using a supercritical solution. The solution includes supercritical carbon dioxide and one or more solvents, such as Methanol-18- 1272693 (15) 'Ethanol, and / or a combination thereof. As previously discussed, pretreating the low k 値 dielectric material layer with a supercritical solution comprising supercritical carbon dioxide with or without a cosolvent significantly improves the range of the methacrylate group on the surface of the low k 値 dielectric material layer. It will also be apparent to those skilled in the art that any number of cleaning and passivation steps and/or sequential processing of a wafer comprising a layer of low k値 dielectric material after etching and/or patterning can be processed. Those skilled in the art will appreciate that although the method of passivating low-k値 dielectric materials has been described primarily with reference to post-etch processing and/or post-etch cleaning processes, the method of the present invention can be used to directly passivate low-k値 dielectric materials. Furthermore, in accordance with the method of the present invention, it should be understood that when processing a low-k値 dielectric material, a supercritical washing step is not necessarily required, and only the drying is performed before the low-k値 dielectric material is treated with a supercritical passivation solution. The k値 dielectric material is suitable for certain applications. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1A and 1B illustrate a simplified schematic of a low-k値 dielectric material after the use of the supercritical solution followed by removal of the post-etch residue by a cleaning solution treatment step in accordance with the present invention. The solution includes supercritical carbon dioxide and a passivation agent based on ruthenium (i.e., a passivation treatment step). Figure 2 illustrates a simplified schematic of a supercritical wafer processing apparatus in accordance with an embodiment of the present invention. Figure 3 illustrates a detailed schematic of a supercritical wafer processing apparatus in accordance with an embodiment of the present invention. Figure 4 illustrates a schematic block diagram in accordance with an embodiment of the present invention, and -19-(16) 1272693 outlines the steps for processing a low-k値 dielectric material layer based on yttrium oxide. Main Components Comparison Table 76 Supercritical Processing Equipment 1 〇〇 Dielectric Material 1 1 〇 Resist 1 2 0 Polymer Residue <1 1 3 0 Dielectric Material Structure 200 Supercritical Processing Equipment 2 0 1 Processing Room 2 0 3 Recycling Circuit 205 box 2 0 7 injection port 209 pressure valve 211 vacuum device _ 2 1 3 wafer structure 2 1 5 valve 2 1 5 'valve 220 box 221 carbon dioxide gas source 223 gas source valve 225 inlet valve _ 2 2 6 inlet line - 20- force thermostat chuck wafer cavity carbon dioxide supply container carbon dioxide pump processing chamber chemical supply container circulation pump exhaust gas collection container carbon dioxide pipeline carbon dioxide pump carbon dioxide supply configuration processing room heater circulation line circulation inlet circulation outlet chemical supply Pipeline jet pump detergent supply container washing supply line jet pump exhaust pipe detergent supply configuration block diagram-21 -

Claims (1)

(1) 1272693 拾、申請專利範圍 1 · 一種處理低k値電介質材料表面之方法,其包t a·用超臨界甲矽烷基化劑來處理該低k値電介質材g 表面,以形成一鈍化之低k値電介質材料表面; b。在用超臨界甲矽烷基化劑處理該低k値電介質材料^ 表面之後,移除該超臨界甲矽烷基化劑; c ·用超臨界溶劑溶液來處理該經鈍化之低k値電介胃 材料表面;及 d.在用超臨界溶劑溶液處理該經鈍化之低k値電介質 材料表面之後,移除該超臨界溶劑。 2 .如申請專利範圍第1項之方法,其中,該超臨界 甲矽烷基化劑包括超臨界二氧化碳及某一數量之包含有機 基團之甲矽烷基化劑。 3 .如申請專利範圍第2項之方法,其中,該有機基 團包含5個碳原子或更少。 4 .如申請專利範圍第1項之方法,其中,該超臨界 溶劑溶液包含超臨界二氧化碳及酸類與氟化物之混合物。 5 .如申請專利範圍第4項之方法,其中,該酸類包 含有機酸類。 6 .如申請專利範圍第4項之方法,其中,該酸類包 含無機酸類。 7 .如申請專利範圍第1項之方法,其中,該超臨界 甲矽烷基化劑包含具有結構(1),(!^),(113)3丨>^(114)之矽甲 (2) 1272693 烷。 8 ·如申請專利範圍第1項之方法,其中,該超臨界 _ 甲矽烷基化劑另包含一載體溶劑。 9 ·如申請專利範圍第5項之方法,其中,該載體溶 劑係選自由N,N -二甲基乙酸胺(DMAC)、7'-丁內酯(BLO) 、二甲亞楓(D M S 0)、碳酸乙燒酯(E C )、N -甲基吡咯院酮 (ΝΜΡ)、二甲基批啶酮、碳酸丙烯酯及酒精所組成之族群 1 〇 ·如申§靑專利軺圍第1項之方法,其中,該低k値 電介質材料之表面係維持在攝氏25至200度範圍之間。 1 1 ·如申請專利範圍第1項之方法,其中,用超臨界 甲矽烷基化劑來處理該低k値電介質材料表面包含使該超 臨界甲砂院基化劑循環於該低k値電介質材料表面上方。 1 2 ·如申請專利範圍第1項之方法,其中,用超臨界 溶劑溶液來處理該低k値電介質材料表面包含使該超臨界 溶劑溶液循環於該低k値電介質材料表面上方。 鲁 1 3 ·如申請專利範圍第丨項之方法,其中,該超臨界 甲砂丨兀基化劑係維持在每平方英吋700至9,000膀之壓力 範圍中。 1 4 .如申請專利範圍第1項之方法,另包含於用超臨 界甲矽烷基化劑來處理該低k値電介質材料表面之前乾燥 該低k値電介質材料表面。 1 5 ·如申請專利範圍第1 〇項之方法,其中,乾燥該低 k値電介質材料之表面包括用含有超臨界二氧化碳之超臨 -23- (3) 1272693 界乾燥溶液來處理該低k値電介質材料表面。 - 1 6.如申請專利範圍第1項之方法,其中,該低k値 — 電介質材料之表面包括氧化矽。 1 7 ·如申請專利範圍第1項之方法,其中,該低k値 電介質材料之表面包括一選自由摻雜碳之氧化物(C〇D)、 旋轉塗佈玻璃(SO G)、及氟化矽玻璃(FSG)所組成族群之材 料。 1 8 · —種處理低k値電介質表面之方法,其包含: 馨 a. 用第一超臨界清潔溶液由該低k値電介質表面來去 除蝕刻後殘渣; b. 用甲矽烷基化劑來處理該低k値電介質表面以形成 鈍化之電介質表面,其中,該甲矽烷基化劑係在第二超臨 界清潔溶液中;及 c·用一溶劑來處理該鈍化之電介質表面,其中,該溶 劑係在第三超臨界清潔溶液中。 1 9 ·如申請專利範圍第1 8項之方法,其中,該蝕刻後 鲁 殘渣包含一聚合物。 20.如申請專利範圍第19項之方法,其中,該聚合物 係一光阻蝕刻劑聚合物。 2 1.如申請專利範圍第20項之方法,其中,該光阻蝕 刻劑聚合物包含一抗反射染料。 2 2.如申請專利範圍第18項之方法,其中,該電介質 表面包含氧化矽。 2 3 .如申請專利範圍第1 8項之方法,其中,該電介質 -24- (4) 1272693 表面包含低k値電介質材料。 2 4 ·如申請專利範圍第1 8項之方法,其中,該電介質 表面包括一選自由摻雜碳之氧化物(COD)、旋轉塗佈玻璃 (SO G)、及氟化矽玻璃(FSG)所組成族群之材料。 2 5 ·如申請專利範圍第1 8項之方法,其中,該蝕刻後 殘渣包含一抗反射塗層。 26·如申請專利範圍第18項之方法,其中,該甲矽烷 基化劑包含一有機矽化合物。 27.如申請專利範圍第18項之方法,其中,該溶劑包 含超臨界二氧化碳及酸類與氟化物之混合物。 2 8.如申請專利範圍第25項之方法,其中,該有機矽 化合物係具有結構(111)5(112),(113)8丨>^(114)之矽甲烷。 1272693 陸、(一) (二) 、本案指定代表圖為:第4圖 、本代表圖之元件代表符號簡單說明 無 柒、本案若有化學式時,請揭示最能顯示發明特徵的化學 式:(1) 1272693 Pickup, Patent Application No. 1 A method for treating the surface of a low-k値 dielectric material, which comprises treating a surface of the low-k値 dielectric material g with a supercritical formazan alkylating agent to form a passivation Low k値 dielectric material surface; b. After treating the surface of the low-k値 dielectric material with a supercritical onylate alkylating agent, the supercritical formazan alkylating agent is removed; c. treating the passivated low-k値 dielectric film with a supercritical solvent solution Material surface; and d. after treating the surface of the passivated low-k値 dielectric material with a supercritical solvent solution, the supercritical solvent is removed. 2. The method of claim 1, wherein the supercritical formamylating agent comprises supercritical carbon dioxide and a quantity of a methylation alkylating agent comprising an organic group. 3. The method of claim 2, wherein the organic group comprises 5 carbon atoms or less. 4. The method of claim 1, wherein the supercritical solvent solution comprises supercritical carbon dioxide and a mixture of an acid and a fluoride. 5. The method of claim 4, wherein the acid contains an organic acid. 6. The method of claim 4, wherein the acid comprises a mineral acid. 7. The method of claim 1, wherein the supercritical formamylating agent comprises an armor having a structure (1), (!^), (113)3丨>^(114) (2) ) 1272693 Alkane. 8. The method of claim 1, wherein the supercritical methine alkylating agent further comprises a carrier solvent. 9. The method of claim 5, wherein the carrier solvent is selected from the group consisting of N,N-dimethylacetic acid amine (DMAC), 7'-butyrolactone (BLO), and dimethyl sulfoxide (DMS 0) ), the group consisting of ethidium carbonate (EC), N-methylpyrrolidone (ΝΜΡ), dimethyl ridone, propylene carbonate and alcohol 1 〇 · 申 靑 靑 轺 第 第 第 第 第The method wherein the surface of the low-k値 dielectric material is maintained between 25 and 200 degrees Celsius. The method of claim 1, wherein treating the surface of the low-k値 dielectric material with a supercritical methyl hydrazine alkylating agent comprises circulating the supercritical methacrylate compounding agent to the low-k値 dielectric Above the surface of the material. The method of claim 1, wherein treating the surface of the low-k値 dielectric material with a supercritical solvent solution comprises circulating the supercritical solvent solution over the surface of the low-k dielectric material. Lu 1 3 . The method of claim 2, wherein the supercritical ceramide is maintained at a pressure in the range of 700 to 9,000 bladders per square inch. The method of claim 1, further comprising drying the surface of the low-k値 dielectric material prior to treating the surface of the low-k値 dielectric material with a supercritical formamylating agent. The method of claim 1, wherein drying the surface of the low-k値 dielectric material comprises treating the low-k値 with a super-pro- -23-(3) 1272693 boundary dry solution containing supercritical carbon dioxide. The surface of the dielectric material. The method of claim 1, wherein the surface of the low dielectric material comprises ruthenium oxide. The method of claim 1, wherein the surface of the low-k値 dielectric material comprises an oxide selected from the group consisting of carbon doped oxide (C〇D), spin-coated glass (SO G), and fluorine. The material of the group consisting of bismuth glass (FSG). 1 8 - A method of treating a low-k値 dielectric surface, comprising: xin a. removing the post-etch residue from the low-k値 dielectric surface with a first supercritical cleaning solution; b. treating with a formazan alkylating agent The low-k値 dielectric surface to form a passivated dielectric surface, wherein the formylating agent is in the second supercritical cleaning solution; and c. treating the passivated dielectric surface with a solvent, wherein the solvent is In the third supercritical cleaning solution. The method of claim 18, wherein the post-etching Lu residue comprises a polymer. 20. The method of claim 19, wherein the polymer is a photoresist etchant polymer. 2. The method of claim 20, wherein the photoresist polymer comprises an anti-reflective dye. 2. The method of claim 18, wherein the dielectric surface comprises cerium oxide. The method of claim 18, wherein the dielectric -24-(4) 1272693 surface comprises a low-k値 dielectric material. The method of claim 18, wherein the dielectric surface comprises an oxide selected from the group consisting of carbon doped oxide (COD), spin-on glass (SO G), and barium fluoride glass (FSG). The material of the group. The method of claim 18, wherein the post-etching residue comprises an anti-reflective coating. The method of claim 18, wherein the formylating agent comprises an organic cerium compound. 27. The method of claim 18, wherein the solvent comprises supercritical carbon dioxide and a mixture of an acid and a fluoride. The method of claim 25, wherein the organic ruthenium compound has a structure of (111) 5 (112), (113) 8 丨 > (114) of methane. 1272693 Lu, (1) (2) The representative representative of this case is: Figure 4, a simple description of the symbol of the representative figure of this representative. No, if there is a chemical formula in this case, please disclose the chemical formula that best shows the characteristics of the invention:
TW092108563A 2002-04-12 2003-04-14 Method of treatment of porous dielectric films to reduce damage during cleaning TWI272693B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US37282202P 2002-04-12 2002-04-12

Publications (2)

Publication Number Publication Date
TW200308051A TW200308051A (en) 2003-12-16
TWI272693B true TWI272693B (en) 2007-02-01

Family

ID=29250913

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092108563A TWI272693B (en) 2002-04-12 2003-04-14 Method of treatment of porous dielectric films to reduce damage during cleaning

Country Status (7)

Country Link
EP (1) EP1495366A1 (en)
JP (1) JP4424998B2 (en)
KR (1) KR100969027B1 (en)
CN (2) CN101005024B (en)
AU (1) AU2003226048A1 (en)
TW (1) TWI272693B (en)
WO (1) WO2003087936A1 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101005024B (en) * 2002-04-12 2011-06-08 东京毅力科创株式会社 Method of treatment of porous dielectric films to reduce damage during cleaning
US20050158664A1 (en) * 2004-01-20 2005-07-21 Joshua Tseng Method of integrating post-etching cleaning process with deposition for semiconductor device
JP4630077B2 (en) 2005-01-27 2011-02-09 日本電信電話株式会社 Resist pattern forming method
JP4555698B2 (en) * 2005-01-27 2010-10-06 日本電信電話株式会社 Resist pattern forming method
US7008853B1 (en) * 2005-02-25 2006-03-07 Infineon Technologies, Ag Method and system for fabricating free-standing nanostructures
JP2008538013A (en) * 2005-04-15 2008-10-02 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP5247999B2 (en) * 2005-09-29 2013-07-24 東京エレクトロン株式会社 Substrate processing method and computer-readable storage medium
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
JP5173396B2 (en) * 2007-12-25 2013-04-03 大陽日酸株式会社 Insulation film damage recovery method
JP6151484B2 (en) 2012-06-11 2017-06-21 東京応化工業株式会社 Lithographic cleaning liquid and wiring forming method
KR20200015279A (en) 2018-08-03 2020-02-12 삼성전자주식회사 Method for forming nanocrystalline graphene and device including the same

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
GB2262465A (en) * 1991-12-16 1993-06-23 Secr Defence Casting of aluminium-lithium alloys
US5479727A (en) * 1994-10-25 1996-01-02 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
KR100693691B1 (en) 2000-04-25 2007-03-09 동경 엘렉트론 주식회사 Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6673521B2 (en) * 2000-12-12 2004-01-06 Lnternational Business Machines Corporation Supercritical fluid(SCF) silylation process
CN101005024B (en) * 2002-04-12 2011-06-08 东京毅力科创株式会社 Method of treatment of porous dielectric films to reduce damage during cleaning

Also Published As

Publication number Publication date
KR100969027B1 (en) 2010-07-09
KR20040111507A (en) 2004-12-31
CN101005024A (en) 2007-07-25
WO2003087936A1 (en) 2003-10-23
CN101005024B (en) 2011-06-08
TW200308051A (en) 2003-12-16
JP2005522737A (en) 2005-07-28
CN100335969C (en) 2007-09-05
AU2003226048A1 (en) 2003-10-27
EP1495366A1 (en) 2005-01-12
CN1646990A (en) 2005-07-27
JP4424998B2 (en) 2010-03-03

Similar Documents

Publication Publication Date Title
US7169540B2 (en) Method of treatment of porous dielectric films to reduce damage during cleaning
JP3771496B2 (en) Removal of photoresist and residue from substrate using supercritical carbon dioxide method
JP3978023B2 (en) High pressure processing method
US20050191861A1 (en) Using supercritical fluids and/or dense fluids in semiconductor applications
JP4246640B2 (en) Method for passivating low dielectric constant materials in wafer processing
US7192878B2 (en) Method for removing post-etch residue from wafer surface
TWI272693B (en) Method of treatment of porous dielectric films to reduce damage during cleaning
JP4256722B2 (en) Cleaning method for fine structure
US20040177867A1 (en) Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
TW200522141A (en) Method and system for treating a dielectric film
JP2008547050A (en) Concentrated fluid composition for removal of cured photoresist, post-etch residue and / or underlying antireflective coating layer
JP2004507087A (en) Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide method
TW200823611A (en) Formulation for removal of photoresist, etch residue and BARC
KR100505693B1 (en) Cleaning method of photoresist or organic material from microelectronic device substrate
KR100720249B1 (en) Method for cleaning microstructure
TWI279858B (en) Supercritical fluid processing system having a coating on internal members and a method of using
US6905556B1 (en) Method and apparatus for using surfactants in supercritical fluid processing of wafers
KR20050032943A (en) Cleaning method and apparatus for manufacturing semiconductor device
JP2004510321A (en) Supercritical fluid cleaning process for precision surfaces
TWI239042B (en) Method of manufacturing semiconductor device
TW500985B (en) Removal of photoresist and residue from substrate using supercritical carbon dioxide process
JP2006287221A (en) Neutralization of systematic poisoning in wafer treatment
TW200305213A (en) Method of passivating of low dielectric materials in wafer processing
US7399708B2 (en) Method of treating a composite spin-on glass/anti-reflective material prior to cleaning

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees