JP5247999B2 - Substrate processing method and computer-readable storage medium - Google Patents

Substrate processing method and computer-readable storage medium Download PDF

Info

Publication number
JP5247999B2
JP5247999B2 JP2006230831A JP2006230831A JP5247999B2 JP 5247999 B2 JP5247999 B2 JP 5247999B2 JP 2006230831 A JP2006230831 A JP 2006230831A JP 2006230831 A JP2006230831 A JP 2006230831A JP 5247999 B2 JP5247999 B2 JP 5247999B2
Authority
JP
Japan
Prior art keywords
film
dielectric constant
etching
wafer
etched
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006230831A
Other languages
Japanese (ja)
Other versions
JP2007123836A (en
Inventor
康 藤井
孝之 戸島
武彦 折居
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006230831A priority Critical patent/JP5247999B2/en
Publication of JP2007123836A publication Critical patent/JP2007123836A/en
Application granted granted Critical
Publication of JP5247999B2 publication Critical patent/JP5247999B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Weting (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は、例えば、デュアルダマシン法等による半導体装置の製造過程において所定の物質を変性させるための変性処理および変性物質の溶解除去処理を行う基板処理方法およびコンピュータ読取可能な記憶媒体に関する。   The present invention relates to a substrate processing method and a computer-readable storage medium for performing a modification process for modifying a predetermined substance and a dissolution / removal process of the modified substance in a manufacturing process of a semiconductor device by, for example, a dual damascene method.

半導体デバイスにおいては、微細化による配線間隔の減少が、配線間に大きな容量を発生させ、信号の伝播速度を低下させることにより動作速度の遅延を招く。この問題を解決するため、比誘電率の低い絶縁材料(Low−k材料)の開発ならびにそれを用いた多層配線の開発がなされている。一方、配線材料として、低抵抗かつ高いエレクトロマイグレーション耐性を有する銅が注目されており、銅の溝配線や接続孔の形成には、シングルダマシン法やデュアルダマシン法が多用されている。   In a semiconductor device, a reduction in the wiring interval due to miniaturization generates a large capacitance between the wirings, thereby causing a delay in operation speed by reducing the signal propagation speed. In order to solve this problem, development of an insulating material (Low-k material) having a low relative dielectric constant and development of a multilayer wiring using the same have been made. On the other hand, copper having a low resistance and high electromigration resistance has attracted attention as a wiring material, and a single damascene method or a dual damascene method is frequently used for forming copper trench wiring and connection holes.

図11は、デュアルダマシン法による多層銅配線の形成工程の一例を示す説明図である。まず、シリコン基板(図示せず)の上のLow−k材料からなる絶縁膜(Low−k膜)200に、バリアメタル層201を介して銅からなる下部配線202を形成し、エッチングストッパ膜203を介して層間絶縁膜としてLow−k膜204を形成し、さらにその表面に反射防止膜(BARC;Bottom Anti-Reflective Corting)205を形成した後に反射防止膜205の表面にレジスト膜206を形成し、次いでレジスト膜206を所定のパターンで露光し、これを現像することによって、レジスト膜206に回路パターンを形成する(図11(a))。   FIG. 11 is an explanatory diagram showing an example of the formation process of the multilayer copper wiring by the dual damascene method. First, a lower wiring 202 made of copper is formed on an insulating film (Low-k film) 200 made of a Low-k material on a silicon substrate (not shown) via a barrier metal layer 201, and an etching stopper film 203 is formed. Then, a low-k film 204 is formed as an interlayer insulating film through the film, and an antireflection film (BARC: Bottom Anti-Reflective Corting) 205 is formed on the surface thereof, and then a resist film 206 is formed on the surface of the antireflection film 205. Then, the resist film 206 is exposed with a predetermined pattern and developed to form a circuit pattern on the resist film 206 (FIG. 11A).

次いで、レジスト膜206をマスクとしてLow−k膜204をエッチングし、ビアホール204aを形成する(図11(b))。反射防止膜205とレジスト膜206を、薬液処理やアッシング処理等によって除去した後に、ビアホール204aを有する絶縁膜204の表面に犠牲膜207を形成する(図11(c))。このときビアホール204aも犠牲膜207によって埋められる。   Next, the low-k film 204 is etched using the resist film 206 as a mask to form a via hole 204a (FIG. 11B). After removing the antireflection film 205 and the resist film 206 by chemical treatment, ashing treatment, or the like, a sacrificial film 207 is formed on the surface of the insulating film 204 having the via hole 204a (FIG. 11C). At this time, the via hole 204 a is also filled with the sacrificial film 207.

犠牲膜207の表面にレジスト膜208を形成して、レジスト膜208を所定のパターンで露光し、これを現像することによって、レジスト膜208に回路パターンを形成する(図11(d))。その後、レジスト膜208をマスクとして犠牲膜207およびLow−k膜204をエッチング処理することによって、ビアホール204aの上により幅の広いトレンチ204bが形成される(図11(e))。その後、レジスト膜208と犠牲膜207を除去することによって、絶縁膜204にビアホール204aとトレンチ204bが形成される(図11(f))。そして、これらの中に上部配線として銅が埋め込まれる。   A resist film 208 is formed on the surface of the sacrificial film 207, the resist film 208 is exposed with a predetermined pattern, and developed to form a circuit pattern on the resist film 208 (FIG. 11D). Thereafter, the sacrificial film 207 and the low-k film 204 are etched using the resist film 208 as a mask, thereby forming a wider trench 204b on the via hole 204a (FIG. 11E). Thereafter, by removing the resist film 208 and the sacrificial film 207, a via hole 204a and a trench 204b are formed in the insulating film 204 (FIG. 11F). And copper is embedded in these as upper wiring.

ところで、犠牲膜207としてはSi−O系の無機材料が多用されており、従来のレジスト膜除去に用いられているアッシング処理では犠牲膜207を除去することが困難である。また、薬液で溶解することも試みられているが、極めて処理速度が遅くなってしまう。   By the way, as the sacrificial film 207, Si—O-based inorganic materials are frequently used, and it is difficult to remove the sacrificial film 207 by the ashing process used for conventional resist film removal. In addition, attempts have been made to dissolve with a chemical solution, but the processing speed is extremely slow.

このような犠牲膜を除去する技術として、水蒸気とオゾンを含む処理ガスにより犠牲膜を所定の薬液に可溶に変性させ、その後、その薬液により犠牲膜を除去する技術が提案されている(特許文献1)。   As a technique for removing such a sacrificial film, a technique has been proposed in which the sacrificial film is solublely modified in a predetermined chemical solution with a processing gas containing water vapor and ozone, and then the sacrificial film is removed with the chemical solution (patent) Reference 1).

しかしながら、水蒸気とオゾンを含む処理ガスを用いて処理した際に、パターンが形成されたLow−k材料からなる層間絶縁膜にダメージが入ることがあり、その後の薬液処理によりパターンへのダメージが顕在化するおそれがある。   However, when processing is performed using a processing gas containing water vapor and ozone, the interlayer insulating film made of the low-k material on which the pattern is formed may be damaged, and the damage to the pattern is manifested by subsequent chemical processing. There is a risk of becoming.

また、このような水蒸気とオゾンを含む処理ガスによって液可溶化処理を行い、その後に薬液による洗浄処理を行うと、Low−k材料にダメージが生じて比誘電率が上昇してしまい、層間絶縁膜としてLow−k材料を用いた効果が低減してしまう。
特開2004−214388号公報
In addition, when a liquid solubilization process is performed with such a process gas containing water vapor and ozone, and then a cleaning process with a chemical solution is performed, the low-k material is damaged and the relative dielectric constant is increased, thereby causing interlayer insulation. The effect of using a low-k material as the film is reduced.
JP 2004-214388 A

本発明はかかる事情に鑑みてなされたものであって、被エッチン膜をエッチング後、犠牲膜等の残存物質を除去する処理を、その残存物質を所定の液に可溶化し、次いで、その所定の液により残存物質を除去することで行う際に、パターンはがれ等のダメージが少ない基板処理方法を提供することを目的とする。
また、それに加えて、残存物質の除去処理の際に被エッチング膜のダメージによる電気特性の低下を抑制することができる基板処理方法を提供することを目的とする。
さらに、そのような方法を実行する制御プログラムが記憶されたコンピュータ読取可能な記憶媒体を提供することを目的とする。
The present invention has been made in view of such circumstances, and after etching the film to be etched, a process for removing the remaining material such as a sacrificial film is performed by solubilizing the remaining material in a predetermined liquid, and then performing the predetermined process. It is an object of the present invention to provide a substrate processing method with less damage such as pattern peeling when the remaining material is removed with the above solution.
In addition, another object of the present invention is to provide a substrate processing method capable of suppressing a decrease in electrical characteristics due to damage to a film to be etched during a removal process of a residual substance.
Furthermore, it aims at providing the computer-readable storage medium with which the control program which performs such a method was memorize | stored.

上記課題を解決するために、本発明の第1の観点では、基板上に形成された低誘電率材料からなる被エッチング膜をエッチング処理して所定パターンを形成する工程と、前記エッチング処理を終了した後に残存する物質を所定の液に対して可溶化するように変性させる工程と、次いで、前記パターンが形成された被エッチング膜の表面をシリル化処理する工程と、その後、前記所定の液を供給して前記変性された物質を溶解除去する工程とを有し、前記シリル化処理は、前記変性させる工程により前記被エッチング膜に形成されたダメージを回復させ、前記溶解除去する工程の際のパターンはがれを防止するとともに、前記ダメージにより誘電率が上昇した被エッチング膜の誘電率を低下させ、前記変性させる工程は、水蒸気とオゾンを含む処理ガスを供給して行うことを特徴とする基板処理方法を提供する。 In order to solve the above-mentioned problems, in the first aspect of the present invention, a step of etching a film to be etched made of a low dielectric constant material formed on a substrate to form a predetermined pattern, and the etching process are terminated. A step of modifying so as to solubilize the remaining substance in a predetermined liquid, a step of silylating the surface of the film to be etched on which the pattern is formed, and then the predetermined liquid Supplying and dissolving and removing the modified substance, and the silylation treatment recovers damage formed in the film to be etched by the modifying step and dissolves and removes the modified substance. with pattern prevents peeling, the lowering of the dielectric constant of the film to be etched dielectric constant is increased by the damage, the step of the modification, containing water vapor and ozone To provide a substrate processing method, which comprises carrying out by supplying physical gas.

本発明の第2の観点では、基板上に形成された低誘電率材料からなる被エッチング膜の上に犠牲膜を形成する工程と、前記犠牲膜の上にエッチングマスクを形成し、前記犠牲膜と前記被エッチング膜をエッチングして所定パターンを形成する工程と、前記犠牲膜と前記エッチングマスクを所定の液に可溶化するように変性させる工程と、次いで、前記パターンが形成された被エッチング膜の表面をシリル化処理する工程と、その後、前記所定の液を供給して前記変性された物質を溶解除去する工程とを有し、前記シリル化処理は、前記変性させる工程により前記被エッチング膜に形成されたダメージを回復させ、前記溶解除去する工程の際のパターンはがれを防止するとともに、前記ダメージにより誘電率が上昇した被エッチング膜の誘電率を低下させ、前記変性させる工程は、水蒸気とオゾンを含む処理ガスを供給して行うことを特徴とする基板処理方法を提供する。 In a second aspect of the present invention, a sacrificial film is formed on a film to be etched made of a low dielectric constant material formed on a substrate, an etching mask is formed on the sacrificial film, and the sacrificial film Etching the film to be etched to form a predetermined pattern, modifying the sacrificial film and the etching mask so as to be solubilized in a predetermined liquid, and then etching the film on which the pattern is formed And a step of supplying the predetermined liquid to dissolve and remove the modified substance, and the silylation treatment is performed by the step of modifying the film to be etched. The dielectric constant of the film to be etched is recovered by recovering the damage formed on the substrate and preventing the peeling of the pattern in the step of dissolving and removing the dielectric constant. Decrease, the step of the modification is to provide a substrate processing method, which comprises carrying out by supplying a process gas containing water vapor and ozone.

本発明の第3の観点では、基板上に形成された低誘電率材料からなる被エッチング膜をエッチング処理して所定パターンを形成する工程と、前記エッチング処理を終了した後に残存する物質を所定の液に対して可溶化するように変性させる工程と、次いで、前記パターンが形成された被エッチング膜の表面をシリル化処理する工程と、その後、前記所定の液を供給して前記変性された物質を溶解除去する工程とを有し、前記シリル化処理は、前記変性させる工程により前記被エッチング膜に形成されたダメージを回復させ、前記溶解除去する工程の際のパターンはがれを防止するとともに、前記ダメージにより誘電率が上昇した被エッチング膜の誘電率を低下させ、前記変性させる工程は、オゾンを含む処理ガスを供給して行うことを特徴とする基板処理方法を提供する。In the third aspect of the present invention, a step of etching a film to be etched made of a low dielectric constant material formed on a substrate to form a predetermined pattern, and a substance remaining after the etching process is finished A step of modifying so as to be solubilized in a liquid, a step of silylating the surface of the film to be etched on which the pattern is formed, and then supplying the predetermined liquid to the modified substance And the silylation treatment recovers damage formed on the film to be etched by the step of modifying, prevents pattern peeling during the step of dissolving and removing, and The step of reducing the dielectric constant of the film to be etched whose dielectric constant has increased due to damage and performing the modification is performed by supplying a processing gas containing ozone. To provide that substrate processing method.

本発明の第4の観点では、基板上に形成された低誘電率材料からなる被エッチング膜の上に犠牲膜を形成する工程と、前記犠牲膜の上にエッチングマスクを形成し、前記犠牲膜と前記被エッチング膜をエッチングして所定パターンを形成する工程と、前記犠牲膜と前記エッチングマスクを所定の液に可溶化するように変性させる工程と、次いで、前記パターンが形成された被エッチング膜の表面をシリル化処理する工程と、その後、前記所定の液を供給して前記変性された物質を溶解除去する工程とを有し、前記シリル化処理は、前記変性させる工程により前記被エッチング膜に形成されたダメージを回復させ、前記溶解除去する工程の際のパターンはがれを防止するとともに、前記ダメージにより誘電率が上昇した被エッチング膜の誘電率を低下させ、前記変性させる工程は、オゾンを含む処理ガスを供給して行うことを特徴とする基板処理方法を提供する。In a fourth aspect of the present invention, a sacrificial film is formed on a film to be etched made of a low dielectric constant material formed on a substrate, an etching mask is formed on the sacrificial film, and the sacrificial film Etching the film to be etched to form a predetermined pattern, modifying the sacrificial film and the etching mask so as to be solubilized in a predetermined liquid, and then etching the film on which the pattern is formed And a step of supplying the predetermined liquid to dissolve and remove the modified substance, and the silylation treatment is performed by the step of modifying the film to be etched. The dielectric constant of the film to be etched is recovered by recovering the damage formed on the substrate and preventing the peeling of the pattern in the step of dissolving and removing the dielectric constant. Decrease, the step of the modification is to provide a substrate processing method, which comprises carrying out by supplying a process gas containing ozone.

上記第1〜第4の観点において、前記変性された物質が除去された後の被エッチング膜の表面をシリル化し、溶解除去する工程で前記被エッチング膜表面に形成されたダメージを回復させる工程をさらに有することが好ましい。前記低誘電率材料としては、アルキル基を末端基として有するものが特に有効である。  In the first to fourth aspects, the step of recovering damage formed on the surface of the film to be etched in the step of silylating and dissolving and removing the surface of the film to be etched after the modified substance is removed Furthermore, it is preferable to have. As the low dielectric constant material, those having an alkyl group as a terminal group are particularly effective.

前記シリル化処理は、分子内にシラザン結合(Si−N)を有する化合物を用いて行なうことが好ましい。前記分子内にシラザン結合を有する化合物が、TMDS(1,1,3,3-Tetramethyldisilazane)またはTMSDMA(Dimethylaminotrimethylsilane)であることが好ましい。   The silylation treatment is preferably performed using a compound having a silazane bond (Si—N) in the molecule. The compound having a silazane bond in the molecule is preferably TMDS (1,1,3,3-Tetramethyldisilazane) or TMSDMA (Dimethylaminotrimethylsilane).

本発明の第の観点では、低誘電率材料からなる被エッチング膜を有し、エッチング処理により被エッチング膜に所定パターンが形成され、エッチング処理後に残存する物質を所定の液に対して可溶化するように、水蒸気とオゾンを含む処理ガスまたはオゾンを含む処理ガスが供給されて変性された基板に対し、被エッチング膜の表面をシリル化処理する工程と、その後、前記所定の液を供給して前記変性された物質を溶解除去する工程とを有し、前記シリル化処理は、変性された際に前記被エッチング膜に形成されたダメージを回復させ、前記溶解除去する工程の際のパターンはがれを防止するとともに、前記ダメージにより誘電率が上昇した被エッチング膜の誘電率を低下させることを特徴とする基板処理方法を提供する。 In the fifth aspect of the present invention, a film to be etched made of a low dielectric constant material is provided, a predetermined pattern is formed in the film to be etched by the etching process, and a substance remaining after the etching process is solubilized in a predetermined liquid And a step of silylating the surface of the film to be etched with respect to the substrate modified with the treatment gas containing water vapor and ozone or the treatment gas containing ozone, and then supplying the predetermined liquid. And the step of dissolving and removing the modified substance, the silylation treatment recovers the damage formed on the film to be etched when modified, and the pattern is peeled off during the step of dissolving and removing. And a substrate processing method characterized in that the dielectric constant of a film to be etched whose dielectric constant is increased by the damage is lowered.

本発明の第の観点では、コンピュータ上で動作する制御プログラムが記憶されたコンピュータ読取可能な記憶媒体であって、前記制御プログラムは、実行時に、上記第1〜第5の観点の基板処理方法が行われるように、コンピュータに製造装置を制御させることを特徴とするコンピュータ読取可能な記憶媒体が提供される。 According to a sixth aspect of the present invention, there is provided a computer-readable storage medium storing a control program that operates on a computer, wherein the control program is executed when the substrate processing methods according to the first to fifth aspects are performed. A computer-readable storage medium is provided that causes a computer to control a manufacturing apparatus.

本発明によれば、ダメージが入りやすい低誘電率材料からなる被エッチング膜を用い、犠牲膜等の除去し難い物質を用いて、被エッチン膜をエッチングした後、犠牲膜等の残存物質を除去する処理を、その残存物質を所定の液に可溶化し、次いで、その所定の液により残存物質を溶解除去することで行う際に、溶解除去に先立ってシリル化処理によりエッチング膜をダメージから回復させ、溶解除去する工程の際のパターンはがれを防止するとともに、ダメージにより誘電率が上昇した被エッチング膜の誘電率を低下させるので、残存物質の溶解除去の際にパターンのCDロス等のパターンダメージが生じ難く、また、ダメージにより上昇した誘電率を低下させることもできる。 According to the present invention, using the film to be etched made of damage enters easily low-k material, using a removing hard material of the sacrificial film, after etching the target etching film, the residual material of the sacrificial film eliminator When the residual material is solubilized in a predetermined liquid and then the residual material is dissolved and removed by the predetermined liquid, the film to be etched is protected from damage by silylation prior to the dissolution and removal. The recovery and dissolution removal process prevents pattern peeling and lowers the dielectric constant of the film to be etched whose dielectric constant has increased due to damage. damage rather difficulty occurs, it can also be lowering elevated dielectric constant by damage.

また、上記残存物質の溶解除去処理の後もシリル化処理を行うことにより、残存物質の除去処理の際の被エッチング膜のダメージによる電気特性の低下を回復させることができる。   Further, by performing the silylation treatment after the residual material dissolution and removal treatment, it is possible to recover the deterioration of the electrical characteristics due to the damage of the etching target film during the residual material removal treatment.

以下、添付図面を参照しながら本発明の実施形態について詳細に説明する。ここでは、デュアルダマシン法により半導体装置を製造する際に本発明を適用した例について説明する。   Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. Here, an example in which the present invention is applied when manufacturing a semiconductor device by a dual damascene method will be described.

図1は本発明の一実施形態に基板処理方法が適用される、デュアルダマシン法による半導体装置の製造プロセスに用いられるウエハ処理システムの概略構成を示す説明図である。このウエハ処理システムは、SOD(Spin On Dielectric)装置101と、レジスト塗布/現像装置102と、露光装置103と、洗浄処理装置104と、エッチング装置105と、PVD装置の1つであるスパッタ装置106と、電解メッキ装置107と、研磨装置としてのCMP装置109とを備えた処理部100と、プロセスコントローラ111、ユーザーインターフェース112、記憶部113を含むメイン制御部110を備えている。ここで、処理部100のSOD装置101とスパッタ装置106と電解メッキ装置107は、成膜装置である。なお、処理部100の装置間でウエハWを搬送する方法としては、オペレータによる搬送方法や、図示しない搬送装置による搬送方法が用いられる。   FIG. 1 is an explanatory diagram showing a schematic configuration of a wafer processing system used in a semiconductor device manufacturing process by a dual damascene method, to which a substrate processing method is applied according to an embodiment of the present invention. This wafer processing system includes an SOD (Spin On Dielectric) apparatus 101, a resist coating / developing apparatus 102, an exposure apparatus 103, a cleaning processing apparatus 104, an etching apparatus 105, and a sputtering apparatus 106 which is one of PVD apparatuses. And a processing unit 100 including an electrolytic plating apparatus 107 and a CMP apparatus 109 as a polishing apparatus, and a main control unit 110 including a process controller 111, a user interface 112, and a storage unit 113. Here, the SOD device 101, the sputtering device 106, and the electrolytic plating device 107 of the processing unit 100 are film forming devices. In addition, as a method for transferring the wafer W between apparatuses of the processing unit 100, a transfer method by an operator or a transfer method by a transfer device (not shown) is used.

処理部100の各装置は、CPUを備えたプロセスコントローラ111に接続されて制御される構成となっている。プロセスコントローラ111には、工程管理者が処理部100の各装置を管理するためにコマンドの入力操作等を行うキーボードや、処理部100の各装置の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース112と、処理部100で実行される各種処理をプロセスコントローラ111の制御にて実現するための制御プログラムや処理条件データ等が記録されたレシピが格納された記憶部113とが接続されている。   Each device of the processing unit 100 is connected to and controlled by a process controller 111 having a CPU. The process controller 111 includes a keyboard that allows a process manager to input commands to manage each device of the processing unit 100, a display that visualizes and displays the operating status of each device of the processing unit 100, and the like. The user interface 112 is connected to a storage unit 113 in which a control program for realizing various processes executed by the processing unit 100 under the control of the process controller 111 and a recipe storing processing condition data are stored. Yes.

そして、必要に応じて、ユーザーインターフェース112からの指示等を受けて、任意のレシピを記憶部113から呼び出してプロセスコントローラ111に実行させることで、プロセスコントローラ111の制御下で、処理部100において所望の各種処理が行われる。また、前記レシピは、例えば、CD−ROM、ハードディスク、フレキシブルディスク、不揮発性メモリなどの読み出し可能な記憶媒体に格納された状態のものであってもよく、さらに、処理部100の各装置間、あるいは外部の装置から、例えば専用回線を介して随時伝送させてオンラインで利用したりすることも可能である。
なお、メイン制御部110による全体的な制御は行なわず、あるいは、メイン制御部110による全体的な制御と重畳して、処理部100の各装置毎にプロセスコントローラ、ユーザーインターフェースおよび記憶部を含む制御部を個別に配備して制御を行なう構成を採用することもできる。
Then, if necessary, the processing unit 100 receives an instruction from the user interface 112, calls an arbitrary recipe from the storage unit 113, and causes the process controller 111 to execute the desired recipe in the processing unit 100 under the control of the process controller 111. Various processes are performed. In addition, the recipe may be stored in a readable storage medium such as a CD-ROM, a hard disk, a flexible disk, and a nonvolatile memory. Alternatively, it may be transmitted from an external device as needed via, for example, a dedicated line and used online.
In addition, the overall control by the main control unit 110 is not performed, or a control including a process controller, a user interface, and a storage unit is provided for each device of the processing unit 100 in superposition with the overall control by the main control unit 110. It is also possible to employ a configuration in which the units are individually deployed and controlled.

上記SOD装置101は、ウエハWに薬液を塗布してLow−k膜等の層間絶縁膜やエッチングストッパ膜等をスピンコート法により形成するために用いられる。SOD装置101の詳細な構成は図示しないが、SOD装置101は、スピンコーターユニットと、塗布膜が形成されたウエハWを熱処理する熱処理ユニットを備えている。ウエハ処理システムでは、SOD装置101に代えて、化学気相蒸着法(CVD;chemical vapor deposition)法によりウエハWに絶縁膜等を形成するCVD装置を用いてもよい。   The SOD apparatus 101 is used for applying a chemical solution to the wafer W to form an interlayer insulating film such as a low-k film, an etching stopper film, and the like by a spin coating method. Although a detailed configuration of the SOD apparatus 101 is not shown, the SOD apparatus 101 includes a spin coater unit and a heat treatment unit that heat-treats the wafer W on which the coating film is formed. In the wafer processing system, a CVD apparatus that forms an insulating film or the like on the wafer W by a chemical vapor deposition (CVD) method may be used instead of the SOD apparatus 101.

上記レジスト塗布/現像装置102は、エッチングマスクとして用いられるレジスト膜や反射防止膜等を形成するために用いられる。レジスト塗布/現像装置102の詳細な構成は図示しないが、レジスト塗布/現像装置102は、ウエハWにレジスト液等を塗布してレジスト膜等をスピンコート成膜するレジスト塗布処理ユニットと、ウエハWに反射防止膜(BARC)を塗布するBARC塗布処理ユニットと、ウエハWに犠牲膜(SLAM)を塗布する犠牲膜塗布処理ユニットと、露光装置103において所定のパターンで露光されたレジスト膜を現像処理する現像処理ユニットと、レジスト膜が成膜されたウエハWや露光処理されたウエハW、現像処理が施されたウエハWをそれぞれ熱的に処理する熱的処理ユニット等を有している。   The resist coating / developing apparatus 102 is used to form a resist film, an antireflection film or the like used as an etching mask. Although a detailed configuration of the resist coating / developing apparatus 102 is not illustrated, the resist coating / developing apparatus 102 includes a resist coating processing unit that applies a resist solution or the like to the wafer W and spin-coats a resist film or the like, and a wafer W. A BARC coating processing unit for coating an antireflection film (BARC) on the substrate, a sacrificial film coating processing unit for coating a sacrificial film (SLAM) on the wafer W, and a developing process for the resist film exposed in a predetermined pattern in the exposure apparatus 103 A developing processing unit, a wafer W on which a resist film is formed, an exposed wafer W, a thermal processing unit for thermally processing the developed wafer W, and the like.

露光装置103は、レジスト膜が形成されたウエハWに所定の回路パターンを露光するために用いられる。洗浄処理装置104は、後に詳細に説明するように、純水や薬液による洗浄処理、エッチング処理後のポリマー残渣等の変性処理、層間絶縁膜のエッチングによるダメージからの回復処理が行われる。   The exposure apparatus 103 is used for exposing a predetermined circuit pattern to the wafer W on which a resist film is formed. As will be described in detail later, the cleaning processing apparatus 104 performs a cleaning process using pure water or a chemical solution, a modification process such as a polymer residue after the etching process, and a recovery process from damage caused by etching the interlayer insulating film.

エッチング装置105は、ウエハW上に形成された層間絶縁膜等にエッチング処理を施すためのものである。エッチング処理は、プラズマを利用するものであってもよく、薬液を用いるものであってもよい。スパッタ装置106は、例えば、拡散防止膜やCuシードを形成するために用いられる。電解メッキ装置107ではCuシードが形成された溝配線等にCuが埋め込まれ、CMP装置109はCuが埋め込まれた溝配線等の表面の平坦化処理を行うためのものである。   The etching apparatus 105 is for performing an etching process on an interlayer insulating film or the like formed on the wafer W. The etching process may use plasma or a chemical solution. The sputtering apparatus 106 is used, for example, to form a diffusion prevention film or a Cu seed. In the electrolytic plating apparatus 107, Cu is embedded in a groove wiring or the like in which a Cu seed is formed, and the CMP apparatus 109 is for performing a planarization process on the surface of the groove wiring or the like in which Cu is embedded.

次に、本発明にとって重要な役割を果たす洗浄処理装置104について詳細に説明する。図2は洗浄処理装置104の概略平面図であり、図3はその概略正面図であり、図4はその概略背面図である。洗浄処理装置104は、ウエハWが収容されたキャリアが他の処理装置等から順次搬入され、逆に洗浄処理装置104における処理の終了したウエハWを収容したキャリアを次の処理を行う処理装置等へ搬出するためのキャリアステーション4と、洗浄処理や変性処理、回復処理をそれぞれ行う複数の処理ユニットが設けられた処理ステーション2と、処理ステーション2とキャリアステーション4との間でウエハWの搬送を行う搬送ステーション3と、処理ステーション2で使用する薬液や純水、ガス等の製造、調製、貯留を行うケミカルステーション5とを具備している。   Next, the cleaning apparatus 104 that plays an important role for the present invention will be described in detail. 2 is a schematic plan view of the cleaning processing apparatus 104, FIG. 3 is a schematic front view thereof, and FIG. 4 is a schematic rear view thereof. In the cleaning processing apparatus 104, a carrier in which the wafer W is accommodated is sequentially carried from another processing apparatus or the like, and conversely, a processing apparatus or the like that performs the next processing on the carrier in which the wafer W in the cleaning processing apparatus 104 has been processed The wafer W is transferred between the processing station 2 and the carrier station 4, the processing station 2 provided with a plurality of processing units for performing cleaning processing, modification processing, and recovery processing, respectively. A transport station 3 is provided, and a chemical station 5 that manufactures, prepares, and stores chemicals, pure water, and gas used in the processing station 2 is provided.

キャリアCの内部において、ウエハWは略水平姿勢で鉛直方向(Z方向)に一定の間隔で収容されている。このようなキャリアCに対するウエハWの搬入出はキャリアCの一側面を通して行われ、この側面は蓋体10a(図2には図示せず。図3および図4に蓋体10aが取り外された状態を示す)によって開閉自在となっている。   Inside the carrier C, the wafers W are accommodated at a constant interval in the vertical direction (Z direction) in a substantially horizontal posture. Such loading / unloading of the wafer W with respect to the carrier C is performed through one side surface of the carrier C, and this side surface is not shown in FIG. 2 (the state in which the lid body 10a is removed in FIGS. 3 and 4). Can be opened and closed freely.

図2に示すように、キャリアステーション4は、図中Y方向に沿って3箇所にキャリアCを載置できる載置台6を有している。キャリアCは蓋体10aが設けられた側面がキャリアステーション4と搬送ステーション3との間の境界壁8a側を向くようにして載置台6に載置される。境界壁8aにおいてキャリアCの載置場所に対応する位置には窓部9aが形成されており、各窓部9aの搬送ステーション3側には窓部9aを開閉するシャッタ10が設けられている。このシャッタ10はキャリアCの蓋体10aを把持する把持手段(図示せず)を有しており、図3および図4に示すように、蓋体10aを把持した状態で搬送ステーション3側に、蓋体10aを退避させることができるようになっている。   As shown in FIG. 2, the carrier station 4 has mounting tables 6 on which carriers C can be mounted at three locations along the Y direction in the figure. The carrier C is placed on the mounting table 6 such that the side surface on which the lid 10 a is provided faces the boundary wall 8 a between the carrier station 4 and the transfer station 3. A window portion 9a is formed at a position corresponding to the place where the carrier C is placed on the boundary wall 8a, and a shutter 10 for opening and closing the window portion 9a is provided on the transfer station 3 side of each window portion 9a. The shutter 10 has a gripping means (not shown) for gripping the lid 10a of the carrier C. As shown in FIGS. 3 and 4, the shutter 10 holds the lid 10a toward the transfer station 3 side. The lid 10a can be retracted.

搬送ステーション3に設けられたウエハ搬送装置7はウエハWを保持可能なウエハ搬送ピック7aを有している。ウエハ搬送装置7は搬送ステーション3の床にY方向に延在するように設けられたガイド(図3および図4参照)7bに沿ってY方向に移動可能である。また、ウエハ搬送ピック7aは、X方向にスライド自在であり、かつ、Z方向に昇降自在であり、かつ、X−Y平面内で回転自在(θ回転)である。   The wafer transfer device 7 provided in the transfer station 3 has a wafer transfer pick 7 a that can hold the wafer W. The wafer transfer device 7 is movable in the Y direction along a guide (see FIGS. 3 and 4) 7b provided on the floor of the transfer station 3 so as to extend in the Y direction. The wafer transfer pick 7a is slidable in the X direction, can be moved up and down in the Z direction, and is rotatable (θ rotation) in the XY plane.

このような構造により、キャリアCの内部と搬送ステーション3とが窓部9aを介して連通するようにシャッタ10を退避させた状態において、ウエハ搬送ピック7aは、載置台6に載置された全てのキャリアCにアクセス可能であり、キャリアC内の任意の高さ位置にあるウエハWをキャリアCから搬出することができ、逆にキャリアCの任意の位置にウエハWを搬入することができる。   With such a structure, in a state where the shutter 10 is retracted so that the inside of the carrier C and the transfer station 3 communicate with each other via the window portion 9a, the wafer transfer pick 7a is all mounted on the mounting table 6. The wafer W can be accessed from the carrier C and can be unloaded from the carrier C. Conversely, the wafer W can be loaded to any position on the carrier C.

処理ステーション2は、搬送ステーション3側に2台のウエハ載置ユニット(TRS)13a,13bを有している。例えば、ウエハ載置ユニット(TRS)13bは搬送ステーション3からウエハWを受け入れる際にウエハWを載置するために用いられ、ウエハ載置ユニット(TRS)13aは処理ステーション2において所定の処理が終了したウエハWを搬送ステーション3に戻す際にウエハWを載置するために用いられる。   The processing station 2 has two wafer mounting units (TRS) 13a and 13b on the transfer station 3 side. For example, the wafer placement unit (TRS) 13b is used to place the wafer W when receiving the wafer W from the transfer station 3, and the wafer placement unit (TRS) 13a completes predetermined processing at the processing station 2. This is used to place the wafer W when returning the wafer W to the transfer station 3.

処理ステーション2の背面側には、エッチング処理後のポリマー残渣やレジスト膜、犠牲膜等を水蒸気とオゾン(O)とを含むガスにより所定の薬液に対して可溶化するように変性させる変性処理ユニット(VOS)15a〜15fが配置されている。この変性処理ユニット(VOS)15a〜15fでは、エッチング処理後のポリマー残渣やレジスト膜、犠牲膜等の形状はそのままに維持されつつ、その化学的性質のみが所定の薬液に可溶化するように変化する。 On the back side of the processing station 2, a modification treatment for modifying the polymer residue, resist film, sacrificial film, etc. after the etching treatment so as to be solubilized in a predetermined chemical solution with a gas containing water vapor and ozone (O 3 ). Units (VOS) 15a to 15f are arranged. In these modification processing units (VOS) 15a to 15f, the shape of the polymer residue, resist film, sacrificial film, etc. after the etching process is maintained as it is, and only the chemical properties are changed so as to be solubilized in a predetermined chemical solution. To do.

変性処理ユニット(VOS)15a,15dの上には、変性処理および洗浄処理等によってダメージを受けた層間絶縁膜をダメージ等から回復させるためにシリル化処理するシリル化ユニット(SCH)11a,11bが設けられている。   On the modification processing units (VOS) 15a and 15d, silylation units (SCH) 11a and 11b for performing silylation treatment to recover the interlayer insulating film damaged by the modification processing and the cleaning processing from damage or the like are provided. Is provided.

処理ステーション2の正面側には、変性処理ユニット(VOS)15a〜15fにおける処理が終了したウエハWに薬液処理や水洗処理を施して、変性したポリマー残渣等を除去する洗浄ユニット(CNU)12a〜12dが配置されている。   On the front side of the processing station 2, cleaning units (CNU) 12 a to remove modified polymer residues and the like by performing chemical treatment or water washing on the wafers W that have been processed in the modification processing units (VOS) 15 a to 15 f. 12d is arranged.

処理ステーション2において、主ウエハ搬送装置14を挟んでウエハ載置ユニット(TRS)13a,13bと対向する位置には、洗浄処理ユニット(CNU)12a〜12dでの処理を終えたウエハWを加熱乾燥するホットプレートユニット(HP)19a〜19dが4段に積み重ねられて配置されている。さらにまた、ウエハ載置ユニット(TRS)13aの上側には、加熱乾燥処理されたウエハWを冷却するクーリングプレートユニット(COL)21a,21bが積み重ねられている。なお、ウエハ載置ユニット(TRS)13bは、クーリングプレートユニットとして用いることが可能である。処理ステーション2の上部には処理ステーション2の内部に清浄な空気を送風するファンフィルターユニット(FFU)25が設けられている。   In the processing station 2, the wafer W that has been processed by the cleaning processing units (CNU) 12 a to 12 d is heated and dried at a position facing the wafer placement units (TRS) 13 a and 13 b across the main wafer transfer device 14. Hot plate units (HP) 19a to 19d to be stacked are arranged in four stages. Furthermore, cooling plate units (COL) 21a and 21b for cooling the heat-dried wafer W are stacked on the upper side of the wafer mounting unit (TRS) 13a. The wafer placement unit (TRS) 13b can be used as a cooling plate unit. A fan filter unit (FFU) 25 that blows clean air into the processing station 2 is provided above the processing station 2.

処理ステーション2の略中央部には、処理ステーション2内においてウエハWを搬送する主ウエハ搬送装置14が設けられている。主ウエハ搬送装置14は、ウエハWを搬送するウエハ搬送アーム14aを有している。主ウエハ搬送装置14はZ軸周りに回転自在である。また、ウエハ搬送アーム14aは水平方向で進退自在であり、かつZ方向に昇降自在である。このような構造により、主ウエハ搬送装置14は、それ自体をX方向に移動させることなく、処理ステーション2に設けられた各ユニットにアクセスすることができ、これら各ユニット間でウエハWを搬送することができるようになっている。   A main wafer transfer device 14 for transferring the wafer W in the processing station 2 is provided at a substantially central portion of the processing station 2. The main wafer transfer device 14 has a wafer transfer arm 14a for transferring the wafer W. The main wafer transfer device 14 is rotatable around the Z axis. Further, the wafer transfer arm 14a can move back and forth in the horizontal direction and can move up and down in the Z direction. With such a structure, the main wafer transfer device 14 can access each unit provided in the processing station 2 without moving itself in the X direction, and transfers the wafer W between these units. Be able to.

ケミカルステーション5には、処理ステーション2に設けられた変性処理ユニット(VOS)15a〜15fへ処理ガスとしてオゾンや水蒸気等を供給する処理ガス供給部16と、洗浄ユニット(CNU)12a〜12dへ洗浄液を供給する洗浄液供給部17と、シリル化処理ユニット(SCH)11a,11bにシリル化剤やキャリアガス等を供給するシリル化剤供給部18とを有している。   The chemical station 5 includes a processing gas supply unit 16 that supplies ozone, water vapor, and the like as processing gases to the modification processing units (VOS) 15a to 15f provided in the processing station 2, and a cleaning liquid to the cleaning units (CNU) 12a to 12d. And a silylating agent supply unit 18 for supplying a silylating agent, a carrier gas or the like to the silylation processing units (SCH) 11a and 11b.

次に、変性処理ユニット(VOS)15aの構造について、図5に示す概略断面図を参照しながら詳細に説明する。なお、他の変性処理ユニットも全く同様の構造を有している。この変性処理ユニット(VOS)15aは、ウエハWを収容する密閉式のチャンバ30を有しており、チャンバ30は固定された下部容器41aと、下部容器41aの上面を覆う蓋体41bから構成され、蓋体41bは膜変性処理ユニット(VOS)15aのフレーム42に固定されたシリンダ43によって昇降自在である。図5は蓋体41bを下部容器41aに密接させた状態と、蓋体41bは下部容器41aの上方に待避した状態を示している。   Next, the structure of the modification processing unit (VOS) 15a will be described in detail with reference to the schematic cross-sectional view shown in FIG. The other denaturing units have the same structure. This denaturation processing unit (VOS) 15a has a sealed chamber 30 that accommodates a wafer W, and the chamber 30 includes a fixed lower container 41a and a lid 41b that covers the upper surface of the lower container 41a. The lid 41b can be moved up and down by a cylinder 43 fixed to a frame 42 of a membrane modification unit (VOS) 15a. FIG. 5 shows a state in which the lid 41b is in close contact with the lower container 41a, and a state in which the lid 41b is retracted above the lower container 41a.

下部容器41a周縁の立起部の上面にはOリング51が配置されている。シリンダ43を駆動して蓋体41bを降下させると、蓋体41bの裏面周縁が下部容器41a周縁の立起部の上面に当接するとともに、Oリング51が圧縮されてチャンバ30内に密閉された処理空間が形成される。   An O-ring 51 is disposed on the upper surface of the rising portion at the periphery of the lower container 41a. When the cylinder 43 is driven to lower the lid 41b, the peripheral edge of the back surface of the lid 41b comes into contact with the upper surface of the raised portion of the peripheral edge of the lower container 41a, and the O-ring 51 is compressed and sealed in the chamber 30. A processing space is formed.

下部容器41aにはウエハWを載置するステージ33が設けられており、このステージ33の表面には、ウエハWを支持するプロキシミティピン44が複数箇所に設けられている。   The lower container 41 a is provided with a stage 33 on which the wafer W is placed. Proximity pins 44 that support the wafer W are provided at a plurality of locations on the surface of the stage 33.

ステージ33の内部にはヒータ45aが、蓋体41bにはヒータ45bがそれぞれ埋設されており、ステージ33と蓋体41bをそれぞれ所定温度で保持することができるようになっている。これによりウエハWの温度が一定に保持される。   A heater 45a is embedded in the stage 33 and a heater 45b is embedded in the lid body 41b, respectively, so that the stage 33 and the lid body 41b can be respectively held at a predetermined temperature. Thereby, the temperature of the wafer W is kept constant.

蓋体41bの裏面には、ウエハWを保持する爪部材46が、例えば3箇所(図5では2箇所のみ図示)に設けられている。ウエハ搬送アーム14aはこの爪部材46に対してウエハWの受け渡しを行う。爪部材46がウエハWを保持した状態で蓋体41bを降下させると、その降下途中でウエハWは、ステージ33に設けられたプロキシミティピン44に受け渡しされる。   On the back surface of the lid 41b, claw members 46 for holding the wafer W are provided, for example, at three locations (only two locations are shown in FIG. 5). The wafer transfer arm 14 a delivers the wafer W to the claw member 46. When the lid 41 b is lowered while the claw member 46 holds the wafer W, the wafer W is transferred to the proximity pins 44 provided on the stage 33 during the lowering.

チャンバ30では、処理ガスを内部に導入するガス導入口34aおよび処理ガスを外部へ排気するガス排出口34bが下部容器41aに設けられている。処理ガス供給装置16はガス導入口34aに接続され、ガス排出口34bには排気装置32が接続されている。   In the chamber 30, the lower container 41a is provided with a gas introduction port 34a for introducing the processing gas into the interior and a gas exhaust port 34b for exhausting the processing gas to the outside. The processing gas supply device 16 is connected to the gas inlet 34a, and the exhaust device 32 is connected to the gas outlet 34b.

ウエハWの処理ガスによる処理は、チャンバ30の内部を一定の陽圧に保持して行うことが好ましい。このために下部容器41aと蓋体41bとをシリンダ43により押圧力するだけでなく、これらの端面に設けられた突起部47a,47bどうしをロック機構35によって締め付ける。   The processing of the wafer W with the processing gas is preferably performed while maintaining the interior of the chamber 30 at a constant positive pressure. For this purpose, not only the lower container 41 a and the lid 41 b are pressed by the cylinder 43, but also the projections 47 a and 47 b provided on these end surfaces are tightened by the lock mechanism 35.

このロック機構35は、支持軸52と、回転装置54によって回転自在にされた回転筒55と、回転筒55に固定された円板56と、円板56の周縁に設けられた挟持部材57とを有している。挟持部材57は、押圧ローラ59a,59bと、回転軸58を保持するローラ保持部材48とを有している。   The lock mechanism 35 includes a support shaft 52, a rotating cylinder 55 that is rotatable by a rotating device 54, a disk 56 that is fixed to the rotating cylinder 55, and a clamping member 57 that is provided on the periphery of the disk 56. have. The clamping member 57 includes pressing rollers 59 a and 59 b and a roller holding member 48 that holds the rotation shaft 58.

突起部47a,47bは、等間隔に4カ所に設けられており、これらの間には間隙部49が形成されている。突起部47a,47bはそれぞれ重なる位置に配置される。この間隙部49の位置に挟持部材57が配置されている状態では、蓋体41bの昇降を自由に行うことができる。   The protrusions 47a and 47b are provided at four positions at equal intervals, and a gap 49 is formed between them. The protrusions 47a and 47b are disposed at overlapping positions. In a state where the clamping member 57 is disposed at the position of the gap portion 49, the lid body 41b can be freely moved up and down.

回転筒55とともに円板56を所定角度回転させると、押圧ローラ59bは突起部47bの上面で静止し、押圧ローラ59aは突起部47aの下側で静止する。   When the circular plate 56 is rotated by a predetermined angle together with the rotating cylinder 55, the pressing roller 59b stops on the upper surface of the protruding portion 47b, and the pressing roller 59a stops on the lower side of the protruding portion 47a.

次に、シリル化ユニット(SCH)11aについて、図6に示す概略断面図を参照しながら詳細に説明する。シリル化ユニット(SCH)11aは、ウエハWを収容するチャンバ61を備えており、チャンバ61は、固定された下部容器61aと、下部容器61aを覆う蓋体61bから構成され、蓋体61bは図示しない昇降装置により昇降自在である。下部容器61aにはホットプレート62が設けられており、ホットプレート62の周囲からシリル化剤、例えばDMSDMA(Dimethylsilyldimethylamine)の蒸気を含む窒素ガスがチャンバ61内に供給されるようになっている。DMSDMAは気化器63によって気化され、Nガスにキャリアされてチャンバ61に供給される。 Next, the silylation unit (SCH) 11a will be described in detail with reference to the schematic cross-sectional view shown in FIG. The silylation unit (SCH) 11a includes a chamber 61 that accommodates the wafer W. The chamber 61 includes a fixed lower container 61a and a lid 61b that covers the lower container 61a. The lid 61b is illustrated. It can be raised and lowered by a lifting device that does not. The lower container 61 a is provided with a hot plate 62, and nitrogen gas containing a silylating agent, for example, DMSDMA (Dimethylsilyldimethylamine) vapor is supplied into the chamber 61 from the periphery of the hot plate 62. DMSDMA is vaporized by the vaporizer 63, is carriered by N 2 gas, and is supplied to the chamber 61.

ホットプレート62は、例えば、室温〜200℃の範囲で温度調節が可能であり、その表面にはウエハWを支持するピン64が設けられている。ウエハWをホットプレート62に直接載置しないことで、ウエハWの裏面の汚染が防止される。下部容器61aの外周部上面には第1シールリング65が設けられており、蓋体61bの外周部下面には、蓋体61bを下部容器61aに押し付けた際に第1シールリング65と接触する第2シールリング66が設けられている。これら第1および第2シールリング65,66間の空間は減圧可能となっており、この空間を減圧することにより、チャンバ61の気密性が確保される。蓋体61bの略中心部には、チャンバ61に供給されたDMSDMAを含む窒素ガスを排気するための排気口67が設けられており、この排気口67は圧力調整装置68を介して、真空ポンプ69に接続されている。   The hot plate 62 can be adjusted in temperature, for example, in the range of room temperature to 200 ° C., and pins 64 for supporting the wafer W are provided on the surface thereof. By not placing the wafer W directly on the hot plate 62, contamination of the back surface of the wafer W is prevented. A first seal ring 65 is provided on the upper surface of the outer peripheral portion of the lower container 61a, and the lower surface of the outer peripheral portion of the lid 61b contacts the first seal ring 65 when the lid 61b is pressed against the lower container 61a. A second seal ring 66 is provided. The space between the first and second seal rings 65 and 66 can be depressurized, and the airtightness of the chamber 61 is ensured by depressurizing the space. An exhaust port 67 for exhausting nitrogen gas containing DMSDMA supplied to the chamber 61 is provided at a substantially central portion of the lid 61b. The exhaust port 67 is connected to a vacuum pump via a pressure adjusting device 68. 69.

なお、図6では、液体のDMSDMAガスを気化器63により気化させ、Nガスによりキャリアさせてチャンバ61に供給するようにしたが、DMSDMAを気化させたガス(つまりDMSDMA蒸気)のみをチャンバ61に供給する構成としてもよい。DMSDMAをチャンバ61内に供給する際には、チャンバ61内は所定の真空度に保持されているので、気化器63とチャンバ61の圧力差を利用して、DMSDMAガスをチャンバ61に導入することは容易に行うことができる。また、シリル化ユニット(SCH)11bもシリル化ユニット(SCH)11aと全く同様の構造を有している。 In FIG. 6, the liquid DMSDMA gas is vaporized by the vaporizer 63 and is supplied by the carrier with the N 2 gas and supplied to the chamber 61. However, only the gas vaporized from the DMSDMA (that is, DMSDMA vapor) is supplied to the chamber 61. It is good also as a structure supplied to. When supplying DMSDMA into the chamber 61, the inside of the chamber 61 is maintained at a predetermined degree of vacuum. Therefore, the DMSDMA gas is introduced into the chamber 61 using the pressure difference between the vaporizer 63 and the chamber 61. Can be done easily. The silylated unit (SCH) 11b has the same structure as the silylated unit (SCH) 11a.

次に、洗浄ユニット12aについて、図7に示す概略断面図を参照しながら詳細に説明する。この洗浄ユニット(CNU)12aは、その中央部に環状のカップ(CP)が配置され、カップ(CP)の内側にはスピンチャック71が配置されている。スピンチャック71は真空吸着によってウエハWを固定保持した状態で駆動モータ72によって回転駆動される。カップ(CP)の底部には洗浄液、純水を排出するドレイン配管73が設けられている。   Next, the cleaning unit 12a will be described in detail with reference to the schematic cross-sectional view shown in FIG. The cleaning unit (CNU) 12a has an annular cup (CP) disposed at the center thereof, and a spin chuck 71 disposed inside the cup (CP). The spin chuck 71 is rotationally driven by a drive motor 72 in a state where the wafer W is fixedly held by vacuum suction. A drain pipe 73 for discharging the cleaning liquid and pure water is provided at the bottom of the cup (CP).

駆動モータ72は、ユニット底板74に設けられた開口74aに昇降移動可能に配置され、キャップ状のフランジ部材75を介して例えばエアシリンダからなる昇降駆動機構76および昇降ガイド77と結合されている。駆動モータ72の側面には、筒状の冷却ジャケット78が取り付けられ、フランジ部材75は、この冷却ジャケット78の上半部を覆うように取り付けられている。   The drive motor 72 is disposed in an opening 74 a provided in the unit bottom plate 74 so as to be movable up and down, and is coupled to a lift drive mechanism 76 made of, for example, an air cylinder and a lift guide 77 through a cap-like flange member 75. A cylindrical cooling jacket 78 is attached to the side surface of the drive motor 72, and the flange member 75 is attached so as to cover the upper half of the cooling jacket 78.

薬液等をウエハWに供給する際には、フランジ部材75の下端75aは、開口74aの周縁付近でユニット底板74に密着し、これによってユニット内部が密閉される。スピンチャック71とウエハ搬送アーム14aとの間でウエハWの受け渡しが行われるときは、昇降駆動機構76が駆動モータ72およびスピンチャック71を上方へ持ち上げることでフランジ部材75の下端がユニット底板74から浮くようになっている。   When supplying a chemical solution or the like to the wafer W, the lower end 75a of the flange member 75 is in close contact with the unit bottom plate 74 near the periphery of the opening 74a, thereby sealing the inside of the unit. When the wafer W is transferred between the spin chuck 71 and the wafer transfer arm 14a, the elevating drive mechanism 76 lifts the drive motor 72 and the spin chuck 71 upward so that the lower end of the flange member 75 is removed from the unit bottom plate 74. It comes to float.

カップ(CP)の上方には、変性処理ユニット(VOS)15a〜15fのいずれかで変性された物質(以下変性物質という)、例えば変性された犠牲膜が存在するウエハWの表面に、当該変性物質を溶解する所定の洗浄液を供給する洗浄液供給機構80を備えている。   Above the cup (CP), on the surface of the wafer W on which a substance modified by any of the modification processing units (VOS) 15a to 15f (hereinafter referred to as a modified substance), for example, a modified sacrificial film, is present. A cleaning liquid supply mechanism 80 for supplying a predetermined cleaning liquid for dissolving the substance is provided.

洗浄液供給機構80は、スピンチャック71に保持されたウエハWの表面に洗浄液を吐出する洗浄液吐出ノズル81と、洗浄液吐出ノズル81に所定の洗浄液を送液する洗浄液供給部17と、洗浄液吐出ノズル81を保持し、Y方向に進退自在なスキャンアーム82と、スキャンアーム82を支持する垂直支持部材85と、ユニット底板74の上でX軸方向に敷設されたガイドレール84に取り付けられ、垂直支持部材85をX軸方向へ移動させるX軸駆動機構96とを有している。スキャンアーム82はZ軸駆動機構97によって上下方向(Z方向)に移動可能であり、これにより洗浄液吐出ノズル81をウエハW上の任意の位置に移動させ、またカップ(CP)外の所定位置に退避させることができるようになっている。   The cleaning liquid supply mechanism 80 includes a cleaning liquid discharge nozzle 81 that discharges the cleaning liquid onto the surface of the wafer W held by the spin chuck 71, a cleaning liquid supply unit 17 that supplies a predetermined cleaning liquid to the cleaning liquid discharge nozzle 81, and the cleaning liquid discharge nozzle 81. , A vertical support member 85 that is movable in the Y direction, a vertical support member 85 that supports the scan arm 82, and a guide rail 84 that is laid in the X-axis direction on the unit bottom plate 74. And an X-axis drive mechanism 96 for moving 85 in the X-axis direction. The scan arm 82 can be moved in the vertical direction (Z direction) by the Z-axis drive mechanism 97, thereby moving the cleaning liquid discharge nozzle 81 to an arbitrary position on the wafer W, and to a predetermined position outside the cup (CP). It can be evacuated.

洗浄液供給部17は、変性処理ユニット(VOS)15a〜15fで変性された犠牲膜等の変性物質を溶解する例えば希フッ酸、アミン系薬液等の溶解除去液と、リンス液として用いられる純水とを選択的に洗浄液吐出ノズル81へ送液することができるようになっている。   The cleaning liquid supply unit 17 dissolves and removes a denatured substance such as a sacrificial film modified by the denaturing treatment units (VOS) 15a to 15f, for example, a dilute hydrofluoric acid, an amine chemical solution, or the like, and pure water used as a rinse Can be selectively fed to the cleaning liquid discharge nozzle 81.

なお、上述した変性処理ユニット(VOS)15a〜15cと変性処理ユニット(VOS)15d〜15fとは境界壁22bについて略対称な構造を有しており、シリル化ユニット(SCH)11aとシリル化ユニット(SCH)11bは境界壁22bについて略対称な構造を有している。同様に、洗浄ユニット(CNU)12a,12bと洗浄ユニット(CNU)12c,12dとが境界壁22aについて略対称な構造を有している。   The modification units (VOS) 15a to 15c and the modification units (VOS) 15d to 15f described above have a substantially symmetrical structure with respect to the boundary wall 22b, and the silylation unit (SCH) 11a and the silylation unit. (SCH) 11b has a substantially symmetrical structure with respect to the boundary wall 22b. Similarly, the cleaning units (CNU) 12a and 12b and the cleaning units (CNU) 12c and 12d have a substantially symmetrical structure with respect to the boundary wall 22a.

次に、本発明の一実施形態に基板処理方法が適用される、デュアルダマシン法による半導体装置の製造プロセスについて説明する。
図8はデュアルダマシン法による半導体装置の製造プロセスを示すフローチャート、図9は図8のフローを示す工程断面図である。
Next, a manufacturing process of a semiconductor device by a dual damascene method in which the substrate processing method is applied to one embodiment of the present invention will be described.
FIG. 8 is a flowchart showing a manufacturing process of a semiconductor device by the dual damascene method, and FIG. 9 is a process sectional view showing a flow of FIG.

まず、Si基板(図示せず)上に絶縁膜120が形成され、その中の上部にバリアメタル層121を介して下部銅配線122が形成され、絶縁膜120および下部銅配線122の上にストッパ膜(例えば、SiN膜、SiC膜)123が形成されているウエハを形成しておき、このウエハをSOD装置101に搬入して、そこでストッパ膜123上に低誘電率材料(Low−k材料)からなる層間絶縁膜(以下、Low−k膜と記す)124を形成する(ステップ1)。これにより図9(a)の状態が形成される。   First, an insulating film 120 is formed on a Si substrate (not shown), a lower copper wiring 122 is formed on the upper portion of the insulating film 120 via a barrier metal layer 121, and a stopper is formed on the insulating film 120 and the lower copper wiring 122. A wafer on which a film (for example, SiN film, SiC film) 123 is formed is formed, and this wafer is loaded into the SOD device 101, where a low dielectric constant material (Low-k material) is formed on the stopper film 123. An interlayer insulating film (hereinafter referred to as a Low-k film) 124 made of is formed (step 1). As a result, the state of FIG. 9A is formed.

次に絶縁膜124が形成されたウエハWを、レジスト塗布/現像装置102に搬入して、そこで、レジスト塗布処理ユニットを用いてLow−k膜124上に反射防止膜125、レジスト膜126を順次形成し、次いで、ウエハWを露光装置103に搬送して、そこで所定のパターンで露光処理し、さらに、ウエハWをレジスト塗布/現像装置102に戻して、現像処理ユニットにおいてレジスト膜126を現像処理することによって、レジスト膜126に所定の回路パターンを形成する(ステップ2)。引き続きウエハをエッチング装置105に搬送して、そこでエッチング処理を行う(ステップ3)。これにより、図9(b)に示すように、ストッパ膜123に達するビアホール124aがLow−k膜124に形成される。   Next, the wafer W on which the insulating film 124 is formed is carried into the resist coating / developing apparatus 102, where an antireflection film 125 and a resist film 126 are sequentially formed on the low-k film 124 using a resist coating processing unit. Then, the wafer W is transferred to the exposure apparatus 103, where it is exposed in a predetermined pattern, and the wafer W is returned to the resist coating / developing apparatus 102, and the resist film 126 is developed in the development processing unit. Thus, a predetermined circuit pattern is formed on the resist film 126 (step 2). Subsequently, the wafer is transferred to the etching apparatus 105, where an etching process is performed (step 3). As a result, as shown in FIG. 9B, a via hole 124 a reaching the stopper film 123 is formed in the Low-k film 124.

このビアホール124aが形成されたウエハは、次に洗浄処理装置104に搬送されて、洗浄処理ユニット(CNU)12a〜12dのいずれかにおいて薬液処理され、ウエハWからレジスト膜126が除去される(ステップ4、図9(c))。   The wafer on which the via hole 124a has been formed is then transferred to the cleaning processing apparatus 104 and subjected to chemical processing in any of the cleaning processing units (CNU) 12a to 12d, and the resist film 126 is removed from the wafer W (step) 4, FIG. 9 (c)).

続いてウエハWは、レジスト塗布/現像装置102に搬送されて、そこで犠牲膜塗布処理ユニットを用いて、ビアホール124aを有するLow−k膜124の表面に無機系材料(例えば、Si−O系材料)からなる犠牲膜127を形成する(ステップ5)。このとき、ビアホール124aも犠牲膜127によって埋められる。続いて、レジスト塗布処理ユニットにおいて犠牲膜127の表面にエッチングマスクとなるレジスト膜128を形成し、レジスト膜128を露光装置103において所定のパターンで露光し、次いで現像処理ユニットにおいてレジスト膜128を現像する(ステップ6)。これによって、図9(d)に示すように、レジスト膜128に回路パターンが形成される。ここで、レジスト膜128には、ビアホール124aの幅よりも広い溝がビアホール124aの上方位置に形成される。   Subsequently, the wafer W is transferred to the resist coating / developing apparatus 102, where an inorganic material (for example, Si—O based material) is formed on the surface of the low-k film 124 having the via hole 124a using a sacrificial film coating processing unit. ) Is formed (step 5). At this time, the via hole 124 a is also filled with the sacrificial film 127. Subsequently, a resist film 128 serving as an etching mask is formed on the surface of the sacrificial film 127 in the resist coating processing unit, the resist film 128 is exposed with a predetermined pattern in the exposure device 103, and then the resist film 128 is developed in the development processing unit. (Step 6). As a result, a circuit pattern is formed on the resist film 128 as shown in FIG. Here, a groove wider than the width of the via hole 124a is formed in the resist film 128 above the via hole 124a.

次いで、ウエハWをエッチング装置105に搬送し、そこでウエハの表面をエッチング処理する(ステップ7)。これによって図9(e)に示すように、ビアホール124aの上方に、より幅の広いトレンチ124bが形成される。Low−k膜124の上に犠牲膜127を形成しておくことによって、Low−k膜124においてエッチングされた部分の底面を平坦な形態とすることができる。   Next, the wafer W is transferred to the etching apparatus 105, where the surface of the wafer is etched (step 7). As a result, as shown in FIG. 9E, a wider trench 124b is formed above the via hole 124a. By forming the sacrificial film 127 on the Low-k film 124, the bottom surface of the etched portion of the Low-k film 124 can be made flat.

エッチング処理の終了したウエハWは、洗浄処理装置104に搬送され、そこで犠牲膜127とレジスト膜128の変性処理(ステップ8、図9(f))と、変性処理後におけるLow−k膜124のシリル化処理(ステップ9、図9(g))と、犠牲膜127とレジスト膜128とポリマー残渣の除去処理が行われる(ステップ10、図9(h))。   The wafer W that has been subjected to the etching process is transferred to the cleaning processing apparatus 104, where the sacrificial film 127 and the resist film 128 are modified (step 8, FIG. 9F), and the low-k film 124 after the modification process is formed. A silylation process (step 9, FIG. 9 (g)) and a sacrificial film 127, a resist film 128, and a polymer residue are removed (step 10, FIG. 9 (h)).

具体的には、まず、エッチング処理が終了したウエハの収容されたキャリアCが載置台6に載置され、キャリアCの蓋体10aとシャッタ10を搬送ステーション3側に退避させることによって窓部9aが開かれる。続いてウエハ搬送ピック7aによって、キャリアCの所定位置にある1枚のウエハWがウエハ載置ユニット(TRS)13bへ搬送される。   Specifically, first, the carrier C in which the wafer having been subjected to the etching process is placed is placed on the placing table 6, and the cover 10 a and the shutter 10 of the carrier C are retracted to the transfer station 3 side to thereby open the window portion 9 a. Is opened. Subsequently, one wafer W at a predetermined position of the carrier C is transferred to the wafer placement unit (TRS) 13b by the wafer transfer pick 7a.

そして、ウエハ載置ユニット(TRS)13bに載置されたウエハをウエハ搬送アーム14aによって変性処理ユニット(VOS)15a〜15hのいずれかに搬入し、上記ステップ8の、犠牲膜127とレジスト膜128の変性処理を行う(図9(f))。   Then, the wafer placed on the wafer placement unit (TRS) 13b is carried into one of the modification processing units (VOS) 15a to 15h by the wafer transfer arm 14a, and the sacrificial film 127 and the resist film 128 in step 8 above. The denaturing process is performed (FIG. 9 (f)).

この場合に、まずチャンバ30の蓋体41bを下部容器41aの上方に退避させた状態とし、その後、蓋体41bに設けられた爪部材46のウエハWを保持する部分(水平方向に突出した部分)よりも僅かに高い位置へウエハWが進入するように、ウエハWを保持したウエハ搬送アーム14aを進入させる。次いで、ウエハ搬送アーム14aを下方へ降下させると、ウエハWは爪部材46に受け渡される。   In this case, the lid body 41b of the chamber 30 is first retracted above the lower container 41a, and then the portion of the claw member 46 provided on the lid body 41b that holds the wafer W (the portion that protrudes in the horizontal direction) The wafer transfer arm 14a holding the wafer W is advanced so that the wafer W enters a position slightly higher than (). Next, when the wafer transfer arm 14 a is lowered, the wafer W is transferred to the claw member 46.

ウエハ搬送アーム14aを変性処理ユニット(VOS)15aから退避させた後に蓋体41bを降下させて、蓋体41bを下部容器41aに密着させ、さらにロック機構35を動作させて、チャンバ30を密閉状態とする。蓋体41bを降下させる途中で、ウエハWは爪部材46からプロキシミティピン44へ受け渡される。   After retracting the wafer transfer arm 14a from the modification processing unit (VOS) 15a, the lid 41b is lowered, the lid 41b is brought into close contact with the lower container 41a, and the lock mechanism 35 is operated to seal the chamber 30. And The wafer W is transferred from the claw member 46 to the proximity pin 44 while the lid 41b is being lowered.

ヒータ45a,45bにてステージ33を所定の温度に保持する。例えば、ステージ33を100℃に保持し、蓋体41bを110℃に保持する。   The stage 33 is held at a predetermined temperature by the heaters 45a and 45b. For example, the stage 33 is held at 100 ° C., and the lid 41b is held at 110 ° C.

ステージ33および蓋体41bが所定温度(例えば、110℃〜120℃)に保持され、かつ、ウエハWの温度分布がほぼ一定となったら、最初に処理ガス供給装置16からオゾン/窒素混合ガス(例えば、オゾン含有量が9wt%で、流量が4L/分)のみをチャンバ30内に供給して、チャンバ30の内部がオゾン/窒素混合ガスで充満され、かつ、所定の陽圧、例えばゲージ圧で0.2MPaとなるように調節する。   When the stage 33 and the lid 41b are held at a predetermined temperature (for example, 110 ° C. to 120 ° C.) and the temperature distribution of the wafer W becomes substantially constant, first, an ozone / nitrogen mixed gas (from the processing gas supply device 16) For example, an ozone content of 9 wt% and a flow rate of 4 L / min) are supplied into the chamber 30, the inside of the chamber 30 is filled with an ozone / nitrogen mixed gas, and a predetermined positive pressure, for example, a gauge pressure To 0.2 MPa.

その後、オゾン/窒素混合ガスに水蒸気を混合させた処理ガス(例えば、水蒸気量が水換算で16ml/分)を、処理ガス供給装置16からチャンバ30内に供給する。この処理ガスによってウエハWに形成されている犠牲膜127は特定の薬液、例えばHFに溶解され易い性質へと変性され、レジスト膜128とウエハWに付着しているポリマー残渣(例えば、エッチング処理後に生ずるポリマー残渣)もその薬液により溶解されやすくなる。このように処理ガスは、犠牲膜127、レジスト膜、ポリマー残渣をそれぞれ変性させる。チャンバ30への処理ガスの供給量とチャンバ30からの排気量は、チャンバ30内が所定の陽圧となるように調整される。   Thereafter, a processing gas in which water vapor is mixed with ozone / nitrogen mixed gas (for example, the amount of water vapor is 16 ml / min in terms of water) is supplied from the processing gas supply device 16 into the chamber 30. The sacrificial film 127 formed on the wafer W by this processing gas is denatured into a property easily dissolved in a specific chemical solution, for example, HF, and a polymer residue (for example, after the etching process) attached to the resist film 128 and the wafer W. The resulting polymer residue is also easily dissolved by the chemical solution. Thus, the processing gas modifies the sacrificial film 127, the resist film, and the polymer residue. The supply amount of the processing gas to the chamber 30 and the exhaust amount from the chamber 30 are adjusted so that the inside of the chamber 30 has a predetermined positive pressure.

ウエハWの処理ガスによる処理が終了したら、処理ガスの供給を停止して、処理ガス供給装置16からチャンバ30内に窒素ガスを供給し、チャンバ30内を窒素ガスでパージする。このパージ処理時には、その後にチャンバ30を開いたときに、排気装置32からオゾン/窒素混合ガスが逆流してオゾン/窒素混合ガスがチャンバ30から排出されないように、排気装置32内からもオゾン/窒素混合ガスを完全に排出する。   When the processing of the wafer W with the processing gas is completed, the supply of the processing gas is stopped, nitrogen gas is supplied from the processing gas supply device 16 into the chamber 30, and the inside of the chamber 30 is purged with nitrogen gas. During the purge process, the ozone / nitrogen mixed gas does not flow back from the exhaust device 32 when the chamber 30 is opened, and the ozone / nitrogen mixed gas is not discharged from the chamber 30. The nitrogen mixed gas is exhausted completely.

窒素ガスによるパージ処理が終了した後には、チャンバ30の内圧が外気圧と同じであることを確認する。これは、チャンバ30の内部圧力が大気圧よりも高い状態でチャンバ30を開くと、チャンバ30が損傷するおそれがあるからである。チャンバ30の内圧確認後、ロック機構35による下部容器41aと蓋体41bの締め付けを解除し、蓋体41bを上昇させる。蓋体41bを上昇させる際に、ウエハWは爪部材46に保持されて蓋体41bとともに上昇する。ウエハ搬送アーム14aを下部容器41aと蓋体41bとの隙間に進入させて、ウエハWを爪部材46からウエハ搬送アーム14aに受け渡す。   After the purge process using nitrogen gas is completed, it is confirmed that the internal pressure of the chamber 30 is the same as the external pressure. This is because if the chamber 30 is opened while the internal pressure of the chamber 30 is higher than the atmospheric pressure, the chamber 30 may be damaged. After confirming the internal pressure of the chamber 30, the locking of the lower container 41a and the lid body 41b by the lock mechanism 35 is released, and the lid body 41b is raised. When the lid 41b is raised, the wafer W is held by the claw member 46 and rises together with the lid 41b. The wafer transfer arm 14a is moved into the gap between the lower container 41a and the lid 41b, and the wafer W is transferred from the claw member 46 to the wafer transfer arm 14a.

膜変性処理ユニット(VOS)15a〜15fのいずれかにおける変性処理が終了した時点では、犠牲膜127等はウエハWから除去されていない。そこでウエハWから犠牲膜127等を除去するための溶解除去処理(洗浄処理)を行う(上記ステップ10)。しかしながら、変性処理ユニット(VOS)における水蒸気とオゾンの処理によって、パターンが形成されたLow−k膜124にダメージが入ることがあり、そのようなダメージが入った状態でその後の薬液を用いた溶解除去処理を行うとパターンはがれが生じるおそれがある。   The sacrificial film 127 and the like are not removed from the wafer W when the modification process in any of the film modification units (VOS) 15a to 15f is completed. Therefore, a dissolution removal process (cleaning process) for removing the sacrificial film 127 and the like from the wafer W is performed (step 10 above). However, the treatment of water vapor and ozone in the denaturation treatment unit (VOS) may damage the low-k film 124 on which the pattern is formed. In such a state, the subsequent dissolution using the chemical solution is performed. If the removal process is performed, the pattern may be peeled off.

そこで、本実施形態はその溶解除去処理に先立ってシリル化ユニット11a,11bのいずれかにおいて上記ステップ9のシリル化処理を行い、Low−k膜124をダメージから回復させ、溶解除去処理の際にこのようなパターンはがれが生じないようにする。   Therefore, in the present embodiment, prior to the dissolution and removal process, the silylation process of Step 9 is performed in either of the silylation units 11a and 11b to recover the Low-k film 124 from damage, and during the dissolution and removal process. Such a pattern prevents peeling.

このようなダメージ部は、図10に示すように、末端基がメチル基(Me)であり疎水性であるLow−k膜124が、水蒸気とオゾンによる変性処理の際に水分子と反応してビアホール124aの側壁近傍におけるメチル基が減少し、水酸基が増加しダメージが入った状態となる。この状態で、犠牲膜127等の除去処理を行うと、ダメージに起因して膜はがれが発生するおそれがあるが、本実施形態では、シリル化処理を施して、Low−k膜表面を疎水性にすることによりダメージを回復させる。このとき、親水性のダメージ部が形成されることにより、Low−k膜の誘電率が増大してしまうが、シリル化処理により、誘電率を低下させることもできる。なお、図9(f)にはLow−k膜124に形成されたダメージ部129を便宜上明確に示しているが、ダメージ部129と非ダメージ部の境界は必ずしも明確なものではない。   As shown in FIG. 10, such a damaged portion is formed by the reaction of the low-k film 124 having a terminal group of a methyl group (Me) and hydrophobic, with water molecules during the modification treatment with water vapor and ozone. The methyl group in the vicinity of the side wall of the via hole 124a is decreased, the hydroxyl group is increased, and damage is entered. If the sacrificial film 127 or the like is removed in this state, the film may be peeled off due to damage. In this embodiment, however, silylation is performed to make the surface of the Low-k film hydrophobic. To recover damage. At this time, the dielectric constant of the low-k film increases due to the formation of hydrophilic damage portions, but the dielectric constant can also be lowered by silylation treatment. In FIG. 9F, the damaged portion 129 formed in the Low-k film 124 is clearly shown for convenience, but the boundary between the damaged portion 129 and the non-damage portion is not necessarily clear.

ステップ9のシリル化処理においては、ウエハWをシリル化ユニット(SCH)11a,11bの一方に搬送し、ホットプレート62上の支持ピン64に載置し、シリル化剤、例えばDMSDMAの蒸気をNガスにキャリアさせてチャンバ61内に導入する。シリル化処理の条件は、シリル化剤の種類に応じて選択すればよく、例えば、気化器63の温度は室温〜50℃、シリル化剤流量は0.6〜1.0g/min、Nガス(パージガス)流量は1〜10L/min、処理圧力は532〜95976Pa(4〜720Torr)、ホットプレート62の温度は室温〜200℃などの範囲から適宜設定できる。シリル化剤としてDMSDMAを用いる場合は、例えば、ホットプレート62の温度を100℃とし、チャンバ61内圧力を5Torr(=666Pa)に減圧し、その後DMSDMA蒸気をNガスにキャリアさせてチャンバ61内圧力が55Torrになるまで供給し、その圧力を維持しながら、例えば3分間保持し、処理する方法が挙げられる。DMSDMAを用いたシリル化反応は、下記化1式で示される。 In the silylation process in Step 9, the wafer W is transferred to one of the silylation units (SCH) 11a and 11b and placed on the support pins 64 on the hot plate 62, and a silylating agent, for example, DMSDMA vapor is added to the N Two gases are introduced into the chamber 61 as a carrier. The conditions for the silylation treatment may be selected according to the type of the silylating agent. For example, the temperature of the vaporizer 63 is room temperature to 50 ° C., the silylating agent flow rate is 0.6 to 1.0 g / min, N 2. The gas (purge gas) flow rate can be set as appropriate from a range of room temperature to 200 ° C., the processing pressure is 532 to 95976 Pa (4 to 720 Torr), and the temperature of the hot plate 62 is appropriately set. In the case of using DMSDMA as the silylating agent, for example, the temperature of the hot plate 62 is set to 100 ° C., the pressure in the chamber 61 is reduced to 5 Torr (= 666 Pa), and then the DMSDMA vapor is carriered in N 2 gas and There is a method in which the pressure is supplied until the pressure reaches 55 Torr, and the pressure is maintained for 3 minutes while maintaining the pressure, for example. The silylation reaction using DMSDMA is represented by the following formula 1.

Figure 0005247999
Figure 0005247999

シリル化剤としては、以上のDMSDMAに限らず、シリル化反応を起こす物質であれば特に制限なく使用可能であるが、分子内にシラザン結合(Si−N結合)を有する化合物群の中で比較的小さな分子構造を持つもの、例えば分子量が260以下のものが好ましく、分子量170以下のものがより好ましい。具体的には、例えば、前記DMSDMA、HMDSのほか、TMSDMA(Dimethylaminotrimethylsilane)、TMDS(1,1,3,3-Tetramethyldisilazane)、TMSPyrole(1-Trimethylsilylpyrole)、BSTFA(N,O-Bis(trimethylsilyl)trifluoroacetamide)、BDMADMS(Bis(dimethylamino)dimethylsilane)等を用いることが可能である。これらの化学構造を以下に示す。   The silylating agent is not limited to the above-described DMSDMA, and any substance that causes a silylation reaction can be used without particular limitation, but it is compared among compounds having a silazane bond (Si-N bond) in the molecule. Those having a particularly small molecular structure, for example, those having a molecular weight of 260 or less are preferred, and those having a molecular weight of 170 or less are more preferred. Specifically, for example, in addition to the above-described DMSDMA and HMDS, TMSDMA (Dimethylaminotrimethylsilane), TMDS (1,1,3,3-Tetramethyldisilazane), TMSPyrole (1-Trimethylsilylpyrole), BSTFA (N, O-Bis (trimethylsilyl) trifluoroacetamide) ), BDDMMS (Bis (dimethylamino) dimethylsilane), or the like can be used. These chemical structures are shown below.

Figure 0005247999
Figure 0005247999

上記化合物の中でも、誘電率の回復効果やリーク電流の低減効果が高いものとして、TMSDMAおよびTMDSを用いることが好ましい。また、シリル化後の安定性の観点からは、シラザン結合を構成するSiが3つのアルキル基(例えばメチル基)と結合している構造のもの(例えばTMSDMA、HMDSなど)が好ましい。   Among the above compounds, TMSDMA and TMDS are preferably used as those having a high dielectric constant recovery effect and a high leakage current reduction effect. Further, from the viewpoint of stability after silylation, a structure in which Si constituting the silazane bond is bonded to three alkyl groups (for example, methyl group) (for example, TMSDMA, HMDS, etc.) is preferable.

このようなシリル化処理が終了したウエハWは、洗浄処理ユニット(CNU)12a〜12dのいずれかに搬入し、そこで犠牲膜127等を溶解可能な所定の薬液(例えば、希フッ酸、アミン系薬液)によって犠牲膜127等の溶解除去処理が行われる(上記ステップ10、図9(h))。ここで、犠牲膜127は変性処理により種々の薬液に可溶化されるが、特にフッ酸等の酸性薬液の場合にパターンへのダメージが大きい。したがって、酸性薬液の場合に上記ステップ9のシリル化処理の効果が大きい。   The wafer W that has undergone such silylation processing is carried into one of the cleaning processing units (CNU) 12a to 12d, where a predetermined chemical solution (for example, dilute hydrofluoric acid, amine type) that can dissolve the sacrificial film 127 and the like there. The sacrificial film 127 and the like are dissolved and removed by the chemical solution (step 10, FIG. 9 (h)). Here, the sacrificial film 127 is solubilized in various chemical solutions by the modification treatment, but the damage to the pattern is large particularly in the case of an acidic chemical solution such as hydrofluoric acid. Therefore, in the case of an acidic chemical solution, the effect of the silylation treatment in step 9 is great.

この溶解除去処理を行う際には、洗浄処理ユニット(CNU)12a〜12dの一つのスピンチャック71上にウエハWを搬送し、略水平姿勢に吸着保持させ、洗浄液供給機構80の洗浄液吐出ノズル81からウエハWの表面に犠牲膜127等の変性物質が溶解可能な薬液を供給してパドルを形成し、所定時間が経過した後にウエハWを回転させてウエハWの表面から薬液を振り切る。さらにウエハWを回転させながらウエハWの表面に薬液を供給して犠牲膜127等を完全に除去する。犠牲膜127等の除去に使用される薬液により、レジスト膜128やポリマー残渣も溶解除去される。薬液による処理の後には、駆動モータ72によりウエハWを回転させながらウエハWに純水を供給してウエハWを水洗処理し、さらにウエハWを高速回転させてスピン乾燥を行う。ウエハWのスピン乾燥はウエハWに乾燥ガスを供給しながら行ってもよい。   When performing this dissolution and removal processing, the wafer W is transferred onto one spin chuck 71 of the cleaning processing units (CNU) 12a to 12d, and is sucked and held in a substantially horizontal posture, and the cleaning liquid discharge nozzle 81 of the cleaning liquid supply mechanism 80 is used. Then, a chemical solution in which a denatured substance such as the sacrificial film 127 can be dissolved is supplied to the surface of the wafer W to form a paddle. After a predetermined time has elapsed, the wafer W is rotated to shake off the chemical solution from the surface of the wafer W. Further, the chemical solution is supplied to the surface of the wafer W while rotating the wafer W to completely remove the sacrificial film 127 and the like. The resist film 128 and the polymer residue are also dissolved and removed by the chemical solution used for removing the sacrificial film 127 and the like. After the treatment with the chemical solution, pure water is supplied to the wafer W while the wafer W is rotated by the drive motor 72, the wafer W is washed with water, and the wafer W is rotated at a high speed to perform spin drying. The spin drying of the wafer W may be performed while supplying a drying gas to the wafer W.

この処理の際には、Low−k膜124の表面部分に図9(h)に示すようなダメージ部130が形成されることがある。このダメージ部130も最初疎水性であったLow−k膜124がステップ10の溶解除去処理によりダメージを受けて親水化した部分であり、Low−k膜124の比誘電率を増大させてしまい、配線形成後、配線間の寄生容量が増大するため、信号遅延や溝配線どうしの間の絶縁性が低下する等の電気特性上の問題が生ずる。なお、この場合にもLow−k膜124に形成されたダメージ部130を便宜上明確に示しているが、ダメージ部130と非ダメージ部の境界は必ずしも明確なものではない。   During this process, a damaged portion 130 as shown in FIG. 9H may be formed on the surface portion of the low-k film 124. The damaged portion 130 is also a portion where the low-k film 124, which was initially hydrophobic, is damaged and hydrophilized by the dissolution and removal process in step 10, and increases the relative dielectric constant of the low-k film 124. Since the parasitic capacitance between the wirings is increased after the wirings are formed, there are problems in electrical characteristics such as signal delay and deterioration in insulation between the trench wirings. In this case as well, the damaged portion 130 formed in the low-k film 124 is clearly shown for convenience, but the boundary between the damaged portion 130 and the non-damaged portion is not necessarily clear.

このような場合に、ステップ10の溶解除去処理の後に、再び上記ステップ9と同様の手順でシリル化処理を行う(ステップ11、図9(i))。これにより、変性物質の溶解除去の際にLow−k膜124が受けたダメージを同様の原理で回復させることができる。したがって、Low−k膜124の比誘電率も回復し、上記電気特性上の問題を解消することができる。   In such a case, after the dissolution removal process in Step 10, the silylation process is performed again in the same procedure as in Step 9 (Step 11, FIG. 9 (i)). Thereby, the damage which the Low-k film | membrane 124 received at the time of melt | dissolution removal of a modification | denaturation substance can be recovered on the same principle. Therefore, the relative dielectric constant of the low-k film 124 is also recovered, and the above-described problem in electrical characteristics can be solved.

その後、ウエハWをスパッタ装置106へ搬送して、そこでビアホール124aおよびトレンチ124bの内壁にバリアメタル膜およびCuシード層(つまり、メッキシード層)を形成し、次いで、ウエハWを電解メッキ装置108に搬送して、そこで電解メッキによりビアホール124aおよびトレンチ124bに配線金属として銅131を埋め込む(ステップ12、図9(j))。その後、ウエハWを熱処理することによってビアホール124aおよびトレンチ124bに埋め込まれた銅131のアニール処理を行い(アニール装置は図1に示さず)、さらにウエハWをCMP装置109へ搬送し、そこでCMP法による平坦化処理が行われる(ステップ13)。これにより所望の半導体装置が製造される。   Thereafter, the wafer W is transferred to the sputtering apparatus 106, where a barrier metal film and a Cu seed layer (that is, a plating seed layer) are formed on the inner walls of the via hole 124a and the trench 124b, and then the wafer W is transferred to the electrolytic plating apparatus 108. Then, copper 131 is buried as a wiring metal in the via hole 124a and the trench 124b by electrolytic plating (step 12, FIG. 9 (j)). Thereafter, the wafer W is annealed to anneal the copper 131 embedded in the via hole 124a and the trench 124b (an annealing apparatus is not shown in FIG. 1), and the wafer W is further transferred to the CMP apparatus 109, where CMP is performed. A flattening process is performed by (Step 13). Thereby, a desired semiconductor device is manufactured.

このように犠牲膜127等を除去するために、犠牲膜127等を所定の薬液に対して可溶化するように変性させ、その後そのような薬液を用いて変性物質を溶解除去する手法を採用する場合に、変性処理の後のLow−k膜に与えられたダメージをシリル化処理により回復させてから、次の溶解除去処理を行うので、次の溶解除去処理においてパターンがはがれやすく等の不都合が解消される。また、溶解除去処理の後は、やはりLow−k膜124にダメージを受け、比誘電率が上昇するが、その後のシリル化処理により回復させることにより比誘電率を大幅に低減することが可能である。   In order to remove the sacrificial film 127 and the like in this way, a technique is adopted in which the sacrificial film 127 and the like are denatured so as to be solubilized in a predetermined chemical solution, and then the denatured substance is dissolved and removed using such a chemical solution. In this case, since the damage given to the Low-k film after the modification treatment is recovered by the silylation treatment, the next dissolution and removal treatment is performed, so that there is a disadvantage that the pattern is easily peeled off in the next dissolution and removal treatment. It will be resolved. In addition, after the dissolution and removal treatment, the low-k film 124 is still damaged and the relative dielectric constant increases, but the relative dielectric constant can be greatly reduced by recovering it by the subsequent silylation treatment. is there.

次に、本発明の効果を確認した実験について説明する。ここではLow−k膜124として例えばSiCHOを用い、上記手順により図9(e)の状態とした後、100〜200℃、常圧〜200kPaの条件で水蒸気とオゾンの混合ガスにより変性処理を行って、犠牲膜127をHFに可溶な状態に変性させた。その後、50〜250℃、1.33〜26.6kPaという条件でシリル化処理を行い、引き続きHFを用いて犠牲膜127等の溶解除去処理を行った。その後、パターンの状態を調査した結果、パターンはがれは見られなかった。一方、上と同じ条件で変性処理を行った後、シリル化処理を行わずに同様の溶解除去処理を行った結果、パターンはがれ等、正常な状態でないパターンが見られた。この結果から、変性処理と溶解除去処理との間にシリル化処理を挿入することにより、パターンはがれ等のパターンダメージが著しく減少することが確認された。   Next, an experiment for confirming the effect of the present invention will be described. Here, for example, SiCHO is used as the Low-k film 124, and after the procedure shown in FIG. 9 (e), the modification treatment is performed with a mixed gas of water vapor and ozone under conditions of 100 to 200 ° C. and normal pressure to 200 kPa. Thus, the sacrificial film 127 was denatured into a state soluble in HF. Thereafter, silylation treatment was performed under the conditions of 50 to 250 ° C. and 1.33 to 26.6 kPa, and subsequently, the removal of the sacrificial film 127 and the like was performed using HF. Thereafter, as a result of investigating the state of the pattern, no pattern peeling was observed. On the other hand, after the modification treatment was performed under the same conditions as above, the same dissolution removal treatment was performed without the silylation treatment. As a result, a pattern that was not in a normal state, such as pattern peeling, was observed. From this result, it was confirmed that pattern damage such as pattern peeling was remarkably reduced by inserting a silylation treatment between the modification treatment and the dissolution removal treatment.

次に、上の例と同様の条件で変性処理→シリル化→溶解除去処理を順次行った後、さらに同じ条件でシリル化を行った。この処理の後、Low−k膜の比誘電率(k値)を測定した結果、ほぼイニシャル付近まで回復していることが確認された。これに対して、同様の条件で変性処理を行った後、シリル化処理を行わずに同様条件で溶解除去処理を行い、最後のシリル化処理も行わなかったものについては、Low−k膜の回復がなされていないため、k値は高い値を示した。上の例と同様の条件で変性処理→シリル化→溶解除去処理を順次行った後、最後のシリル化処理を施さない場合には、全くシリル化処理を行わない場合に比較して低い値となったが、最後にシリル化処理を施した場合よりも高い値となった。   Next, modification treatment → silylation → dissolution removal treatment was sequentially performed under the same conditions as in the above example, and silylation was further performed under the same conditions. After this treatment, the relative dielectric constant (k value) of the Low-k film was measured, and as a result, it was confirmed that the low-k film had almost recovered to the initial vicinity. On the other hand, after the modification treatment was performed under the same conditions, the dissolution removal treatment was performed under the same conditions without performing the silylation treatment, and the final silylation treatment was not performed. Since no recovery was made, the k value was high. After sequentially performing modification treatment → silylation → dissolution removal treatment under the same conditions as in the above example, when the final silylation treatment is not performed, the value is lower than when no silylation treatment is performed. However, the value was higher than that obtained when the silylation treatment was applied last.

なお、本発明は、上記実施形態に限定されることなく、種々変形可能である。たとえば、上記実施形態では、犠牲膜等の変性処理を水蒸気とオゾンとの混合ガスにより行ったが、水蒸気を用いずにオゾンのみの処理であってもよい。オゾンによって処理する場合には、水蒸気+オゾンの場合よりも反応性は低いが、その後の薬液による溶解除去処理により変性した犠牲膜等を十分に溶解可能である。   In addition, this invention is not limited to the said embodiment, A various deformation | transformation is possible. For example, in the above-described embodiment, the modification treatment of the sacrificial film or the like is performed using a mixed gas of water vapor and ozone. However, the treatment may be performed using only ozone without using water vapor. In the case of treatment with ozone, the reactivity is lower than that in the case of water vapor + ozone, but the sacrificial film and the like modified by the subsequent dissolution and removal treatment with a chemical solution can be sufficiently dissolved.

また、シリル化処理によってダメージ回復を図ることができるLow−k膜は、特に限定されるものではないが、SOD膜であるポーラスMSQを用いることができる。そのほか、例えば、CVDで形成される無機絶縁膜の1つであるSiOC系膜を対象とすることもできる。これは従来のSiO膜のSi−O結合にメチル基(−CH)を導入して、Si−CH結合を混合させたもので、Black Diamond(Applied Materials社)、Coral(Novellus社)、Aurora(ASM社)等がこれに該当する。SiOC系膜はポーラス(多孔質)であってもよい。また、MSQ系の絶縁膜はポーラスなものに限定されず、緻密質であってもよい。 The low-k film that can recover damage by silylation treatment is not particularly limited, but porous MSQ that is an SOD film can be used. In addition, for example, a SiOC-based film which is one of inorganic insulating films formed by CVD can be targeted. This is a mixture of Si—O 3 in a conventional SiO 2 film by introducing a methyl group (—CH 3 ) and mixing the Si—CH 3 bond. Black Diamond (Applied Materials), Coral (Novellus) , Aurora (ASM), etc. fall under this category. The SiOC film may be porous. Further, the MSQ insulating film is not limited to a porous film, and may be dense.

さらに、上記実施形態ではデュアルダマシン法による銅配線を含む半導体装置の製造プロセスに本発明を適用した例について示したが、これに限らず、エッチング対象膜の劣化が懸念され、変性すべき除去物質が存在する処理であれば適用可能である。   Furthermore, in the above-described embodiment, the example in which the present invention is applied to the manufacturing process of the semiconductor device including the copper wiring by the dual damascene method has been described. However, the present invention is not limited to this, and there is a concern about deterioration of the etching target film. It can be applied as long as the process exists.

本発明の一実施形態に基板処理方法が適用される、デュアルダマシン法による半導体装置の製造プロセスに用いられるウエハ処理システムの概略構成を示す説明図。BRIEF DESCRIPTION OF THE DRAWINGS Explanatory drawing which shows schematic structure of the wafer processing system used for the manufacturing process of the semiconductor device by the dual damascene method with which the substrate processing method is applied to one Embodiment of this invention. 図1のウエハ処理システムに用いられる洗浄処理装置の概略構造を示す平面図。The top view which shows schematic structure of the washing | cleaning processing apparatus used for the wafer processing system of FIG. 図2の洗浄処理装置の概略構造を示す正面図。The front view which shows schematic structure of the washing | cleaning processing apparatus of FIG. 図2の洗浄処理装置の概略構造を示す背面図。The rear view which shows schematic structure of the washing | cleaning processing apparatus of FIG. 洗浄処理装置に搭載された変性処理ユニットを示す概略断面図。The schematic sectional drawing which shows the modification | denaturation processing unit mounted in the washing | cleaning processing apparatus. 洗浄処理装置に搭載されたシリル化ユニットを示す概略断面図。The schematic sectional drawing which shows the silylation unit mounted in the washing | cleaning processing apparatus. 洗浄処理装置に搭載された洗浄ユニットを示す概略断面図。The schematic sectional drawing which shows the washing | cleaning unit mounted in the washing | cleaning processing apparatus. 本発明の一実施形態に基板処理方法が適用される、デュアルダマシン法による半導体装置の製造プロセスを示すフローチャート。6 is a flowchart showing a manufacturing process of a semiconductor device by a dual damascene method, to which a substrate processing method is applied according to an embodiment of the present invention. 図8に示すフローの工程断面図。Process sectional drawing of the flow shown in FIG. Low−k膜のダメージおよびシリル化による回復のを説明するための図。The figure for demonstrating the damage of a Low-k film | membrane, and the recovery by silylation. 従来のデュアルダマシン法による溝配線の形成工程を模式的に示す説明図。Explanatory drawing which shows typically the formation process of the trench wiring by the conventional dual damascene method.

符号の説明Explanation of symbols

2;処理ステーション
11a・11b;シリル化ユニット(SCH)
12a〜12d;洗浄ユニット(CNU)
15a〜15f;変性処理ユニット(VOS)
100;処理部
101;SOD装置
102;レジスト塗布/現像装置
103;露光装置
104;洗浄処理装置
105;エッチング装置
106;スパッタ装置
107;電解メッキ装置
109;CMP装置
110;メイン制御部
111;プロセスコントローラ
112;ユーザーインターフェース
113;記憶部
W;ウエハ(基板)
120;層間絶縁膜
122;下部銅配線
123;ストッパ膜
124;層間絶縁膜(Low−k膜)
124a:ビア
124b;トレンチ
125;反射防止膜
126;レジスト膜
127;犠牲膜
128;レジスト膜
129,130;ダメージ部
2; processing stations 11a and 11b; silylation unit (SCH)
12a to 12d; washing unit (CNU)
15a-15f; Denaturing unit (VOS)
DESCRIPTION OF SYMBOLS 100; Processing part 101; SOD apparatus 102; Resist coating / development apparatus 103; Exposure apparatus 104; Cleaning processing apparatus 105; Etching apparatus 106; Sputtering apparatus 107; Electroplating apparatus 109; CMP apparatus 110; 112; user interface 113; storage unit W; wafer (substrate)
120; interlayer insulating film 122; lower copper wiring 123; stopper film 124; interlayer insulating film (low-k film)
124a: via 124b; trench 125; antireflection film 126; resist film 127; sacrificial film 128; resist film 129, 130;

Claims (11)

基板上に形成された低誘電率材料からなる被エッチング膜をエッチング処理して所定パターンを形成する工程と、
前記エッチング処理を終了した後に残存する物質を所定の液に対して可溶化するように変性させる工程と、
次いで、前記パターンが形成された被エッチング膜の表面をシリル化処理する工程と、
その後、前記所定の液を供給して前記変性された物質を溶解除去する工程と
を有し、
前記シリル化処理は、前記変性させる工程により前記被エッチング膜に形成されたダメージを回復させ、前記溶解除去する工程の際のパターンはがれを防止するとともに、前記ダメージにより誘電率が上昇した被エッチング膜の誘電率を低下させ
前記変性させる工程は、水蒸気とオゾンを含む処理ガスを供給して行うことを特徴とする基板処理方法。
Etching a film to be etched made of a low dielectric constant material formed on a substrate to form a predetermined pattern;
A step of modifying the substance remaining after the etching process to be solubilized in a predetermined liquid;
Next, a step of silylating the surface of the etching target film on which the pattern is formed,
Thereafter, the step of supplying the predetermined liquid to dissolve and remove the denatured substance,
The silylation treatment recovers damage formed on the etched film by the modifying step, prevents pattern peeling during the dissolving and removing process, and increases the dielectric constant due to the damage. dielectric constant of lowering the,
The substrate treatment method is characterized in that the step of modifying is performed by supplying a treatment gas containing water vapor and ozone .
基板上に形成された低誘電率材料からなる被エッチング膜の上に犠牲膜を形成する工程と、
前記犠牲膜の上にエッチングマスクを形成し、前記犠牲膜と前記被エッチング膜をエッチングして所定パターンを形成する工程と、
前記犠牲膜と前記エッチングマスクを所定の液に可溶化するように変性させる工程と、
次いで、前記パターンが形成された被エッチング膜の表面をシリル化処理する工程と、
その後、前記所定の液を供給して前記変性された物質を溶解除去する工程と
を有し、
前記シリル化処理は、前記変性させる工程により前記被エッチング膜に形成されたダメージを回復させ、前記溶解除去する工程の際のパターンはがれを防止するとともに、前記ダメージにより誘電率が上昇した被エッチング膜の誘電率を低下させ
前記変性させる工程は、水蒸気とオゾンを含む処理ガスを供給して行うことを特徴とする基板処理方法。
Forming a sacrificial film on an etching target film made of a low dielectric constant material formed on a substrate;
Forming an etching mask on the sacrificial film, and etching the sacrificial film and the etching target film to form a predetermined pattern;
Modifying the sacrificial film and the etching mask so as to be solubilized in a predetermined liquid;
Next, a step of silylating the surface of the etching target film on which the pattern is formed,
Thereafter, the step of supplying the predetermined liquid to dissolve and remove the denatured substance,
The silylation treatment recovers damage formed on the etched film by the modifying step, prevents pattern peeling during the dissolving and removing process, and increases the dielectric constant due to the damage. dielectric constant of lowering the,
The substrate treatment method is characterized in that the step of modifying is performed by supplying a treatment gas containing water vapor and ozone .
基板上に形成された低誘電率材料からなる被エッチング膜をエッチング処理して所定パターンを形成する工程と、Etching a film to be etched made of a low dielectric constant material formed on a substrate to form a predetermined pattern;
前記エッチング処理を終了した後に残存する物質を所定の液に対して可溶化するように変性させる工程と、  A step of modifying the substance remaining after the etching process to be solubilized in a predetermined liquid;
次いで、前記パターンが形成された被エッチング膜の表面をシリル化処理する工程と、  Next, a step of silylating the surface of the etching target film on which the pattern is formed,
その後、前記所定の液を供給して前記変性された物質を溶解除去する工程と  Thereafter, supplying the predetermined liquid to dissolve and remove the denatured substance;
を有し、Have
前記シリル化処理は、前記変性させる工程により前記被エッチング膜に形成されたダメージを回復させ、前記溶解除去する工程の際のパターンはがれを防止するとともに、前記ダメージにより誘電率が上昇した被エッチング膜の誘電率を低下させ、  The silylation treatment recovers damage formed on the etched film by the modifying step, prevents pattern peeling during the dissolving and removing process, and increases the dielectric constant due to the damage. Reducing the dielectric constant of
前記変性させる工程は、オゾンを含む処理ガスを供給して行うことを特徴とする基板処理方法。  The substrate treatment method is characterized in that the step of modifying is performed by supplying a treatment gas containing ozone.
基板上に形成された低誘電率材料からなる被エッチング膜の上に犠牲膜を形成する工程と、  Forming a sacrificial film on an etching target film made of a low dielectric constant material formed on a substrate;
前記犠牲膜の上にエッチングマスクを形成し、前記犠牲膜と前記被エッチング膜をエッチングして所定パターンを形成する工程と、  Forming an etching mask on the sacrificial film, and etching the sacrificial film and the etching target film to form a predetermined pattern;
前記犠牲膜と前記エッチングマスクを所定の液に可溶化するように変性させる工程と、  Modifying the sacrificial film and the etching mask so as to be solubilized in a predetermined liquid;
次いで、前記パターンが形成された被エッチング膜の表面をシリル化処理する工程と、  Next, a step of silylating the surface of the etching target film on which the pattern is formed,
その後、前記所定の液を供給して前記変性された物質を溶解除去する工程と  Thereafter, supplying the predetermined liquid to dissolve and remove the denatured substance;
を有し、Have
前記シリル化処理は、前記変性させる工程により前記被エッチング膜に形成されたダメージを回復させ、前記溶解除去する工程の際のパターンはがれを防止するとともに、前記ダメージにより誘電率が上昇した被エッチング膜の誘電率を低下させ、  The silylation treatment recovers damage formed on the etched film by the modifying step, prevents pattern peeling during the dissolving and removing process, and increases the dielectric constant due to the damage. Reducing the dielectric constant of
前記変性させる工程は、オゾンを含む処理ガスを供給して行うことを特徴とする基板処理方法。  The substrate treatment method is characterized in that the step of modifying is performed by supplying a treatment gas containing ozone.
前記変性された物質が除去された後の被エッチング膜の表面をシリル化し、溶解除去する工程で前記被エッチング膜表面に形成されたダメージを回復させる工程をさらに有することを特徴とする請求項1から請求項4のいずれか1項に記載の基板処理方法。 2. The method according to claim 1 , further comprising a step of recovering damage formed on the surface of the film to be etched in the step of silylating and dissolving and removing the surface of the film to be etched after the modified substance is removed. The substrate processing method according to claim 1 . 前記低誘電率材料は、アルキル基を末端基として有することを特徴とする請求項1から請求項のいずれか1項に記載の基板処理方法。 The low dielectric constant material, a substrate processing method according to claim 1 to any one of claims 5, characterized in that it comprises an alkyl group as an end group. 前記所定の液は、酸またはアルカリ性薬液であることを特徴とする請求項1から請求項6のいずれか1項に記載の基板処理方法。   The substrate processing method according to claim 1, wherein the predetermined liquid is an acid or an alkaline chemical liquid. 前記シリル化処理は、分子内にシラザン結合(Si−N)を有する化合物を用いて行なうことを特徴とする請求項1から請求項7のいずれか1項に記載の基板処理方法。   The substrate treatment method according to claim 1, wherein the silylation treatment is performed using a compound having a silazane bond (Si—N) in a molecule. 前記分子内にシラザン結合を有する化合物が、TMDS(1,1,3,3-Tetramethyldisilazane)またはTMSDMA(Dimethylaminotrimethylsilane)であることを特徴とする請求項8に記載の基板処理方法。   9. The substrate processing method according to claim 8, wherein the compound having a silazane bond in the molecule is TMDS (1,1,3,3-Tetramethyldisilazane) or TMSDMA (Dimethylaminotrimethylsilane). 低誘電率材料からなる被エッチング膜を有し、エッチング処理により被エッチング膜に所定パターンが形成され、エッチング処理後に残存する物質を所定の液に対して可溶化するように、水蒸気とオゾンを含む処理ガスまたはオゾンを含む処理ガスが供給されて変性された基板に対し、被エッチング膜の表面をシリル化処理する工程と、
その後、前記所定の液を供給して前記変性された物質を溶解除去する工程と
を有し、
前記シリル化処理は、変性された際に前記被エッチング膜に形成されたダメージを回復させ、前記溶解除去する工程の際のパターンはがれを防止するとともに、前記ダメージにより誘電率が上昇した被エッチング膜の誘電率を低下させることを特徴とする基板処理方法。
Contains a film to be etched made of a low dielectric constant material , and includes a water vapor and ozone so that a predetermined pattern is formed in the film to be etched by the etching process and so that a substance remaining after the etching process is solubilized in a predetermined liquid A step of silylating the surface of the film to be etched on a substrate that has been modified by being supplied with a processing gas or a processing gas containing ozone ;
Thereafter, the step of supplying the predetermined liquid to dissolve and remove the denatured substance,
The silylation treatment recovers damage formed in the etched film when it is modified, prevents pattern peeling during the dissolution and removal process, and increases the dielectric constant due to the damage. The substrate processing method characterized by lowering the dielectric constant of the substrate.
コンピュータ上で動作する制御プログラムが記憶されたコンピュータ読取可能な記憶媒体であって、
前記制御プログラムは、実行時に、請求項1から請求項10のいずれか1項に記載の基板処理方法が行われるように、コンピュータに製造装置を制御させることを特徴とするコンピュータ読取可能な記憶媒体。
A computer-readable storage medium storing a control program that runs on a computer,
The computer-readable storage medium, wherein the control program causes a computer to control the manufacturing apparatus so that the substrate processing method according to any one of claims 1 to 10 is performed at the time of execution. .
JP2006230831A 2005-09-29 2006-08-28 Substrate processing method and computer-readable storage medium Expired - Fee Related JP5247999B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006230831A JP5247999B2 (en) 2005-09-29 2006-08-28 Substrate processing method and computer-readable storage medium

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005285432 2005-09-29
JP2005285432 2005-09-29
JP2006230831A JP5247999B2 (en) 2005-09-29 2006-08-28 Substrate processing method and computer-readable storage medium

Publications (2)

Publication Number Publication Date
JP2007123836A JP2007123836A (en) 2007-05-17
JP5247999B2 true JP5247999B2 (en) 2013-07-24

Family

ID=38147289

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006230831A Expired - Fee Related JP5247999B2 (en) 2005-09-29 2006-08-28 Substrate processing method and computer-readable storage medium

Country Status (1)

Country Link
JP (1) JP5247999B2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100968781B1 (en) * 2008-04-03 2010-07-08 코리아테크노(주) Scanning arm of metal impurities on the semiconductor wafer and scanning unit for using the same
JP5342811B2 (en) * 2008-06-09 2013-11-13 東京エレクトロン株式会社 Manufacturing method of semiconductor device
JP5424848B2 (en) * 2009-12-15 2014-02-26 株式会社東芝 Semiconductor substrate surface treatment apparatus and method
JP5782279B2 (en) * 2011-01-20 2015-09-24 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
JP6754257B2 (en) 2016-09-26 2020-09-09 株式会社Screenホールディングス Substrate processing method

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3585384B2 (en) * 1998-12-22 2004-11-04 松下電器産業株式会社 Method for manufacturing semiconductor device
JP3287406B2 (en) * 1999-06-11 2002-06-04 日本電気株式会社 Method for manufacturing semiconductor device
JP2003282698A (en) * 2002-03-22 2003-10-03 Sony Corp Method for fabricating semiconductor and the same
AU2003226048A1 (en) * 2002-04-12 2003-10-27 Supercritical Systems Inc. Method of treatment of porous dielectric films to reduce damage during cleaning
KR100462884B1 (en) * 2002-08-21 2004-12-17 삼성전자주식회사 Dual Damascene Interconnection Formation Method in Semiconductor Device using Sacrificial Filling Material
JP2004214388A (en) * 2002-12-27 2004-07-29 Tokyo Electron Ltd Method for substrate treatment
JP2007508691A (en) * 2003-10-08 2007-04-05 ハネウェル・インターナショナル・インコーポレーテッド Repair of damage in low dielectric constant dielectric materials using silylating agents

Also Published As

Publication number Publication date
JP2007123836A (en) 2007-05-17

Similar Documents

Publication Publication Date Title
KR101049491B1 (en) Substrate processing method and computer readable storage medium
KR100810163B1 (en) Method for manufacturing semiconductor device, substrate processing system, and recording medium
JP5057647B2 (en) Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
JP5100057B2 (en) Manufacturing method of semiconductor device
JP5452894B2 (en) Substrate processing method, substrate processing apparatus, and storage medium
JP4800235B2 (en) Processing method
JP2012195613A (en) Substrate processing method and storage medium
JP5247999B2 (en) Substrate processing method and computer-readable storage medium
KR100870806B1 (en) Process for fabricating semiconductor device
JP4459774B2 (en) Substrate processing method, substrate processing apparatus, and computer program
JP2004214388A (en) Method for substrate treatment
JP4318930B2 (en) Substrate processing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090109

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101001

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120605

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130115

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130313

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130409

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130410

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160419

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees