KR20040037305A - 반도체 소자의 금속 배선 형성 방법 - Google Patents

반도체 소자의 금속 배선 형성 방법 Download PDF

Info

Publication number
KR20040037305A
KR20040037305A KR1020020065752A KR20020065752A KR20040037305A KR 20040037305 A KR20040037305 A KR 20040037305A KR 1020020065752 A KR1020020065752 A KR 1020020065752A KR 20020065752 A KR20020065752 A KR 20020065752A KR 20040037305 A KR20040037305 A KR 20040037305A
Authority
KR
South Korea
Prior art keywords
layer
forming
metal layer
metal
barrier
Prior art date
Application number
KR1020020065752A
Other languages
English (en)
Other versions
KR100919378B1 (ko
Inventor
민우식
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020020065752A priority Critical patent/KR100919378B1/ko
Publication of KR20040037305A publication Critical patent/KR20040037305A/ko
Application granted granted Critical
Publication of KR100919378B1 publication Critical patent/KR100919378B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 반도체 소자의 금속 배선 형성 방법에 관한 것으로, 다마신 패턴의 전체 표면에 형성된 장벽 금속(Barrier metal)층의 표면에 얇은 산화막을 형성한 상태에서 전체 상부에 합금 시드층을 형성하고 순차적으로 듀얼 다마신 패턴을 금속층으로 매립한 후 열처리를 통해 장벽 금속층과 금속층의 계면에 안정된 산화막을 형성함과 동시에, 금속 배선 상부에 절연 장벽(Dielectric barrier)층을 형성하는 과정에서 금속 내부에 과포화되어 있던 불순물들이 표면으로 확산되어 금속 배선과 절연 장벽층의 계면에 안정된 산화막이 형성되도록 함으로써, EM(Electro Migration) 특성을 향상시키고 소자의 전기적 특성을 향상시킬 수 있는 반도체 소자의 금속 배선 형성 방법이 개시된다.

Description

반도체 소자의 금속 배선 형성 방법{Method of forming a metal wiring in a semiconductor device}
본 발명은 반도체 소자의 금속 배선 형성 방법에 관한 것으로, 특히 금속 배선을 포함한 금속 배선의 EM(Electro Migration) 특성을 향상시킬 수 있는 반도체 소자의 금속 배선 형성 방법에 관한 것이다.
반도체 소자의 금속 배선으로는 알루미늄이나 구리가 많이 사용되고 있다.
이중에서도 알루미늄은 표면에 매우 안정된 산화막이 형성되기 때문에 소자 구동 시 다량의 전자 이동에 의한 원자의 이동이 주로 그레인 바운더리(Grain boundary)를 따라 진행된다. 따라서, 그레인 바운더리를 줄이면 우수한 EM(Electro Migration) 특성을 기대할 수 있었다.
그럼에도 불구하고 알루미늄(Al) 대신에 구리(Cu)를 사용하는 이유는 구리의 낮은 비저항, RC 지연(Delay) 감소, 우수한 EM 특성 때문이다. 여기서, 배선 폭이 점차 줄어듦에 따라 배선의 신뢰성을 평가함에 있어서 EM 특성이 매우 중요한 요소로 작용을 한다.
구리는 융점이 약 1085℃로 높기 때문에, 초기에는 구리의 EM 특성이 우수할 것으로 예상되었다. 하지만, 실제 공정에서는 상반된 특성을 보이는 것으로 알려져 있다. 즉, 구리의 경우에는 표면에 안정된 사화막이 형성되지 않기 때문에 구리와 절연 장벽층(Dielectric barrier) 또는 구리와 금속 장벽층의 계면 특성이 매우 취약하다. 이러한 이유로, 그레인 바운더리 수를 줄이는 것만으로는 구리의 EM 특성을 향상시킬 수 없다.
따라서, 구리의 EM 특성을 향상시키기 위해서는 그레인 바운더리의 수를 줄이는 것보다는 원자 이동의 주요 경로(Path)가 되는 구리와 장벽(Barrier)층 간의 계면을 강화시키는데 초점을 맞추어야 한다. 이를 위하여, 구리에 다양한 원소를 첨가하여 열처리하는 방법을 통해 구리의 EM 특성을 향상시키는 방법이 연구되고 있다.
그중에서, 마그네슘(Mg)은 구리의 비저항을 증가시키기 않으면서 열처리시 표면으로 확산되어 안정된 산화막을 형성하기 때문에 구리의 EM 특성을 향상시킬 수 있다고 알려져 있다. 하지만, 실제 공정에 적용하기 위해서는 마그네슘뿐만 아니라 구리의 EM 특성을 향상시키기 위한 불순물 원소를 효과적으로 균일하게 첨가하는 방법과 함께, 이를 구리와 장벽층의 계면으로 효과적으로 확산시킬 수 있는 방법이 개발되어야 한다.
이러한 문제점은, 구리뿐만 아니라, 다른 어떠한 합금 배선에서도 발생될 수 있다.
따라서, 본 발명은 상기의 문제점을 해결하기 위하여 다마신 패턴의 전체 표면에 형성된 장벽 금속(Barrier metal)층의 표면에 얇은 산화막을 형성한 상태에서 전체 상부에 합금 시드층을 형성하고 순차적으로 듀얼 다마신 패턴을 금속층으로 매립한 후 열처리를 통해 장벽 금속층과 금속층의 계면에 안정된 산화막을 형성함과 동시에, 금속 배선 상부에 절연 장벽(Dielectric barrier)층을 형성하는 과정에서 금속 내부에 과포화되어 있던 불순물들이 표면으로 확산되어 금속 배선과 절연 장벽층의 계면에 안정된 산화막이 형성되도록 함으로써, EM(Electro Migration) 특성을 향상시키고 소자의 전기적 특성을 향상시킬 수 있는 반도체 소자의 금속 배선 형성 방법을 제공하는데 그 목적이 있다.
도 1a 내지 도 1j는 본 발명의 실시예에 따른 반도체 소자의 금속 배선 형성 방법을 설명하기 위한 소자의 단면도들이다.
<도면의 주요 부분에 대한 부호의 설명>
101 : 기판102 : 하부 층간 절연막
103 : 하부 금속 배선104 : 절연 장벽층
105 : 상부 층간 절연막106 : 콘택홀
107 : 금속 장벽층108 : 산화막
108a : 열처리 산화막109 : 합금 시드층
110 : 금속층111 : 금속 배선
112 : 절연 장벽층113 : 산화막
본 발명에 따른 반도체 소자의 금속 배선 형성 방법은 층간 절연막에 콘택홀이 형성되어 하부 구조의 소정 영역이 노출되는 반도체 기판이 제공되는 단계와, 전체 상부 표면에 장벽 금속층을 형성하는 단계와, 장벽 금속층의 표면에 산화막을 형성하는 단계와, 전체 상부 표면에 합금 시드층을 형성하는 단계와, 콘택홀이 매립되도록 전체 상부에 금속층을 형성하는 단계 및 열처리 공정으로 장벽 금속층에 흡착된 산소와 합금 시드층에 포함된 불순물을 결합시켜 금속층 및 장벽 금속층 사이의 산화막을 안정된 열처리 산화막으로 형성하는 단계를 포함한다.
상기에서, 산화막은 온도가 0 내지 100℃이고, 습도가 10 내지 80인 대기 중에 1초 내지 10시간 동안 노출시켜 형성하거나, 250 내지 500℃의 온도에서 1초 내지 20분 동안 급속 열처리 공정으로 열처리를 실시하여 형성할 수 있다. 또한, 산화막은 장벽 금속층을 형성한 챔버에서 Ar, O2, H2, NH3또는 이들의 혼합 기체를 바로 공급하면서 인-시투 열처리로 형성할 수도 있다.
한편, 산화막을 형성한 후 합금 시드층을 형성하기 전에, 콘택홀 저면의 하부 구조 상에 형성된 장벽 금속층을 선택적으로 제거할 수 있다. 이때, 장벽 금속층은 PVD 모듈에서 리스퍼터링 방법으로 제거하며, 리스퍼터링 방법은 13.56MHz rf 제네레이터를 이용하여 50 내지 1000W의 rf 파워를 인가하고, 플라즈마 형성을 위한 DC 파워를 1 내지 30kW 인가한 상태에서 전이 금속을 이용하여 실시할 수 있다. 전이 금속으로는 Ta, Ti 또는 W의 전이 금속을 사용할 수 있다.
합금 시드층은 주원료에 0.1 내지 10at%의 불순물이 첨가되며, 주재료를 구리로하고 불순물로는 Co, Nb, B, Sn, Mg, Al, Zr, Pd, Ti, Mo 또는 Ni 원소를 혼합할 수 있다.
열처리 공정은 1E-6Torr 내지 1E-4Torr의 저압에서 실시하거나, N2, Ar 또는 H2와 같은 단독 가스 분위기나 N2+H2, Ar+H2, Ar+N2또는 Ar을 혼합한 혼합 가스 분위기에서 실시할 수 있다.
금속층을 형성한 후에는, 화학적 기계적 연마 공정으로 층간 절연막 상부의 금속층, 합금 시드층, 열처리 산화막 및 장벽 금속층을 제거한 후 금속층을 포함한 층간 절연막 상부에 절연 장벽층을 형성할 수 있다. 이때, 절연 장벽층은 350 내지 1000℃의 온도에서 형성하여 절연 장벽층이 형성되는 과정에서 금속 배선 내부에 잔류되어 있는 불순물 원소가 절연 장벽층과 접하는 부분으로 확산되어 금속 배선과 절연 장벽층의 계면에 안정된 산화막이 형성되도록 할 수 있다.
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 설명하기로 한다. 그러나, 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예는 본 발명의 개시가 완전하도록 하며 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다. 한편, 도면상에서 동일 부호는 동일한 요소를 지칭한다.
도 1a 내지 도 1j는 본 발명의 실시예에 따른 반도체 소자의 금속 배선 형성 방법을 설명하기 위한 소자의 단면도들이다.
도 1a를 참조하면, 반도체 기판(101) 상에 하부 층간 절연막(102)을 형성하고 하부 층간 절연막(102)에 트렌치(도시되지 않음)나 하부 구조가 노출되도록 콘택홀을 형성한 후 전도성 물질을 매립하여 콘택 플러그(도시되지 않음)나 하부 금속 배선(103)을 형성한다. 이때, 하부 금속 배선(103)은 구리로 형성할 수 있다. 이후, 하부 금속 배선(103)과 같은 하부 구조를 포함한 전체 상부에 절연 장벽층(Dielectric barrier; 104) 및 상부 층간 절연막(105)을 순차적으로 형성한다.
도 1b를 참조하면, 소정 영역의 하부 금속 배선(103)이 노출되도록 하부 금속 배선(103) 상부의 절연 장벽층(140) 및 상부 층간 절연막(105)을 식각하여 상부 층간 절연막(105)에 콘택홀(106)을 형성한다. 이때, 콘택홀(106)과 함께 트렌치(도시되지 않음)를 형성하는 것도 가능하다.
도 1c를 참조하면, 콘택홀(106)을 통해 노출된 하부 금속 배선(103)을 포함한 상부 층간 절연막(105)의 전체 상부에 장벽 금속층(Barrier metal; 107)을 형성한다.
상기에서, 장벽 금속층(107)은 20 내지 1000Å의 두께로 형성한다. 이때, 장벽 금속층(107)은 화학기상 증착법(Chemical Vapor Deposition; CVD)이나 물리기상 증착법(Physical Vapor Deposition; PVD)으로 Ta, TaN, TaC, WN, TiW, WBN 또는 WC을 증착하여 형성할 수 있다.
도 1d를 참조하면, 장벽 금속층(107)의 표면에 산화막(108)을 형성한다. 이때, 산화막(108)은 기판(101)을 대기 중에 노출시키거나 열처리를 실시하여 형성한다. 기판(101)을 대기 중에 노출시킬 경우, 온도가 0 내지 100℃이고, 습도가 10 내지 80인 대기 중에 1초 내지 10시간 동안 노출시켜 산화막(108)을 형성한다. 한편, 열처리를 실시하여 산화막(108)을 형성할 경우, 퍼니스(Furnace)에서 150 내지 450℃의 온도로 1분 내지 10시간 동안 열처리를 실시하거나, 250 내지 500℃의 온도에서 1초 내지 20분 동안 급속 열처리 공정(Rapid Thermal Process; RTP)으로 열처리를 실시한다. 이때, 열처리 공정은 N2, Ar 또는 H2와 같은 단독 가스 분위기나 N2+H2, Ar+H2, Ar+N2또는 Ar을 혼합한 혼합 가스 분위기에서 실시한다.
열처리 공정의 또 다른 방법으로는, 장벽 금속층(107)을 형성한 챔버에서 Ar, O2, H2, NH3또는 이들의 혼합 기체를 바로 공급하면서 인-시투(In-Situ)로 진행하는 것도 가능하다. 이 경우, 챔버의 내부 압력을 1mTorr 내지 10Torr로 유지한다.
도 1e를 참조하면, 하부 금속 배선(103)과 상부에 형성될 전도성 물질과의 접촉 저항을 낮추기 위하여 콘택홀(106) 저면의 하부 금속 배선(103) 상부에 형성된 장벽 금속층(107)을 선택적으로 제거할 수 있다. 이때, 하부 금속 배선(103) 상부에 형성된 장벽 금속층(107)은 PVD 모듈(Module)에서 리스퍼터링(Resputtering) 방법으로 제거할 수 있다. 상기에서, 리스퍼터링 방법은 13.56MHz rf 제네레이터(rf generator)를 이용하여 50 내지 1000W의 rf 파워를 인가하고, 플라즈마 형성을 위한 DC 파워를 1 내지 30kW 인가한 상태에서 전이 금속(Transition metal)을 이용하여 실시한다. 여기서, 전이 금속으로는 Ta, Ti 또는 W의 전이 금속을 사용할 수 있다.
도 1f를 참조하면, 콘택홀(106)을 통해 노출된 하부 금속 배선(103) 상부를 포함한 장벽 금속층(107) 상부에 합금 시드층(109)을 형성한다. 이때, 합금 시드층(109)은 구리를 주원료로 하는 경우 구리에 Co, Nb, B, Sn, Mg, Al, Zr, Pd, Ti, Mo 또는 Ni의 원소가 불순물로 첨가된 구리합금 시드층으로 형성할 수 있으며, 불순물의 첨가량은 0.1 내지 10at%가 되도록 한다.
도 1g를 참조하면, 콘택홀(106)의 내부가 충분히 매립되도록 전체 상부에 금속층(110)을 형성한다. 금속층(110)은 전기 도금법, 무전해 도금법 또는 CVD법으로 형성할 수 있다. 도 1f에서 합금 시드층(109)을 구리합금 시드층으로 형성한 경우 금속층(110)은 구리로 형성한다.
도 1h를 참조하면, 열처리 공정으로 합금 시드층(109)에 포함된 불순물과 장벽 금속층(107)에 약하게 결합되어 있는 산소를 결합시켜 합금 시드층(109)과 장벽 금속층(107) 사이에 형성된 산화막(108)을 안정된 열처리 산화막(108a)으로 변화시킨다. 만일, 합금 시드층(109)에 Al이 포함된 경우에는 산화막(108)이 Al2O3와 같은 조밀하고 안정된 열처리 산화막(108a)으로 형성되며, Mg가 포함된 경우에는 산화막(108)이 MgO와 같은 조밀하고 안정된 열처리 산화막(108a)으로 형성된다.
이때, 열처리 공정은 진공 분위기나 기체 분위기에서 실시한다. 진공 분위기에서 열처리 공정을 실시할 경우 1E-6Torr 내지 1E-4Torr의 저압에서 실시하며, 기체 분위기에서 열처리 공정을 실시할 경우 N2, Ar 또는 H2와 같은 단독 가스 분위기나 N2+H2, Ar+H2, Ar+N2또는 Ar을 혼합한 혼합 가스 분위기에서 실시한다.
도 1i를 참조하면, 상부 층간 절연막(105)의 상부 표면이 노출되도록 화학적 기계적 연마 공정을 실시하여, 상부 층간 절연막(105) 상부의 금속층(110), 합금 시드층(109), 열처리 산화막(108a), 장벽 금속층(107)을 제거한다. 이로써, 금속층(110)과 합금 시드층(109)은 콘택홀(106) 내부에만 잔류하고, 열처리 산화막(108a)과 장벽 금속층(107)은 콘택홀(106)의 측면에만 잔류하여 금속층(110)과 합금 시드층(109)으로 이루어진 금속 배선(111)이 형성된다.
도 1j를 참조하면, 금속 배선(111)이 형성된 후에는 전체 상부에 절연 장벽층(112)을 형성한다. 이때, 절연 장벽층(112)은 350 내지 1000℃의 온도에서 형성하며, 절연 장벽층(112)이 형성되는 과정에서 금속 배선(111) 내부에 잔류되어 있는 불순물 원소가 절연 장벽층(112)과 접하는 부분으로 확산되어 금속 배선(111)과 절연 장벽층(112)의 계면에는 안정된 산화막(113)이 형성된다.
이로써, 하부 금속 배선(103)과 접하는 금속 배선(111)의 저면을 제외하고는측면 및 상부 표면이 안정된 산화막(108a 및 113)에 의해 둘러싸여 지므로, 장벽(Barrier) 특성이 향상되어 금속 배선(111)의 EM 특성이 향상된다.
상술한 바와 같이, 본 발명은 금속 배선의 측면 및 상부 표면에 안정된 산화막을 형성하여 장벽(Barrier) 특성을 향상시킴으로써, 금속 배선의 EM 특성이 향상된다. 이로써, 공정의 신뢰성 및 소자의 전기적 특성을 향상시킬 수 있다.

Claims (15)

  1. 층간 절연막에 콘택홀이 형성되어 하부 구조의 소정 영역이 노출되는 반도체 기판이 제공되는 단계;
    전체 상부 표면에 장벽 금속층을 형성하는 단계;
    상기 장벽 금속층의 표면에 산화막을 형성하는 단계;
    전체 상부 표면에 합금 시드층을 형성하는 단계;
    상기 콘택홀이 매립되도록 전체 상부에 금속층을 형성하는 단계; 및
    열처리 공정으로 상기 장벽 금속층에 흡착된 산소와 상기 합금 시드층에 포함된 불순물을 결합시켜 상기 금속층 및 상기 장벽 금속층 사이의 상기 산화막을 안정된 열처리 산화막으로 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  2. 제 1 항에 있어서,
    상기 장벽 금속층은 화학기상 증착법이나 물리기상 증착법으로 Ta, TaN, TaC, WN, TiW, WBN 또는 WC을 증착하여 형성하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  3. 제 1 항에 있어서,
    상기 산화막은 온도가 0 내지 100℃이고, 습도가 10 내지 80인 대기 중에 1초 내지 10시간 동안 노출시켜 형성하거나, 250 내지 500℃의 온도에서 1초 내지 20분 동안 급속 열처리 공정으로 열처리를 실시하여 형성하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  4. 제 1 항에 있어서,
    상기 산화막은 상기 장벽 금속층을 형성한 챔버에서 Ar, O2, H2, NH3또는 이들의 혼합 기체를 바로 공급하면서 인-시투 열처리로 형성하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  5. 제 1 항에 있어서, 상기 산화막을 형성한 후 상기 합금 시드층을 형성하기 전에,
    상기 콘택홀 저면의 상기 하부 구조 상에 형성된 상기 장벽 금속층을 선택적으로 제거하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  6. 제 5 항에 있어서,
    상기 장벽 금속층은 PVD 모듈에서 리스퍼터링 방법으로 제거하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  7. 제 6 항에 있어서,
    상기 리스퍼터링 방법은 13.56MHz rf 제네레이터를 이용하여 50 내지 1000W의 rf 파워를 인가하고, 플라즈마 형성을 위한 DC 파워를 1 내지 30kW 인가한 상태에서 전이 금속을 이용하여 실시하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  8. 제 7 항에 있어서,
    상기 전이 금속으로 Ta, Ti 또는 W의 전이 금속을 사용하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  9. 제 1 항에 있어서,
    상기 합금 시드층은 구리에 0.1 내지 10at%의 불순물이 첨가된 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  10. 상기 불순물은 Co, Nb, B, Sn, Mg, Al, Zr, Pd, Ti, Mo 또는 Ni 원소인 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  11. 제 1 항에 있어서,
    상기 금속층은 구리로 이루어지며, 전기 도금법, 무전해 도금법 또는 CVD법으로 형성하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  12. 제 1 항에 있어서,
    상기 열처리 공정은 1E-6Torr 내지 1E-4Torr의 저압에서 실시하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  13. 제 1 항에 있어서,
    상기 열처리 공정은 N2, Ar 또는 H2와 같은 단독 가스 분위기나 N2+H2, Ar+H2,Ar+N2또는 Ar을 혼합한 혼합 가스 분위기에서 실시하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  14. 제 1 항에 있어서, 상기 금속층을 형성한 후에,
    화학적 기계적 연마 공정으로 상기 층간 절연막 상부의 상기 금속층, 상기 합금 시드층, 상기 열처리 산화막 및 상기 장벽 금속층을 제거하는 단계;
    상기 금속층을 포함한 상기 층간 절연막 상부에 절연 장벽층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  15. 제 14 항에 있어서,
    상기 절연 장벽층은 350 내지 1000℃의 온도에서 형성하여 상기 절연 장벽층이 형성되는 과정에서 상기 금속 배선 내부에 잔류되어 있는 불순물 원소가 상기 절연 장벽층과 접하는 부분으로 확산되어 상기 금속 배선과 상기 절연 장벽층의 계면에 안정된 산화막이 형성되도록 하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
KR1020020065752A 2002-10-28 2002-10-28 반도체 소자의 금속 배선 및 이의 형성 방법 KR100919378B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020020065752A KR100919378B1 (ko) 2002-10-28 2002-10-28 반도체 소자의 금속 배선 및 이의 형성 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020020065752A KR100919378B1 (ko) 2002-10-28 2002-10-28 반도체 소자의 금속 배선 및 이의 형성 방법

Publications (2)

Publication Number Publication Date
KR20040037305A true KR20040037305A (ko) 2004-05-07
KR100919378B1 KR100919378B1 (ko) 2009-09-25

Family

ID=37335628

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020065752A KR100919378B1 (ko) 2002-10-28 2002-10-28 반도체 소자의 금속 배선 및 이의 형성 방법

Country Status (1)

Country Link
KR (1) KR100919378B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100639469B1 (ko) * 2004-09-17 2006-10-26 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
KR100703973B1 (ko) * 2005-07-20 2007-04-06 삼성전자주식회사 이중 캡핑막을 갖는 반도체 소자의 배선 및 그 형성 방법

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04259242A (ja) * 1991-02-14 1992-09-14 Fujitsu Ltd 半導体装置の製造方法
KR19980029717A (ko) * 1996-10-28 1998-07-25 김영환 반도체소자의 금속배선 콘택 제조방법
KR19980077525A (ko) * 1997-04-21 1998-11-16 문정환 배선 형성 방법
US6387805B2 (en) * 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
KR100574922B1 (ko) * 1999-07-16 2006-05-02 삼성전자주식회사 반도체장치의 다층배선구조 및 그 제조방법
US6406996B1 (en) * 2000-09-30 2002-06-18 Advanced Micro Devices, Inc. Sub-cap and method of manufacture therefor in integrated circuit capping layers
KR100398034B1 (ko) * 2000-12-28 2003-09-19 주식회사 하이닉스반도체 반도체 소자의 구리 배선 형성 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100639469B1 (ko) * 2004-09-17 2006-10-26 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
KR100703973B1 (ko) * 2005-07-20 2007-04-06 삼성전자주식회사 이중 캡핑막을 갖는 반도체 소자의 배선 및 그 형성 방법

Also Published As

Publication number Publication date
KR100919378B1 (ko) 2009-09-25

Similar Documents

Publication Publication Date Title
US7425506B1 (en) Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US10056328B2 (en) Ruthenium metal feature fill for interconnects
KR100339179B1 (ko) 상호 접속 구조 및 그 형성 방법
US7220674B2 (en) Copper alloys for interconnections having improved electromigration characteristics and methods of making same
US6207222B1 (en) Dual damascene metallization
US6242808B1 (en) Semiconductor device with copper wiring and semiconductor device manufacturing method
US6506668B1 (en) Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
EP2162906B1 (en) A method for producing a copper contact
US20090108452A1 (en) Semiconductor device and method for manufacturing the same
KR100919378B1 (ko) 반도체 소자의 금속 배선 및 이의 형성 방법
KR20070066426A (ko) 반도체 소자의 금속배선 형성방법
KR100701673B1 (ko) 반도체 소자의 구리 배선 형성방법
KR20070005870A (ko) 반도체 소자의 구리 금속배선 형성방법
KR20020000461A (ko) 반도체 소자의 금속배선 형성방법
US6661097B1 (en) Ti liner for copper interconnect with low-k dielectric
KR100386628B1 (ko) 반도체 소자의 금속 배선 형성방법
US7169706B2 (en) Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
KR20000044849A (ko) 반도체 소자의 구리 합금 배선 형성 방법
KR100451767B1 (ko) 반도체 소자의 금속 배선 형성방법
KR20090075501A (ko) 반도체 소자의 금속배선 및 그 형성방법
KR20070046376A (ko) 반도체 소자의 구리 금속배선 형성방법
JP2004031497A (ja) 半導体装置およびその製造方法
KR20030048618A (ko) 반도체 소자의 구리 배선 형성 방법
KR20020089777A (ko) 반도체 소자의 구리배선 형성방법
KR20020091308A (ko) 반도체 소자의 구리배선 형성방법

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120823

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130821

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140820

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150818

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160817

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170818

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180820

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190819

Year of fee payment: 11