KR20030053761A - 선형 보간기와 카운터를 이용한 직접 디지털 주파수합성기 및 주파수 합성방법 - Google Patents

선형 보간기와 카운터를 이용한 직접 디지털 주파수합성기 및 주파수 합성방법 Download PDF

Info

Publication number
KR20030053761A
KR20030053761A KR1020010083751A KR20010083751A KR20030053761A KR 20030053761 A KR20030053761 A KR 20030053761A KR 1020010083751 A KR1020010083751 A KR 1020010083751A KR 20010083751 A KR20010083751 A KR 20010083751A KR 20030053761 A KR20030053761 A KR 20030053761A
Authority
KR
South Korea
Prior art keywords
value
control signal
phase control
counter
sine
Prior art date
Application number
KR1020010083751A
Other languages
English (en)
Inventor
조상완
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020010083751A priority Critical patent/KR20030053761A/ko
Publication of KR20030053761A publication Critical patent/KR20030053761A/ko

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/16Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop
    • H03L7/18Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop using a frequency divider or counter in the loop
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L7/00Arrangements for synchronising receiver with transmitter
    • H04L7/0016Arrangements for synchronising receiver with transmitter correction of synchronization errors
    • H04L7/002Arrangements for synchronising receiver with transmitter correction of synchronization errors correction by interpolation
    • H04L7/0029Arrangements for synchronising receiver with transmitter correction of synchronization errors correction by interpolation interpolation of received data signal
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/16Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop
    • H03L7/18Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop using a frequency divider or counter in the loop
    • H03L7/1806Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop using a frequency divider or counter in the loop the frequency divider comprising a phase accumulator generating the frequency divided signal

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)

Abstract

본 발명은 선형 보간기와 카운터를 이용한 직접 디지털 주파수 합성기 및 주파수 합성방법에 관한 것으로서, 선형 보간기와 카운터를 사용해서 ROM 록-업 테이블의 사이즈를 줄이는 것을 목적으로 한다. 이러한 목적을 달성하기 위한 본 발명은, 외부의 디지털 입력신호에 따라 일정한 위상값을 갖는 위상 제어신호를 발생시키는 어큐뮬레이터; 상기 위상 제어신호의 제1 진폭값을 저장하는 ROM 룩-업 테이블; 상기 위상 제어신호의 위상값에 따라 상기 위상 제어신호의 제2 진폭값을 순차적으로 계산하는 카운터; 상기 제1 진폭값과 상기 제2 진폭값을 더해서 짝수 사인값을 출력하는 덧셈기; 상기 짝수 사인값에 근거해서 홀수 사인값을 보간해 내는 선형 보간기; 및 상기 어큐뮬레이터로부터 받은 최하위 비트에 응답하여 상기 ROM 룩-업 테이블로부터의 짝수 사인값과 상기 선형 보간기로부터의 홀수 사인값을 멀티플렉싱하여 정확한 사인값을 생성하는 멀티플렉서를 구비한 것을 특징으로 한다.

Description

선형 보간기와 카운터를 이용한 직접 디지털 주파수 합성기 및 주파수 합성방법{Direct digital frequency synthesizer using linear interpolator and counter and frequency synthesizing method thereof}
본 발명은 선형 보간기와 카운터를 이용한 직접 디지털 주파수 합성기 및 주파수 합성방법에 관한 것으로, 보다 상세하게는 선형 보간기와 카운터를 이용해서 ROM 룩-업 테이블의 사이즈를 줄이는 것에 관한 것이다.
CDMA나 IMT-2000과 같은 무선 디지털 통신 시스템에서 데이터 신호를 원하는 주파수 밴드로 전송하기 위해서는 주파수 변환이 필요하다. 이러한 주파수 변환은 데이터의 위상변화를 이용하여 사인파형을 생성하는 직접 디지털 주파수 합성기((Direct Digital Frequency Synthesizer; DDFS)에 의해 구현된다.
도 1은 종래의 직접 디지털 주파수 합성기(DDFS)의 블록도로서, 이것은 N-비트 어큐뮬레이터(10)와 ROM 록-업 테이블(20), 디지털/아날로그 컨버터(30) 및 저역 통과 필터(40)로 구성된다.
이러한 종래의 직접 디지털 주파수 합성기는 일반적으로 사인파형을 생성하기 위해서 ROM에 기초한 룩-업 테이블을 사용하기 때문에 더 정확한 사인파형을 원하면 원할 수록 더 큰 ROM 사이즈를 필요로 하게 된다.
즉, 더 정확한 주파수와 진폭의 분해능(resolution)을 원하면 원할 수록 더 큰 메모리가 필요하게 되고, 더 큰 ROM을 사용하면 할수록 더 큰 전력이 필요하게 되되며, 속도도 느려지게 되는 문제점이 있다.
이러한 선형 보간을 이용해서 ROM 룩-업 테이블의 사이즈를 줄이는 직접 디지털 주파수 합성기(DDFS)가 대한민국 특허 제2001-39036호에 개시된 봐 있는데, 이것은, 선형 보간기를 이용하여 짝수 사인값을 가지고 홀수 사인값을 생성해서 ROM 룩-업 테이블의 사이즈를 줄였다.
그러나, 대한민국 특허 제 2000-77738에 개시된 직접 디지털 주파수 합성기는 ROM 룩-업 테이블의 출력 비트 수를 줄이지 못했기 때문에 ROM 룩-업 테이블의 사이즈가 크게 축소되지 않았다.
따라서, 이와 같은 문제점을 해결하기 위해, 본 발명, 선형 보간기와 카운터를 이용하여 ROM 록-업 테이블의 사이즈를 줄이는 것을 목적으로 한다.
도 1은 종래의 직접 디지털 주파수 합성기(DDFS)의 블록도.
도 2는 본 발명의 바람직한 실시예에 따른 선형 보간을 이용한 직접 디지털 주파수 합성기(DDFS)의 블록도.
도 3은 도 2의 사이곡선을 나타낸 파형도.
이러한 목적을 달성하기 위해 본 발명의 제1 관점에 따른 선형 보간기와 카운터를 이용한 직접 디지털 주파수 합성기는 외부의 디지털 입력신호에 따라 일정한 위상값을 갖는 위상 제어신호를 발생시키는 어큐뮬레이터; 상기 위상 제어신호의 제1 진폭값을 저장하는 ROM 룩-업 테이블; 상기 위상 제어신호의 위상값에 따라 상기 위상 제어신호의 제2 진폭값을 순차적으로 계산하는 카운터; 상기 제1 진폭값과 상기 제2 진폭값을 더해서 짝수 사인값을 출력하는 덧셈기; 상기 짝수 사인값에 근거해서 홀수 사인값을 보간해 내는 선형 보간기; 및 상기 어큐뮬레이터로부터 받은 최하위 비트에 응답하여 상기 ROM 룩-업 테이블로부터의 짝수 사인값과 상기 선형 보간기로부터의 홀수 사인값을 멀티플렉싱하여 정확한 사인값을 생성하는 멀티플렉서를 구비한 것을 특징으로 한다.
또한, 본 발명의 제2 관점에 따른 선형 보간기와 카운터를 이용한 직접 디지털 주파수 합성방법은, 외부의 디지털 입력신호에 따라 일정한 위상값을 갖는 위상 제어신호를 발생시키는 단계; 상기 위상 제어신호의 제1 진폭값을 저장하는 단계; 상기 위상 제어신호의 위상값에 따라 상기 위상 제어신호의 제2 진폭값을 계산하는 단계; 상기 위상 제어신호의 제1 진폭값과 상기 위상 제어신호의 제2 진폭값을 더해서 짝수 사인값을 생성하는 단계; 상기 짝수 사인값에 근거해서 홀수 사인값을 보간해 내는 단계; 및 상 위상 제어신호의 최하위 비트에 응답하여 상기 짝수 사인값과 상기 홀수 사인값을 멀티플렉싱하여 정확한 사인값을 생성하는 단계로 이루어진 것을 특징으로 한다.
상술한 목적 및 기타의 목적과 본 발명의 특징 및 이점은 첨부도면과 관련한다음의 상세한 설명을 통해 보다 분명해 질 것이다.
이하, 첨부도면을 참조하면서 본 발명의 바람직한 실시예를 설명한다.
도 2는 본 발명의 바람직한 실시예에 따른 선형 보간기와 카운터를 이용한 직접 디지털 주파수 합성기의 블록도이고, 도 3은 도 2에 나타낸 직접 디지털 주파수 합성기의 사인곡선을 나타낸 도면이다.
도 2에 나타낸 직접 디지털 주파수 합성기는 N-비트 어큐뮬레이터(110), ROM 룩-업 테이블(120), 카운터(130), 덧셈기(140), 선형 보간기(150), 멀티플렉서(160) 및 디지털/아널로그 컨버터(DAC; 170) 및 저역 통광 필터(180)를 구비한다.
N-비트 어큐뮬레이터(110)는 외부로부터 입력된 N-비트 입력신호 IN에 따라 일정한 위상값을 갖는 위상 제어 신호W를 발생시킨다.
ROM 룩-업 테이블(120)은 어큐뮬레이터(110)로부터 출력된 위상 제어신호 W의 제1 진폭값(도 3의 음영으로 표시된 부분)을 저장한다.
카운터(130)는 위상 제어신호 W의 위상값에 따라 위상 제어신호 W의 제2 진폭값(도 3의 삼각형부분)을 순차적으로 계산한다.
덧셈기(140)는 ROM 록-업 테이블(120)에서 출력된 제1 진폭값과 카운터(130)에서 출력된 제2 진폭값을 더해서 위상 제어신호 W의 짝수 사인값을 계산한다.
선형 보간기(150)는 덧셈기(140)로부터 짝수 사인값에 근거해서 위상 제어신호 W의 홀수 사인값을 보간해 낸다.
멀티플렉서(160)는 위상 제어신호 W의 최하위 비트 LSB에 응답하여덧셈기(140)로부터의 짝수 사인값과 선형 보간기(150)로부터의 홀수 사인값을 선택적으로 입력받아 멀티플렉싱하여 출력한다.
즉, 최하위 비트 LSB가 로직 0인 경우에는 덧셈기(140)로부터의 짝수 사인값을 선택하고, 최하위 비트 LSB가 로직 1인 경우에는 선형 보간기(150)로부터의 홀수 사인값을 선택한다.
디지털/아날로그 컨버터(170)는 멀티플렉서(160)로부터의 디지털 신호를 아날로그 신호로 변환하여 출력한다.
저역 통과 필터(180)는 디지털/아날로그 컨버터(170)로부터 출력된 아날로그 신호를 필터링하여 정확한 사인파형 Sin(W)을 출력한다.
이렇게 카운터(130)를 이용해서 ROM에 대한 출력 비트 수를 줄임으로써 ROM 룩-업 테이블의 사이즈가 종래보다 많이 줄어들게 된다.
이상에서 살펴본 바와 같이, 본 발명의 바람직한 실시예에 의하면, 카운터를 이용해서 ROM에 대한 출력 비프 수를 줄임으로써 ROM 록-업 테이블의 사이즈를 종래보다 더 많이 줄일 수 있다.
아울러 본 발명의 바람직한 실시예들은 예시의 목적을 위해 개시된 것이며, 당업자라면 본 발명의 사상과 범위 안에서 다양한 수정, 변경, 부가 등이 가능할 것이며, 이러한 수정 변경 등은 이하의 특허청구의 범위에 속하는 것으로 보아야 할 것이다.

Claims (4)

  1. 외부의 디지털 입력신호에 따라 일정한 위상값을 갖는 위상 제어신호를 발생시키는 어큐뮬레이터;
    상기 위상 제어신호의 제1 진폭값을 저장하는 ROM 룩-업 테이블;
    상기 위상 제어신호의 위상값에 따라 상기 위상 제어신호의 제2 진폭값을 순차적으로 계산하는 카운터;
    상기 제1 진폭값과 상기 제2 진폭값을 더해서 짝수 사인값을 출력하는 덧셈기;
    상기 짝수 사인값에 근거해서 홀수 사인값을 보간해 내는 선형 보간기; 및
    상기 어큐뮬레이터로부터 받은 최하위 비트에 응답하여 상기 ROM 룩-업 테이블로부터의 짝수 사인값과 상기 선형 보간기로부터의 홀수 사인값을 멀티플렉싱하여 정확한 사인값을 생성하는 멀티플렉서를 구비한 것을 특징으로 하는 선형 보간기와 카운터를 이용한 직접 디지털 주파수 합성기.
  2. 제 1 항에 있어서,
    상기 어큐뮬레이터로부터 받은 상기 위상 제어신호의 최하위 비트가 로직 0일 때는 상기 ROM 룩-업 테이블로부터의 짝수 사인값을 선택하고, 상기 최하위 비트가 로직 1일 때는 상기 선형 보간기로부터의 홀수 사인값을 선택하는 것을 특징으로 하는 선형 보간기와 카운터를 이용한 직접 디지털 주파수 합성기.
  3. 외부의 디지털 입력신호에 따라 일정한 위상값을 갖는 위상 제어신호를 발생시키는 단계;
    상기 위상 제어신호의 제1 진폭값을 저장하는 단계;
    상기 위상 제어신호의 위상값에 따라 상기 위상 제어신호의 제2 진폭값을 계산하는 단계;
    상기 위상 제어신호의 제1 진폭값과 상기 위상 제어신호의 제2 진폭값을 더해서 짝수 사인값을 생성하는 단계;
    상기 짝수 사인값에 근거해서 홀수 사인값을 보간해 내는 단계; 및
    상 위상 제어신호의 최하위 비트에 응답하여 상기 짝수 사인값과 상기 홀수 사인값을 멀티플렉싱하여 정확한 사인값을 생성하는 단계로 이루어진 것을 특징으로 하는 선형 보간기와 카운터를 이용한 직접 디지털 주파수 합성방법
  4. 제 3 항에 있어서,
    상기 위상 제어신호의 최하위 비트가 로직 0일 때는 상기 ROM 룩-업 테이블로부터의 짝수 사인값을 선택하고, 상기 최하위 비트가 로직 1일 때는 상기 선형 보간기로부터의 홀수 사인값을 선택하는 것을 특징으로 하는 선형 보간기와 카운터를 이용한 직접 디지털 주파수 합성방법.
KR1020010083751A 2001-12-24 2001-12-24 선형 보간기와 카운터를 이용한 직접 디지털 주파수합성기 및 주파수 합성방법 KR20030053761A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020010083751A KR20030053761A (ko) 2001-12-24 2001-12-24 선형 보간기와 카운터를 이용한 직접 디지털 주파수합성기 및 주파수 합성방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020010083751A KR20030053761A (ko) 2001-12-24 2001-12-24 선형 보간기와 카운터를 이용한 직접 디지털 주파수합성기 및 주파수 합성방법

Publications (1)

Publication Number Publication Date
KR20030053761A true KR20030053761A (ko) 2003-07-02

Family

ID=32212423

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010083751A KR20030053761A (ko) 2001-12-24 2001-12-24 선형 보간기와 카운터를 이용한 직접 디지털 주파수합성기 및 주파수 합성방법

Country Status (1)

Country Link
KR (1) KR20030053761A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100644060B1 (ko) * 2005-03-03 2006-11-10 엘지전자 주식회사 직접형 주파수 합성기용 지터 감쇄기
KR20160001052A (ko) 2014-06-26 2016-01-06 삼성전기주식회사 피에조 구동 장치 및 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100644060B1 (ko) * 2005-03-03 2006-11-10 엘지전자 주식회사 직접형 주파수 합성기용 지터 감쇄기
KR20160001052A (ko) 2014-06-26 2016-01-06 삼성전기주식회사 피에조 구동 장치 및 방법

Similar Documents

Publication Publication Date Title
US5625358A (en) Digital phase-locked loop utilizing a high order sigma-delta modulator
JP3419484B2 (ja) 変調器、送信器
US5517534A (en) Phase locked loop with reduced phase noise
US7336721B2 (en) Digital frequency modulator
JP2887987B2 (ja) ディジタル変調回路
US5999113A (en) Interpolation circuit for encoder having a look-up table memory with reduced capacity
JPH05252212A (ja) ディジタル無線変調器
US5931891A (en) Digital frequency synthesizer
US5260673A (en) π/4 differential encoding for digital cellular mobile systems
US6115428A (en) Apparatus for and method of generating π-/N-shifted N-differential encoded phase shift keying modulation signals
US4959616A (en) Digital oscillation apparatus
KR20030053761A (ko) 선형 보간기와 카운터를 이용한 직접 디지털 주파수합성기 및 주파수 합성방법
JP2000049538A (ja) デジタル信号作成装置
US7120204B2 (en) Waveform generator operable in accordance with a plurality of band limitation characteristics
US5574454A (en) Digital phase-locked loop utilizing a high order sigma-delta modulator
EP1645027B1 (en) Method and apparatus for generation of arbitrary mono-cycle waveforms
US5892692A (en) Method for generating a lookup table for a digital oscillator
JP4536946B2 (ja) 周波数シンセサイザ
JP4344948B2 (ja) Dds回路
US20030058004A1 (en) Method and apparatus for direct digital synthesis of frequency signals
JPH05206732A (ja) 周波数シンセサイザ
US6317457B1 (en) Pulse density modulator
JPS63176020A (ja) D/a変換方式
US5475627A (en) Digital wave synthesizer with address conversion for reducing memory capacity
JP3890289B2 (ja) ラグランジェ補間サンプリングレート変換装置

Legal Events

Date Code Title Description
N231 Notification of change of applicant
WITB Written withdrawal of application