KR20030051627A - 플라즈마 처리 장치 및 방법 - Google Patents

플라즈마 처리 장치 및 방법 Download PDF

Info

Publication number
KR20030051627A
KR20030051627A KR10-2003-7002682A KR20037002682A KR20030051627A KR 20030051627 A KR20030051627 A KR 20030051627A KR 20037002682 A KR20037002682 A KR 20037002682A KR 20030051627 A KR20030051627 A KR 20030051627A
Authority
KR
South Korea
Prior art keywords
wafer
platforms
electrode
chamber
thin film
Prior art date
Application number
KR10-2003-7002682A
Other languages
English (en)
Other versions
KR100796096B1 (ko
Inventor
유우식
Original Assignee
웨이퍼마스터스, 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 웨이퍼마스터스, 인코퍼레이티드 filed Critical 웨이퍼마스터스, 인코퍼레이티드
Publication of KR20030051627A publication Critical patent/KR20030051627A/ko
Application granted granted Critical
Publication of KR100796096B1 publication Critical patent/KR100796096B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/913Diverse treatments performed in unitary chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

본 발명은 반도체 기판 상에 박막을 증착하는 장치와 방법에 관한 것이다. 상기 장치는 복수의 웨이퍼 플랫폼을 유지하는데 적합한 챔버 또는 하우징을 포함한다. 상기 웨이퍼 플랫폼들은 챔버에서 등간격으로 적층되어 배치되고, 서로의 웨이퍼 플랫폼으로부터 전기적으로 절연되어 있다. 상기 복수의 플랫폼 중의 적어도 2개는 전원에 전기적으로 접속되어 제 1 전극과 제 2 전극을 형성한다. 상기 복수의 웨이퍼 플랫폼의 나머지는 그들 사이에 위치된다. 이 방법으로, 상기 제 1 전극과 상기 제 2 전극은 하나의 직렬 커패시터를 형성한다. 적어도 하나의 반응 가스가 상기 챔버 내에 제공되고 충분한 공급 에너지로 반응되어 플라즈마를 형성한다. 상기 플라즈마로부터의 라디칼 또는 이온들이 상기 웨이퍼의 표면에서 반응하여 상기 웨이퍼 플랫폼의 표면에 위치된, 동일하게 분산된 웨이퍼 상에 박막층이 분포되게 된다.

Description

플라즈마 처리 장치 및 방법{PLASMA PROCESSING}
필름 층을 반도체 제품에 적층하는 많은 프로세스가 알려져 있다. 예를 들어, 플라즈마 화학 증착이 반도체 산업에 널리 사용되고 있다. 예를 들어 SiO2, SiN, Ta2O5, Si 에피택시, 유전체, 금속막 등의 막을 증착하기 위하여 이 증착 처리가 사용될 수 있다. 통상적인 화학 증착 처리는 반응 증착 챔버 내에서의 반응 물질의 고유 위치로의 증착으로 시작된다. 반응 가스들이 흡입구를 통해서 챔버 내로 도입되고, RF 전압에 의해 발생된 높은 전계에 의해 이온 또는 라디칼(radical)을 생성하도록 여기된다. 전계로 인해 흡입 가스는 글로우 방전 또는 플라즈마를 형성하기에 충분하도록 여기된다. 플라즈마 강화 증착은 주입되는 기체의 분자들이 플라즈마 상태로 흩어져서 적절한 이온이 원하는 막을 제공하도록 기판 표면에서 재결합되는 경우에 일어난다.
다중 레벨의 증착 막의 생성에 대한 증가된 복잡성은 공지된 증착 방법에 대한 대단한 도전이었다. 막의 품질을 향상시키기 위해서 막 증착의 필요조건은 보다 엄격해졌다.
막의 품질을 향상시키기 위한 하나의 방법은 PECVD 처리에서 이중 주파수를 사용하는 것이다. 도 1에 도시된 바와 같이, 통상적인 이중 주파수 PECVD 구조(10)는 제 1 전극(12)과 제 2 전극(14)을 포함한다. 제 1 전극(12)은 고역 통과 필터(16)와 정합 네트워크(18)를 통해서 13.56㎒ RF 발생기에 전기적으로 접속된다. 제 2 전극(14)은 저역 통과 필터(20)와 정합 변성기(22)를 통해서 300-400㎑ LF 전원 장치에 전기적으로 접속된 가열 서셉터(a heated susceptor)이다. 이온 충격/주입을 제공하는 동안, 고주파와 저주파의 합성은 안정된 방전을 제공하여 반응성 종(reactive species)을 생성해서, 기판(24)에 대한 결합을 확실하게 한다.
처리량을 증가시키고 품질을 향상시키기 위하여, 이중 주파수 PECVD 구조가 멀티스테이션 순차 증착 챔버(multistation sequential deposition chamber)에 사용되었다. 도 2A에 도시된 바와 같이, 통상적인 멀티스테이션 순차 증착 챔버(26)는 전극(28a, 28b)과 같은 복수의 RF 전극과 베이스 전극(30)을 포함하며, 상기 베이스 전극(30)은 LF 전원 장치에 접속된다. 예를 들어, N 스테이션 시스템에서 전체 박막 두께 T인 필름층 1/N(도 2B)이 각각의 스테이션에서 증착된다.
불행하게도, 멀티스테이션 방법이 처리량의 증가를 제공할 수 있지만, 상기 방법은 웨이퍼의 표면 상에서 성장하는 비균질 박막을 생성할 수 있다. 상기 비균질성은 플라즈마 점화시와 플라즈마 처리의 완료시에 플라즈마의 물리적인 특성이 불안전하게 되는 경향이 있기 때문에 발생한다. 그러므로 박막층이 형성될 때마다상기 층은 그의 최상부와 하부 표면에서 비균질하게 되는 경향이 있다. 멀티스테이션 방법에서, 플라즈마 온/오프 사이클이 각각의 스테이션에서 반복된다. 따라서 비균질 접촉면 부분(I)(도 2B)이 각각의 연속적인 증착층 사이에서 성장할 수 있다.
상기 멀티스테이션 방법의 각각의 스테이션을 전기적으로(병렬로) 매칭시키려는 시도가 있었지만, 각각의 전극으로부터 전원 장치로의 독립된 전기 접속은 증착의 비균일 문제를 일으킬 수 있다. 예를 들어, 상기 전극들에 전력을 공급하기 위해 사용된 케이블의 길이에 기인하여 단순한 변형이 일어날 수 있다.
이러한 이유 때문에, 증착 막이 예를 들어 개량된 화학적 안정성, 증착 속도, 두께의 균일성 및 접착 특성을 나타낼 수 있도록 하는, 기판, 금속 배리어 또는 에칭 방지층 상에 막을 증착하는 개량된 프로세스가 필요하게 된다.
본 발명은 반도체 처리에 관한 것으로, 보다 구체적으로는 유전체층 및/또는 박막을 반도체 기판 상에 형성하는 개량된 플라즈마 처리 시스템 및 방법에 관한 것이다.
본 발명의 다수의 목적, 특징 및 이점들은 하기의 첨부된 도면에 의해 당업자에게 명백해질 수 있고 보다 잘 이해될 수 있을 것이다.
도 1은 대표적인 CVD 시스템의 개략도,
도 2A는 대표적인 멀티스테이션 순차 CVD 처리 챔버의 간략화된 투시도,
도 2B는 도 2A의 시스템의 제품의 간략화된 도면,
도 3은 본 발명에 사용되는 반도체 웨이퍼 가공 처리 시스템의 한 실시예에 대한 측면도,
도 4는 본 발명의 한 실시예에 대한 간략화된 개략도,
도 5는 본 발명에 따른 배플(baffle)의 한 실시예에 대한 간략도,
도 6은 본 발명의 다른 실시예에 대한 간략화된 개략도 및
도 7은 본 발명의 또 다른 실시예에 대한 간략화된 개략도이다.
다른 도면에서의 동일한 참조부호의 사용은 유사 또는 동일한 항목을 나타낸다.
본 발명은 반도체 기판 상에 박막을 증착하는 장치 및 방법을 제공한다. 본 발명에 따라서, 상기 장치는 복수의 웨이퍼 플랫폼을 유지하기에 적합한 챔버 또는 하우징을 포함한다. 웨이퍼 플랫폼들은 챔버 내에서 등거리로 적층되어 배치되고, 서로로부터 전기적으로 절연된다. 상기 복수의 웨이퍼 플랫폼 중의 적어도 2개가 전원 장치에 전기적으로 접속되어 제 1 전극 및 제 2 전극을 형성하는 것이 이롭다. 상기 복수의 웨이퍼 플랫폼의 나머지는 그 사이에 배치된다. 이 방법에서, 상기 제 1 전극과 상기 제 2 전극은 단일한 직렬 커패시터를 형성한다. 챔버 내에 반응 가스가 제공되고, 충분히 공급된 에너지로 상호 반응되어 플라즈마가 형성된다. 상기 플라즈마로부터의 라디칼 또는 이온은 웨이퍼의 표면에서 상호 반응하여, 상기 웨이퍼 플랫폼의 표면에 위치된 동일하게 분산된 웨이퍼 상에 박막층이 분포되도록 한다.
상기 복수의 웨이퍼를 둘러싸는 하나의 직렬 커패시터를 형성함으로써, 본 발명은 플라즈마에서 형성된 이온 또는 라디칼로 동일하게 웨이퍼를 증착하여, 균일한 박막을 형성할 수 있도록 한다. 본 발명은 각각의 웨이퍼 플랫폼 간의 거리가 결정되고 확정되면 더 이상의 조정이 필요 없도록 기하학적 배치에 의존적이다. 따라서 처리된 웨이퍼의 배치(batch) 간의 매칭 조건이 엄격할 수 있는데, 이것은 웨이퍼의 배치 간의 균일성이 증가되는 것을 의미한다. 기하학적 배치가 일정하기 때문에 전극이 이동할 필요가 없다. 중요한 이동 부분이 없으므로 시스템의 신뢰성이 증대된다. 또한, 박막이 하나의 스테이션에서 성장되기 때문에, 상기 박막 내에는 비균질 접촉층이 형성되지 않는다. 처리 시스템의 기하학적 배치는 웨이퍼가 적층되도록 하여 처리 시스템의 전체 풋프린트를 감소시킨다.
본 발명의 이들 특징과 다른 특징 및 이점은 첨부된 도면과 함께 제공된 하기의 실시예의 상세한 설명으로부터 명백해질 것이다.
본 발명의 실시예는 상기한 도면을 참조하여 설명될 것이다. 이들 도면은 실시예들의 이해와 설명의 편의를 위해 간략화되어 있다.
도 3은 본 발명의 대표적인 환경을 확립하는 반도체 웨이퍼 처리 시스템(100)의 한 실시예의 개략적인 측면도를 나타낸다. 웨이퍼 처리 시스템(100)의 대표적인 형태는 1999년 11월 30일에 출원되고 공동 계류 중인 미국 특허 출원 번호 09/451,677호에 충분히 개시되어 있고, 상기 미국 특허 출원은 모든 목적상 참고로 명세서에 일체화되어 있다. 처리 시스템(100)은 웨이퍼 카세트(106)를 지지하고 이것을 상향 및 로드록(loadlock) 내로 이동시키기 위한 다수의 플랫폼(104)을 갖는 로딩 스테이션(102)을 포함한다. 웨이퍼 카세트(106)는 수동 또는 자동화된 안내 수송 수단(automated guided vehicle, AGV)에 의해 플랫폼(104) 내로 로딩되는 제거 가능한 카세트일 수 있다. 또한, 웨이퍼 카세트는 고정된 카세트일 수 있으며, 어느 경우에도 종래의 대기중의 로봇 또는 로더(loader)(도시하지 않음)를 사용하여 웨이퍼가 카세트 상으로 로딩된다. 웨이퍼 카세트(106)가 로드록(108) 내부에 있는 경우, 로드록(108)과 이송 챔버(110)는 대기압에서 유지되거나 펌프(112)를 사용하여 진공 기압으로 내려간다. 이송 챔버(110) 내의 로봇(114)은 로드록(108)을 향하여 회전하여 카세트(106)에서 웨이퍼(116)를 집어 올린다. 대기압 또는 진공 기압 이하에 있을 수 있는 반응기 또는 처리 챔버(118)는 게이트 밸브(120)를 통해서 로봇(114)으로부터 웨이퍼(116)를 받아들인다. 그 다음, 로봇(114)이 수축하고, 계속해서 게이트 밸브(120)가 폐쇄되어 웨이퍼(116)의 처리가 시작된다. 웨이퍼(116)가 처리된 다음, 게이트 밸브(120)가 개방되고 로봇(114)이 웨이퍼를 집어 올려서 냉각 스테이션(122) 내에 놓는다. 냉각 스테이션(122)은 새롭게 처리된 웨이퍼들이 다시 로드록(108)의 웨이퍼 카세트 내에 놓이기 전에 이들을 냉각한다.
한 실시예에서, 반응기(118)는 화학 증착 및 동일한 처리에 사용되는 어떤 종류의 반응기도 될 수 있다. 한 실시예에서, 도 4에 도시된 바와 같이, 처리 챔버(118)는 예를 들어 플라즈마 강화 화학 증착(a plasma enhanced chemical vapor deposition, PECVD) 막을 기판(116)과 같은 기판 상에 형성하기 위하여 사용될 수 있는 CVD 챔버이다. CVD 챔버(118)는 복수의 기판(116)을 유지하기에 적합한 크기를 가지며, 상기 복수의 기판은 CVD 챔버(118) 내에서 복수의 플랫폼(130a-130f) 상에서 지지된다.
도 4에 도시된 바와 같이, 각각의 플랫폼(130a-130f)은 가열 부재 또는 소자(132), 가스 흡입원(134) 및 배플(136)을 포함한다. 본 실시예에서, 플랫폼(130a-130f)은 거리 D만큼 동일한 간격으로 떨어져서 적층되어 위치된다. 적절한 증착 균일성을 제공하기 위하여, 각각의 플랫폼은 약 30㎜와 약 100㎜ 사이의 동일한 간격, 바람직하게는 약 40㎜와 약 60㎜ 사이의 동일한 간격으로 떨어져 있다. 플랫폼(130a-130f)은 웨이퍼(116)에 비해 큰 질량을 가질 수 있고, 실리콘 카바이드가 코팅된 흑연, 흑연, 인코넬(inconel), 알루미늄, 철 또는 전도성이 있지만 높은 처리 온도에서 어떤 대기 가스 또는 웨이퍼(116)와도 두드러지게 반응하지 않는 어떤 다른 재료 등과 같은 재료로부터 제조될 수 있다. 각각의 플랫폼(130a-130f)은 예를 들어 각각의 플랫폼(130a-130f) 사이에 위치된 유전체 마운트 또는 스페이서(도시하지 않음)를 사용하여 서로의 플랫폼으로부터 전기적으로 절연된다.
한 실시예에서, 웨이퍼 플랫폼(130a-130f)의 상부 표면에 웨이퍼(116)가 바로 놓인다. 웨이퍼(116)와 웨이퍼 플랫폼(130a-130f) 사이의 전체 접촉 면적은 웨이 표면적 이하이다. 대안적인 실시예에서, 웨이퍼 지지체(도시하지 않음)는 각각의 웨이퍼 플랫폼의 표면으로부터 밖으로 연장된다(주의: 이 대안적인 실시예에서, 웨이퍼 지지체는 웨이퍼를 지지하도록 의도되지 않았기 때문에, 플랫폼(130a)의 상부 표면 상의 웨이퍼 지지체에 대한 필요성은 존재하지 않는다). 웨이퍼 지지체들은 웨이퍼(116)가 플랫폼들에 가깝게 인접해서 유지되는 것을 확실히 할 수 있는 크기를 갖는다. 예를 들어, 웨이퍼 지지체들은 각각 약 50㎛와 약 20㎜ 사이의 높이, 바람직하게는 약 2㎜ 내지 약 8㎜의 높이를 가질 수 있다. 안정성을 확보하기 위하여 적어도 3개의 웨이퍼 지지체가 사용될 수 있다.
플랫폼(130a-130f)은 임의의 기하학적 모양, 바람직하게는 웨이퍼(116)를 닮은 모양으로 형성될 수 있다. 바람직한 실시예에서, 각각의 플랫폼(130a-130f)은 원형의 플레이트이다. 웨이퍼(116)의 표면 영역이 플랫폼(130a-130f)의 표면 영역에 의해 완전히 덮히도록 플랫폼의 크기는 웨이퍼(116)의 크기보다 클 수 있다.
도 4에 도시된 바와 같이, 플랫폼(130a-130f)은 열원을 제공하는 가열 소자(132)를 포함한다. 가열 소자(132)는 저항성 가열 소자 또는 다른 도전성/방사성 열원일 수 있으며, 이것은 플랫폼(130a-130f)의 일부와 접촉하거나 상기 플랫폼 내에 끼워질 수 있다. 저항성 가열 소자는 적절한 저항성으로 가열 가능한 와이어와 같은 임의의 고온 정격 재료로 만들어 질 수 있으며, 상기 저항성으로 가열 가능한 와이어는 SiC, SiC 코팅 흑연, 흑연, AlCr, AlNi 및 다른 합금과 같은, 높은 온도 안정성과 증가된 열 응답을 위한 높은 질량의 재료로 만들어진다. 이러한 형태의 저항성 가열 소자는 미국 코네티컷주 스탬포드의 Omega Corp.로부터 이용 가능하다.
플랫폼(130a-130f)의 온도는 응용에 따라서 상기 플랫폼에 가변 온도를 제공하도록 제어될 수 있다. 그러나 상기 플랫폼들이 적절한 온도로 가열되면, 각각의 플랫폼의 온도는 동일하고 균일하며 일정하게 유지된다. 각각의 플랫폼(130a-130f)의 온도는 약 50℃와 약 800℃ 사이, 바람직하게는 약 100℃와 약 600℃ 사이에서 변화할 수 있다.
반도체 웨이퍼의 처리에서 사용되는 챔버의 특성과 같이, 챔버(118)는 도 3에 펌프(112)로 개략적으로 도해된 적절한 펌프 장치에 의해 원하는 정도로 압력이 가해지거나 진공으로 만들어질 수 있다.
PECVD 처리에 사용된 선택 가스가 다양한 가스 공급 저장소로부터 적절한 다기관 시스템을 통하여 챔버(118) 내로 도입된다. 가스는 예를 들어 N2, O2, H2, NH3, N2O, NO2, NO, SiH4, Si2H6, PH3, AsH3, B2F6, C2F6, C3F8ClF6, WF6를 포함할 수 있다. 가스는 복수의 가스 흡입구(134a-134e)를 통해서 챔버(118)로 도입된다. 한 실시예에서, 가스 흡입구(134a-134e)는 각각의 플랫폼(130a-130e)의 일부에 각각 장착된다. 보다 구체적으로, 이 실시예에서, 각각의 가스 흡입구(134a-134e)는 각각의 플랫폼(130a-130e)의 바닥 쪽에 위치되어 가스가 각각의 웨이퍼(116) 쪽을 향할 수 있도록 되어 있다.
도 4에 도시된 바와 같이, 배플(136)은 각각의 가스 흡입구(134a-134e)와 각각의 웨이퍼(116) 사이에 위치된다. 도 5는 가스 흡입구(134a)와 웨이퍼(116) 사이에 위치된 배플(136)의 간략화된 도면이다. 웨이퍼(116)의 길이를 따라서 가스를 일정하게 분산시키기 위하여 배플(136)이 사용된다. 이 예시적인 실시예에서, 배플(136)은 평평한 플레이트이고, 이는 가스 흡입구(134a)에서 빠져나가는 처리 가스의 흐름과의 충돌을 일으킨다. 배플(136)은 웨이퍼(116) 표면의 제 1 부분으로의 제 1 가스 분자의 경로(L1)가 웨이퍼(116) 표면의 제 2 부분으로의 제 2 가스 분자의 경로(L2)가 거의 같아지도록 한다. 대안적인 실시예에서, 배플(136)은 복수의 구멍을 가질 수 있다. 각각의 구멍은 가스가 배플(136)의 길이를 따라서 분산되어 가스 분자가 웨이퍼(116)의 표면에 균일하게 충돌하도록 하는 크기를 갖는다. 배플(136)의 전체 크기와 상기 가스 흡입구에 대한 그의 위치는 작동 압력, 가스 흐름 속도 및 웨이퍼 크기가 정해지면 결정된다. 막의 농도와 증착 속도는 반응 가스의 흐름 속도, 이중 주파수 전력, 챔버 압력 및 챔버(118) 내의 처리 온도에 의해 선택적으로 제어된다. 상기한 바와 같이, 챔버(118) 내의 압력이 제어될 수 있다. 바람직한 실시예에서, 증착 처리 동안의 대기압은 일반적으로 약 0.1Torr 내지 약 5Torr, 바람직하게는 약 1Torr 내지 약 2.6Torr, 예를 들어 2.1Torr의 범위에서 유지될 수 있다. PECVD 처리 동안 이들 레벨 내에서 압력을 유지하는 것으로 막 증착 속도를 향상시킬 수 있다.
가스가 챔버(118)에 주입되면, 적절한 플라즈마 전력이 인가된다. 상기한 바와 같이, 챔버(118)는 HF 및 LF 전력 모두를 제공하는 이중 주파수 챔버일 수 있다. PECVD 챔버에 사용되는 대표적인 HF 플라즈마 에너지는 13.56㎒이지만, 본 발명은 어떤 정확한 고주파수 값에 제한되지 않는다. 한 실시예에서, 적절한 플라즈마 전력은 바람직하게 기판 표면의 ㎠당 약 0.3와트와 ㎠당 약 30와트 사이의 에너지 레벨의 HF 에너지(약 1-30㎒로부터)를 포함한다. 일반적으로 약 100㎑ 내지 약 500㎑ 사이의 범위의 저주파수 RF 전력은 기판 표면의 ㎠당 약 0.3와트 내지 기판 표면의 ㎠당 약 100와트 범위의 에너지 레벨을 가질 수 있다.
PECVD 처리 분야의 당업자에게 잘 알려진 바와 같이, 챔버(118)내의 플라즈마 에너지는 도입된 가스를 이온화하여, 원하는 제품에 도달하도록 각각의웨이퍼(116)의 표면에 증착되는 라디칼이 생성된다. 반응 가스는 예를 들어 N2, O2, H2, NH3, N2O, NO2, NO, SiH4, Si2H6, PH3, AsH3, B2F6, C2F6, C3F8ClF6, WF6를 포함할 수 있으며, 이들은 F*, N*, O*, H*, Si*와 같은 라디칼의 방전을 제공한다.
고주파(HF) RF 전력을 공급하는 RF 발생기(140)를 통하여 플라즈마 에너지가 챔버(118)로 공급된다. 챔버(118)가 이중 주파수 챔버이기 때문에, LF 전력을 챔버(118)에 공급하기 위하여 저주파(LF) 발생기(142)가 사용된다. 한 실시예에서, RF 발생기(140)와 LF 발생기(142)가 플랫폼(130a와 130f)에 각각 효과적으로 접속되어, 상기 플랫폼(130a와 130f)이 각각 제 1 전극 및 제 2 전극(144a, 144b)이 된다. 이 방법으로, 플랫폼(130a-130f)의 적층 구조는 직렬 커패시터를 형성한다. 상기 플랫폼들이 각각 동일한 간격으로 떨어져 있고 전기적으로 절연되어 있기 때문에, 챔버(118) 내에 형성된 플라즈마는 제 1 전극(144a)과 제 2 전극(144b) 사이에 동일하고 균일하게 분산된다. 이 플랫폼(130a-130f)의 구조는 각각의 플랫폼이 기하학적 및 전기적으로 매칭되어 처리의 균일성을 제공하는 CVD 처리 챔버를 생성하는 이점을 제공한다.
도 6은 본 발명의 대안적인 실시예에 따른 처리 챔버(150)를 나타낸다. 이 대안적인 실시예에서, 처리 챔버(118)는 하기의 사항을 제외하고는 실질적으로 상기한 바와 같이 실행된다. 이 실시예에서, 플랫폼(130a-130f)은 RF 고주파 발생기(140)와 RF 저주파 발생기(142)에 효과적으로 접속되어, 단일한 커패시터의 등가물로서의 역할을 하도록 직렬로 배치된 다수의 커패시터를 형성한다. 예를 들어, 플랫폼(152a, 152c, 152e)은 직렬로 전기적으로 접속되어 상부 전극을 형성한다. 플랫폼(152b, 152d, 152f)은 직렬로 전기적으로 접속되어 하부 전극을 형성한다. 따라서 플랫폼(152a, 152b)은 웨이퍼(154a)를 둘러싸는 커패시터를 형성하고, 플랫폼(152b, 152c)은 웨이퍼(154b)를 둘러싸는 커패시터를 형성하고, 플랫폼(152c, 152d)은 웨이퍼(154c)를 둘러싸는 커패시터를 형성하고, 플랫폼(154d, 154e)은 웨이퍼(154d)를 둘러싸는 커패시터를 형성하며, 플랫폼(154e, 154f)은 웨이퍼(154e)를 둘러싸는 커패시터를 형성한다. 각각의 커패시터가 서로의 커패시터와 직렬이기 때문에, 전체 배열은 하나의 커패시터로서의 역할을 한다.
도 7은 본 발명의 다른 대안적인 실시예에 따른 처리 챔버(118)를 나타낸다. 이 대안적인 실시예에서, 처리 챔버(118)는 하기의 사항을 제외하고는 실질적으로 상기한 바와 같이 실행된다. 이 실시예에서, 챔버(118)는 제 1 전극(162)과 제 2 전극(166)을 통해서 RF 고주파 발생기(140)와 RF 저주파 발생기(142)에 효과적으로 접속된다. 제 1 전극(162)과 제 2 전극(166)은 플랫폼(164a-164f)의 전체 스택의 상부와 하부에 각각 위치되어 단일한 커패시터를 형성한다. 도 7에 도시된 바와 같이, 제 1 전극(162)과 제 2 전극(166)은 적층된 웨이퍼 플랫폼(164a-164f)으로부터 간격(170, 172)만큼 떨어져서 배치된다. 간격(170, 172)은 처리 가스 분산을 변화시키도록 조정될 수 있다.
본 발명의 원리가 특정 장치와 관련하여 설명되었지만, 이러한 설명은 발명의 범위를 제한하려는 것이 아님을 이해할 것이다.

Claims (18)

  1. 기판 상에 박막을 증착하는 장치에 있어서,
    챔버와,
    상기 챔버 내에 적층되어 배치된 복수의 웨이퍼 플랫폼 - 여기서, 상기 복수의 웨이퍼 플랫폼 중의 적어도 2개는 전원 장치에 전기적으로 접속되어 제 1 전극과 제 2 전극을 형성하고, 상기 복수의 웨이퍼 플랫폼의 나머지는 상기 제 1 전극과 상기 제 2 전극 사이에 균일하게 배치됨 - 을 포함하고,
    상기 제 1 전극 및 상기 제 2 전극은 상기 웨이퍼 플랫폼들의 표면에 위치될 수 있는 기판 상에 박막을 증착하기 위하여 충분한 공급 에너지로 상기 챔버 내에서 기상 화학 물질(vapor-phase chemicals)을 반응시키기 위한 커패시터를 형성하는 것을 특징으로 하는 박막 증착 장치.
  2. 제 1 항에 있어서,
    상기 웨이퍼 플랫폼들의 각각은 서로의 웨이퍼 플랫폼으로부터 동일한 간격으로 떨어져 있는 것을 특징으로 하는 박막 증착 장치.
  3. 제 1 항에 있어서,
    상기 웨이퍼 플랫폼들의 각각은 서로의 웨이퍼 플랫폼으로부터 전기적으로 절연되는 것을 특징으로 하는 박막 증착 장치.
  4. 제 1 항에 있어서,
    상기 전원은 약 1㎒ 내지 약 30㎒ 사이의 고주파 에너지 및 AC 약 50㎐ 내지 약 500㎑ 사이의 저주파 에너지를 공급하는 RF 전원인 것을 특징으로 하는 박막 증착 장치.
  5. 제 1 항에 있어서,
    상기 웨이퍼 플랫폼들을 가열하기 위한 가열 소자를 추가로 포함하는 것을 특징으로 하는 박막 증착 장치.
  6. 제 5 항에 있어서,
    상기 가열 소자는 상기 웨이퍼 플랫폼들의 각각과 접촉하는 것을 특징으로 하는 박막 증착 장치.
  7. 제 1 항에 있어서,
    상기 기상 화학 물질이 상기 기판의 표면 전체에 분산되도록 하는 배플(baffle)을 추가로 포함하는 것을 특징으로 하는 박막 증착 장치.
  8. 제 1 항에 있어서,
    상기 기상 화학 물질을 상기 챔버에 공급하기 위한 복수의 흡입구를 추가로포함하고,
    상기 복수의 흡입구 중의 적어도 하나는 상기 플랫폼들의 각각에 인접하여 배치되는 것을 특징으로 하는 박막 증착 장치.
  9. 기판 상에 박막을 증착하는 장치에 있어서,
    각각이 반도체 웨이퍼를 수용할 수 있는 표면을 가지며, 챔버 내에 적층되어 배치되고 제 1 전극과 제 2 전극을 갖는 커패시터를 형성하기 위해 전원에 전기적으로 접속되는 복수의 웨이퍼 플랫폼을 포함하고,
    상기 반도체 웨이퍼 수용 표면은 상기 제 1 전극과 상기 제 2 전극 사이에 위치되며,
    상기 제 1 전극과 상기 제 2 전극은 상기 반도체 웨이퍼 상에 박막을 증착하기 위하여 충분한 공급 에너지로 상기 챔버 내에서 기상 화학 물질을 반응시킬 수 있는 것을 특징으로 하는 박막 증착 장치.
  10. 제 9 항에 있어서,
    상기 기상 화학 물질을 상기 챔버로 공급하기 위한 복수의 흡입구를 추가로 포함하고,
    상기 복수의 흡입구 중의 적어도 하나는 상기 반도체 웨이퍼 수용 표면의 적어도 하나에 인접하여 배치되는 것을 특징으로 하는 박막 증착 장치.
  11. 제 9 항에 있어서,
    상기 반도체 웨이퍼 수용 표면을 따라서 상기 기상 화학 물질을 분산시키기 위한 배플을 추가로 포함하는 것을 특징으로 하는 박막 증착 장치.
  12. 제 9 항에 있어서,
    상기 웨이퍼 플랫폼들은 동일한 간격으로 떨어져 있는 것을 특징으로 하는 박막 증착 장치.
  13. 제 9 항에 있어서,
    상기 웨이퍼 플랫폼들은 전기적으로 절연되어 있는 것을 특징으로 하는 박막 증착 장치.
  14. 제 9 항에 있어서,
    상기 전원은 약 1㎒ 내지 약 30㎒ 사이의 고주파 에너지 및 AC 약 50㎐ 내지 약 500㎑ 사이의 저주파 에너지를 제공하는 RF 전원인 것을 특징으로 하는 박막 증착 장치.
  15. 제 9 항에 있어서,
    상기 웨이퍼 플랫폼들을 가열하기 위한 가열 소자를 추가로 포함하는 것을 특징으로 하는 박막 증착 장치.
  16. 반도체 기판 상에 박막을 형성하는 방법에 있어서,
    복수의 적층된 웨이퍼 플랫폼 - 여기서, 상기 복수의 적층된 웨이퍼 플랫폼의 각각은 복수의 반도체 기판 중의 하나를 수용하도록 구성됨 - 을 포함하는 공정 챔버 내로 상기 복수의 반도체 기판을 탑재하는 단계, 및
    기상 화학 물질을 반응시키기 위한 제 1 전극과 제 2 전극을 형성하고 상기 반도체 기판들의 각각의 위에 박막을 증착하기 위하여 상기 웨이퍼 플랫폼에 전력을 공급하는 단계를 포함하는 것을 특징으로 하는 박막 증착 방법.
  17. 제 16 항에 있어서,
    배플을 사용하여 상기 반도체 기판들의 길이를 따라 상기 기상 화학 물질을 분산시키는 단계를 추가로 포함하는 것을 특징으로 하는 박막 증착 방법.
  18. 제 16 항에 있어서,
    상기 플랫폼들의 각각은 전기적으로 절연되고, 동일한 간격으로 떨어져 있는 것을 특징으로 하는 박막 증착 방법.
KR1020037002682A 2000-08-25 2001-08-03 플라즈마 처리 장치 및 방법 KR100796096B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/648,730 2000-08-25
US09/648,730 US6528435B1 (en) 2000-08-25 2000-08-25 Plasma processing
PCT/US2001/024553 WO2002019380A1 (en) 2000-08-25 2001-08-03 Plasma processing

Publications (2)

Publication Number Publication Date
KR20030051627A true KR20030051627A (ko) 2003-06-25
KR100796096B1 KR100796096B1 (ko) 2008-01-21

Family

ID=24601988

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037002682A KR100796096B1 (ko) 2000-08-25 2001-08-03 플라즈마 처리 장치 및 방법

Country Status (6)

Country Link
US (1) US6528435B1 (ko)
EP (1) EP1314183A1 (ko)
JP (1) JP2004508706A (ko)
KR (1) KR100796096B1 (ko)
TW (1) TW501380B (ko)
WO (1) WO2002019380A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101147658B1 (ko) * 2010-02-10 2012-05-24 세메스 주식회사 플라즈마 처리 장치 및 이를 이용한 방법

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4109861B2 (ja) * 2000-12-12 2008-07-02 キヤノン株式会社 真空処理方法
JP2003257875A (ja) * 2002-03-05 2003-09-12 Fujitsu Ltd 半導体装置の製造方法および成膜方法
WO2004090931A2 (en) * 2003-04-10 2004-10-21 Bae Systems Plc Method and apparatus for treating a surface using a plasma discharge
US20070048456A1 (en) * 2004-09-14 2007-03-01 Keshner Marvin S Plasma enhanced chemical vapor deposition apparatus and method
US20080139003A1 (en) * 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20100098851A1 (en) * 2008-10-20 2010-04-22 Varian Semiconductor Equipment Associates, Inc. Techniques for atomic layer deposition

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4264393A (en) * 1977-10-31 1981-04-28 Motorola, Inc. Reactor apparatus for plasma etching or deposition
US4341582A (en) * 1980-12-22 1982-07-27 The Perkin-Elmer Corporation Load-lock vacuum chamber
JPS60123032A (ja) 1983-12-07 1985-07-01 Dainamitsuku Internatl Kk プラズマ処理方法および装置
US4801241A (en) * 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4617079A (en) * 1985-04-12 1986-10-14 The Perkin Elmer Corporation Plasma etching system
US5041201A (en) 1988-09-16 1991-08-20 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
DE69032952T2 (de) 1989-11-15 1999-09-30 Kokusai Electric Co Ltd Trocken-Behandlungsvorrichtung
KR0155572B1 (ko) * 1991-05-28 1998-12-01 이노우에 아키라 감압처리 시스템 및 감압처리 방법
US5614257A (en) * 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
US5409539A (en) * 1993-05-14 1995-04-25 Micron Technology, Inc. Slotted cantilever diffusion tube system with a temperature insulating baffle system and a distributed gas injector system
US6193506B1 (en) * 1995-05-24 2001-02-27 Brooks Automation, Inc. Apparatus and method for batch thermal conditioning of substrates
US6281147B1 (en) * 1995-11-10 2001-08-28 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD method
JP3163973B2 (ja) * 1996-03-26 2001-05-08 日本電気株式会社 半導体ウエハ・チャック装置及び半導体ウエハの剥離方法
US6087276A (en) * 1996-10-29 2000-07-11 National Science Council Method of making a TFT having an ion plated silicon dioxide capping layer
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US6001182A (en) * 1997-06-05 1999-12-14 Vlsi Technology, Inc. Waferless boat used as baffle during wafer processing
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6057235A (en) * 1997-09-15 2000-05-02 Micron Technology, Inc. Method for reducing surface charge on semiconducter wafers to prevent arcing during plasma deposition
US6138694A (en) * 1998-03-06 2000-10-31 Scp Global Technologies Multiple stage wet processing platform and method of use
US6159333A (en) * 1998-10-08 2000-12-12 Applied Materials, Inc. Substrate processing system configurable for deposition or cleaning

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101147658B1 (ko) * 2010-02-10 2012-05-24 세메스 주식회사 플라즈마 처리 장치 및 이를 이용한 방법

Also Published As

Publication number Publication date
WO2002019380A1 (en) 2002-03-07
KR100796096B1 (ko) 2008-01-21
US6528435B1 (en) 2003-03-04
JP2004508706A (ja) 2004-03-18
TW501380B (en) 2002-09-01
EP1314183A1 (en) 2003-05-28

Similar Documents

Publication Publication Date Title
CN106992107B (zh) 频率调制射频电源以控制等离子体不稳定性的系统和方法
US6143128A (en) Apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6500265B1 (en) Apparatus for electrostatically maintaining subtrate flatness
KR102407734B1 (ko) 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버
US6270859B2 (en) Plasma treatment of titanium nitride formed by chemical vapor deposition
US5626678A (en) Non-conductive alignment member for uniform plasma processing of substrates
EP0179665A2 (en) Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US20050281951A1 (en) Dielectric barrier discharge method for depositing film on substrates
US20090017635A1 (en) Apparatus and method for processing a substrate edge region
JP2014505362A (ja) 半導体基板の可変密度プラズマ処理
US6365495B2 (en) Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
US20140251540A1 (en) Substrate supporter and substrate processing apparatus including the same
US6167837B1 (en) Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
EP0776991B1 (en) Plasma annealing of thin films
JP2616760B2 (ja) プラズマ気相反応装置
KR100796096B1 (ko) 플라즈마 처리 장치 및 방법
KR102460503B1 (ko) 플라즈마 원자층 증착 장치 및 수평 유도형 전극체
JP7341100B2 (ja) 半導体装置の製造方法
JPH04238882A (ja) 高温絶縁物品
KR100629540B1 (ko) 감소된 온도에서의 티타늄 질화물의 금속 유기 화학 기상 증착 수행 방법
EP1354336A2 (en) Plasma generation apparatus and method
JPH01298169A (ja) 膜形成方法
US20230335377A1 (en) Showerhead assembly with heated showerhead

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120111

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee