KR20010093766A - 텅스텐 화학기상증착에 적합한 감소된 플루오르 오염물 - Google Patents

텅스텐 화학기상증착에 적합한 감소된 플루오르 오염물 Download PDF

Info

Publication number
KR20010093766A
KR20010093766A KR1020010016512A KR20010016512A KR20010093766A KR 20010093766 A KR20010093766 A KR 20010093766A KR 1020010016512 A KR1020010016512 A KR 1020010016512A KR 20010016512 A KR20010016512 A KR 20010016512A KR 20010093766 A KR20010093766 A KR 20010093766A
Authority
KR
South Korea
Prior art keywords
tungsten
layer
chamber
gas
argon
Prior art date
Application number
KR1020010016512A
Other languages
English (en)
Inventor
스코트브래드 허너
샌디프에이. 데사이
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010093766A publication Critical patent/KR20010093766A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

기판 프로세싱에 배치된 기판 상에 텅스텐 필름을 증착시키기 위한 화학기상증착 프로세스 방법은 핵생성 및 부피 증착 텅스텐층을 증착시키는 단계를 포함한다. 핵생성층은 텅스텐 헥사플루오라이드, 실란, 수소분자 및 아르곤으로 구성되는 제 1프로세스 가스를 상기 기판 프로세싱 챔버로 유입시킴으로써 증착되고, 여기에서 아르곤에 대한 수소분자의 유동속도는 1.5:1이고 텅스텐 헥사플루오라이드의 분압은 0.5Torr 이하이다. 이 후에, 부피 증착층은 텅스텐 헥사플루오라이드와 환원시료로 구성되는 제 2프로세스 가스를 기판 프로세싱 챔버로 유입시킴으로써 핵생성층 위에 증착된다.

Description

텅스텐 화학기상증착에 적합한 감소된 플루오르 오염물{REDUCED FLUORINE CONTAINATION FOR TUNGSTEN CVD}
본 발명은 집적회로의 제작에 관한 것이다. 특히, 본 발명은 텅스텐(W) 필름을 증착하기 위한 방법 및 장치를 포함하는 기술을 제공한다.
반도체 기판 위에 텅스텐의 증착은 몇몇 집적회로(IC) 구조의 제작에서의 공통 단계이다. 예를 들어, 화학기상증착(CVD) 기술에 의해 증착된 텅스텐은 반도체 기판의 일부에 전기적 콘택트를 제공하도록 사용된다. 이들 전기적 콘택트는 일반적으로 기판 위에 형성된 실리콘 산화물과 같은 절연층 내의 개구를 통해 제공된다. 하나의 공통 수직 상호접속 필름 스택은 대부분의 비아(via)를 충진시키고 도전성 막으로서 작용하는 박막의 티타늄 질화물(TiN) 확산 베리어(barrier)와 두꺼운 CVD 텅스텐 필름에 의해 후속처리되는 콘택트용 티타늄(Ti) 필름을 포함한다. 텅스텐 CVD는 특히 높은 개구비(HAR) 비아에서 탁월한 스텝 커버리지(stepcoverage)와, 증착된 텅스텐 필름에 적합한 높은 증착속도를 제공한다.
반도체 분야에서 텅스텐 피름을 증착시키도록 채용된 하나의 CVD 기술은 텅스텐 헥사플루오라이드(tungsten hexafluoride; WF6)와 전구체 가스로서 수소 환원성 시료를 사용한다. 이 증착기술을 사용하는 하나의 공지된 프로세스는 핵생성과 부피증착의 2가지 주요 단계를 포함한다. 핵생성단계는 후속의 필름에 적합한 성장 사이트(growth site)로서 작용하는 실란-환원(SiH)4텅스텐의 박층을 성장시킨다. WF6와 SiH4에 부가하여, 핵생성 단계에서 사용된 프로세스 가스는 수소분자(H2), 질소(N2) 및 아르곤을 포함한다. 이 후에 부피증착 단계는 WF6, H2, N2및 Ar을 포함하는 프로세스 가스로부터 텅스텐 필름을 형성하도록 사용된다.
집적회로 기술에서의 발전이 장치치수의 규모적인 감소와 칩크기 및 복잡성을 증착시키는 것을 선도하므로, 이전에 문제점으로 고려되지 않았던 사항들이 관심사로 되고 있다. 이러한 사항들 중 하나는 텅스텐 증착 프로세스 동안 플루오르 오염물이다. 텅스텐 비아에서 플루오르 오염물은 WF6가 텅스텐 CVD용으로 사용된 결과이다. 필름 스택(film stack)에서 플루오르 오염물은 필름의 저항을 증가시키고 다른 요소, 특히 티타늄과 반응성을 증가시키는 능력때문에 불필요하다. 플루오르는 후속 열처리동안 비아로부터 트랜지스터 디바이스로 확산할 수도 있다. 게이트 산화물에서 증가된 플루오르 수준은 디바이스 효율에 적합한 네가티브 영향을 미치는 게이트 산화물과 채널구역에서 붕소 도펀트의 증가된 침투와 관련된다.
티타늄 필름에서 플루오르 오염물을 감소시키는 하나의 방법은 TiN 확산 베리어의 두께를 증가시키는 것이다. 그러나, 이러한 접근은 텅스텐에 대한 TiN의 높은 저항때문에 비아에서 저항을 증가시킨다. 또한, TiN 필름이 텅스텐 CVD에 대한 감소된 스텝 커버리지를 구비하므로, 더 두꺼운 TiN 필름은 비아에서 전체 스텝 커버리지를 낮추는 결과를 파생시킨다는 것을 의미한다. 비아 직경의 계속적인 감소는 두껍지 않고 더 얇은 확산 베리어의 사용을 바람직하게 한다.
따라서, 감소된 플루오르 오염물을 야기시키는 텅스텐 필름의 증착에서 개선이 바람직하다.
도 1a는 본 발명에 따른 간단한 화학기상증착 장치의 일실시예의 수직 단면도.
도 1b는 챔버에서 처리되는 기판을 고정시키도록 도 1의 챔버에 사용된 저항발열된 서스셉터의 일실시예의 수직 단면도.
도 1c는 하나 이상의 챔버를 포함할 수 있는 다중 챔버 시스템에서 시스템 모니터와 CVD 시스템(100)의 도면.
도 1d는 특정 실시예에 따른 시스템 제어 소프트웨어, 컴퓨터 프로그램(170)의 체계적인 제어구조의 블록 다이어그램의 예시도.
도 2는 본 발명의 일실시예의 단계들을 예시하는 흐름도.
도 3a는 본 발명의 실시예가 충진시키도록 사용될 수 있는 HAR 콘택트 갭의 단면도.
도 3b는 티타늄 및 티타늄 질화물층으로 부분적으로 충진된 도 3a에 도시된 갭의 확대도.
도 4는 W/TiN/Ti 필름 스택의 플루오르 오염물 상의 텅스텐 핵생성층의 증착동안 사용된 수소:아르곤 유동속도비의 효과를 예시하는 도표.
도 5a 및 도 5b는 본 발명의 실시예에 따른 증착기술의 장점 유무와 함께 증착된 텅스텐층을 갖는 W/TiN/Ti 필름 스택에서 플루오르 오염물을 비교하는 SIMS 깊이 형상.
< 도면의 주요부분에 대한 부호의 설명 >
10 : CVD 시스템 12 : 챔버
14 : 가스 분배 매니폴드 18 : 페데스탈
20 : 기판 42 : 제어기
본 발명은 감소된 플루오르 오염물 필름을 갖는 개선된 텅스텐 필름을 형성하기 위한 방법 및 장치를 제공한다. 본 발명의 실시예는 하부의 선형(liner)/베리어 필름으로 최소의 플루오르 침투를 야기시키고 공극이 없는 100% 스텝 커버리지를 요구하는 비아 응용에 적합하게 사용될 수 있다.
본 발명의 방법의 일실시예는 기판 프로세싱 챔버에서 증착된 기판 상에 텅스텐 필름을 증착시키기 위한 화학기상증착 프로세스를 포함한다. 본 실시예는 텅스텐 헥사플루오라이드, 실란, 수소분자 및 아르곤으로 이루어진 제 1프로세스 가스를 기판 프로세싱 챔버로 유동시킴으로써 텅스텐 필름의 제 1층을 증착시키는 단계를 포함하고, 아르곤에 대한 수소분자의 유동속도는 적어도 1.5:1이고, 텅스텐 헥사플루오라이드의 분압은 0.5Torr 미만이다. 이 후에, 텅스텐 필름의 제 2층은텅스텐 플루오라이드와 환원성 시료로 이루어진 제 2프로세스 가스를 상기 기판 프로세싱 챔버로 유동시킴으로써 제 1층 위에 증착된다.
본 발명의 이들 및 다른 실시예는 그의 장점 및 특징과 함께 하기 내용과 첨부된 도면을 참조하여 보다 상세히 설명된다.
I. 도입
본 발명의 실시예는 텅스텐 핵성성층의 증착동안 아르곤에 대한 상대적으로 높은 비율의 수소를 유동시키고 WF6를 상대적으로 낮은 분압으로 유지시킴으로써 개선된 텅스텐 필름의 증착을 허용한다. 본 발명자들은 텅스텐 필름이 종래 공지된 텅스텐 CVD 프로세스에 따라 증착되었을 때보다 텅스텐 필름이 이러한 방식으로 증착될 때 플루오르 오염물이 하부의 TiN/Ti 필름에서 적다는 것을 발견하였다. 본 발명의 방법에 의해 증착된 필름은 0.25 미크론 미만의 특정 사이즈를 갖는 집적회로의 제작에 사용되기에 적합하고 0.25㎛ 직경 개구와 함께 8:1의 개구비를 구비한 비아와 콘택트를 공극없는 방식으로 완전하게 충진시키도록 사용될 수 있다. 또한, 본 발명은 종래 설계의 CVD 챔버에서 텅스텐 필름을 증착시키도록 사용될 수 있다.
II. CVD 반응챔버 예
도 1a 및 도 1b는 본 발명에 따른 텅스텐 필름이 증착될 수 있는 진공챔버(12)를 갖는 평행판, 냉각벽의 화학기상증착 시스템(10)의 일예를 예시한다. CVD 시스템(10)은 증착가스를 저항발열된 페데스탈(18; pedestal) 상에 안착시킨 웨이퍼(16)에 분배하기 위한 가스 분배 매니폴드(14)를 포함한다.
챔버(12)는 중앙이송챔버에 연결되고 로봇에 의해 작용되는 다중 프로세싱 챔버를 갖는 진공 프로세싱 시스템의 일부이다. 기판(16)은 챔버의 측벽에 있는 슬릿밸브(미도시)를 통해 로봇 블레이드에 의해 챔버(12)로 이송된다. 페데스탈(18)은 모터(20)에 의해 수직으로 이동가능하다. 기판(16)은 페데스탈(18)이 슬릿 밸브에 대항하는 제 1위치에 있을 때 챔버로 이송된다. 위치(13)에서, 기판(16)은 서스셉터(18)를 통과하고 이에 체결된 일련의 핀(22)에 의해 초기에 지지된다. 핀(22)들은 단일 모터 조립체에 의해 구동된다.
페데스탈이 점선에 의해 표시된 바와 같이 가스 분배 매니폴드(14)에 대항하는 프로세싱 위치(32)로 이송될 때, 핀(22)들은 페데스탈(18)로 삽입되고 기판(16)은 페데스탈 위에 안착된다. 페데스탈(18) 상에 일단 배치되면, 기판(16)은 진공 클램핑 시스템[도 1b에 그루브(50)로서 도시됨]에 의해 페데스탈에 부착된다.
프로세싱 위치(32)를 향해 상방으로 이동함에 따라, 기판(16)은 페데스탈 상의 기판중심에 일치하는 퍼지 가이드(54)와 접촉한다. 에지 퍼지 가스(23; edge purge gas)는 증착가스가 기판의 에지와 배면에 접촉하는 것을 방지하도록 퍼지 가이드(54)를 통해서 기판(16)의 에지를 가로질러 유동된다. 퍼지 가스(25)는 또한 히터/페데스탈(18) 주위에서 유동하여 상기 히터/페데스탈 주위에서 증착을 최소화시킨다. 이러한 퍼지가스는 퍼지라인(도 1a, 도 1b)를 따라 공급되고 프로세싱 동안 챔버로 도입된 부식성 가스에 의해 스테인레스 스틸 벨로우즈(26)가 손상받는 것을 방지한다.
증착 및 캐리어 가스는 밸브(17)의 제어에 응답하여 가스 라인(19)을 통해 매니폴드(14)에 인접한 챔버의 증착구역으로 공급된다. 프로세싱 동안, 매니폴드(14)로 공급된 가스는 화살표(27)에 의해 표시된 바와 같이 기판의 표면을 가로질러 균일하게 분배된다. 소모된 프로세싱 가스 및 부산물 가스는 배기 시스템(36)에 의해 챔버로부터 배기된다. 가스가 배기 시스템(36)을 통해 배기 라인으로 방출되는 속도는 스로틀 밸브(미도시)에 의해 제어된다. 증착동안, 서스셉터(미도시)와 주입라인(38) 내의 가스 채널을 통과한 제 2퍼지가스는 상술된 바와 같이 웨이퍼(16)의 에지를 향해 퍼지가스를 주입시킨다. RF 동력공급원(48)은 챔버의 플라즈마 여기된 CVD(PECVD) 클리닝에 적합하게 제공하도록 매니폴드(14)에 체결될 수 있다.
CVD 시스템(10)의 스로틀 밸브, 가스공급 밸브(17), 모터(20), 서스셉터(18)에 체결된 저항발열체, RF 동력공급원(48) 및 다른 형상은 제어라인(44) 상의 프로세서(42)에 의해 제어된다(단지 이들 중 몇몇만이 도시됨). 프로세서(42)는 메모리(46)와 같은 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램의 제어 하에서 작동한다. 컴퓨터 프로그램은 특정 프로세스의 온도, 챔버압력, 타이밍, 가스의 혼합, RF 전압 수준, 서스셉터 위치 및 다른 매개변수들을 지시한다.
바람직한 실시예에서, 시스템 제어기는 하드디스크 드라이브, 메모리(46), 플로피 디스크 드라이브 및 프로세서(42)를 포함한다. 프로세서는 단일보드 컴퓨터(SBC; single board computer), 아날로그와 디지탈 입력/출력 보드, 인터페이스 보드 및 스텝모터 제어기 보드를 포함한다. CVD 시스템(10)의 다양한 부품들은 보드(board), 카드 케이지(card cage) 및 커넥터 치수와 형태를 정의하는 베르사 모듈러 유로피안(VME; Versa Modular European)에 따른다. VME 표준은 또한 16비트 데이타 버스 및 24비트 데이타 버스를 갖는 버스 구조(bus structure)를 정의한다.
시스템 제어기(42)는 CVD 장치의 모든 작용을 제어한다. 시스템 제어기는 메모리(46)와 같은 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램인 시스템 제어 소프트웨어를 실행시킨다. 바람직하게는, 메모리(46)는 하드 디스크 드라이브이지만, 메모리(46)는 다른 종류의 메모리일 수 있다. 컴퓨터 프로그램은 특정 프로세스의 타이밍, 가스의 혼합, 챔버압력, 챔버온도, RF 전압 수준, 서스셉터 위치 및 다른 매개변수들을 지시하는 일련의 지시명령을 포함한다. 예를 들어, 플로피 디스크 또는 다른 적절한 드라이브를 포함하는 다른 메모리 장치에 저장된 다른 컴퓨터 프로그램은 제어기(42)를 작동시키도록 사용될 수 있다.
사용자와 제어기(42) 사이의 인터페이스는 하나 이상의 챔버를 포함하는 기판 프로세싱 시스템에서 시스템 모니터 및 CVD 시스템(10)의 간단한 다이어그램인 도 1c에 도시된 CRT 모니터(60a)와 발광펜(60b; light pen)을 경유한다. 바람직한 실시예에서, 두개의 모니터(60a)가 사용되고, 하나는 작업자에 적합하게 청정실 벽에 장착되고 다른 하나는 보수 기술자에 적합하게 벽 뒤에 장착된다. 모니터(60a)는 동시에 동일 정보를 디스플레이하지만, 단지 하나의 발광펜(60b)이 사용가능하다. 발광펜(60b)의 팁에 있는 발광센서는 CRT 디스플레이에 의해 방출된 빛을 검출한다. 특정 스크린 또는 기능을 선택하기 위하여, 작업자는 디스플레이 스크린의 예정된 영역을 터치하고 펜(60b)의 버튼을 누른다. 터치된 영역은 그의 발광된색상을 변경시키거나 또는 새로운 메뉴 또는 스크린이 디스플레이되어, 발광펜과 디스플레이 스크린 사이의 소통을 확보한다. 키보드, 마우스 또는 다른 포인팅 또는 소통 디바이스와 같은 다른 디바이스는 사용자가 제어기(42)와 소통할 수 있도록 발광펜(60b)에 대신하거나 또는 이에 부가하여 사용될 수 있다.
필름을 증착시키기 위한 프로세스는 제어기(42)에 의해 실행된 컴퓨터 프로그램 산물을 사용하여 실행될 수 있다. 컴퓨터 프로그램 코드는 예를 들어, 68000 어셈블리 언어, C, C++, 파스칼, 포트란 또는 기타 종래 컴퓨터 판독가능한 프로그래밍 언어로 기록될 수 있다. 적절한 프로그램 코드는 종래 텍스트 편집기를 사용하는 단일 파일 또는 다중 파일로 도입되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용가능한 매체에 저장되고 구현된다. 도입된 코드 텍스트가 고급언어이면, 코드는 복잡화되고, 파생하는 컴파일러 코드는 프리콤파일된 윈도위 라이브러리 루틴(precompiled WindowsTMlibrary routine)의 대상코드와 링크된다. 링크된 콤파일된 대상코드를 실행시키기 위하여, 시스템 사용자는 상기 대상코드를 불러와서, 컴퓨터 시스템이 상기 코드를 메모리에 선적하도록 야기시킨다. 이 후에, CPU는 상기 코드를 판독하고 실행하여 프로그램에서 인식된 임무를 수행한다.
도 1d는 특정 실시예에 따른 시스템 제어 소프트웨어, 컴퓨터 프로그램(70)의 계통적 제어 구조의 블록 다이어그램을 예시한다. 발광펜 인터페이스를 사용하여, 사용자는 CRT 상에 디스플레이된 메뉴 또는 스크린에 응답하여 프로세스 설정 수와 프로세스 챔버 수를 프로세스 선택기 서브루틴(73)에 도입시킨다. 프로세스선택기 서브루틴(73)은 요구된 프로세스를 수행하기 위한 프로세스 챔버를 작동시키는데 필요시되는 (i) 요구된 프로세스 챔버 및 (ii) 요구된 일련의 프로세스 매개변수를 인식한다. 특정 프로세스를 수행하기 위한 프로세스 매개변수는 예를 들어, 프로세스 가스조성 및 유동속도, 온도, 압력, RF 동력레벨 및 저주파 RF 주파수와 같은 플라즈마 조건, 냉각가스 압력 및 챔버벽 온도와 같은 프로세스 조건에 관련된다. 이들 매개변수들은 비법(recipe)의 형태로 사용자에게 제공되고, 발광펜/CRT 모니터 인터페이스 유용화에 도입된다.
프로세스를 모니터링하기 위한 신호는 시스템 제어기의 아날로그 및 디지탈 입력 보드에 의해 제공되고, 프로세스를 제어하기 위한 신호는 CVD 시스템(10)의 아날로그 및 디지탈 출력 보드에 출력된다.
프로세스 시퀀서 서브루틴(75)은 프로세스 선택기 서브루틴(73)으로부터 인식된 프로세스 챔버 및 일련의 프로세스 매개변수를 수용하고 다양한 프로세스 챔버의 작동을 제어하기 위한 프로그램 코드로 이루어진다. 다수의 사용자는 프로세스 설정수와 프로세스 챔버수를 도입할 수 있거나 또는 사용자는 다중 프로세스 설정수와 프로세스 챔버수를 도입할 수 있고, 따라서, 시퀀서 서브루틴(75)은 요구된 순서에서 선택된 프로세스를 예정하도록 작동한다. 바람직하게는, 시퀀서 서브루틴(75)은 (i) 챔버가 사용되는 지 여부를 결정하도록 프로세스 챔버의 작동을 모니터링하는 단계와, (ii) 사용되는 챔버에서 어떤 프로세스가 수행되는 지를 결정하는 단계와, (iii) 수행되는 프로세스 챔버의 입수가능성과 프로세스 형태에 근거하여 요구된 프로세스를 실행하는 단계들을 수행하기 위한 프로그램 코드를 포함한다. 폴링(polling)과 같이 프로세스 챔버를 모니터링하는 종래 방법이 사용될 수 있다. 어느 프로세스가 실행되는 지를 계획할 때, 시퀀서 서브루틴(75)은 선택된 프로세스 또는 특정 사용자 도입된 요구의 "경과"(age) 또는 다른 관련된 요소에 적합하게 요구된 프로세스 조건과 비교하여 사용되는 프로세스 챔버의 현 조건을 고려하고, 시스템 프로그래머는 예정된 우선순위를 결정하기 위한 것을 포함하도록 요구한다.
일단 시퀀서 서브루틴(75)이 어느 프로세스 챔버와 프로세스 세트 조합이 다음에 실행될 것인지를 결정하면, 상기 시퀀서 서브루틴(75)은 시퀀서 서브루틴(75)에 의해 결정된 프로세스 세트에 따라 프로세스 챔버(12)에서 다중 프로세싱 임무를 조절하는 챔버 관리 서브루틴(77a-c)으로 특정 프로세스 설정 매개변수를 통과시킴으로써 프로세스 세트의 실행을 초기화시킨다. 예를 들어, 챔버 관리 서브루틴(77a)은 프로세스 챔버(12)에서 CVD 프로세스 작동을 제어하기 위한 프로그램 코드로 구성된다. 챔버 관리 서브루틴(77)은 또한 선택된 프로세스 세트를 실행하기에 필요한 챔버 구성부품의 작동을 제어하는 다양한 챔버 구성부품 서브루틴의 실행을 제어한다. 기판 위치설정 서브루틴(80), 프로세스 가스 제어 서브루틴(83), 압력 제어 서브루틴(85), 히터 제어 서브루틴(87) 및 플라즈마 제어 서브루틴(90)은 몇몇 실시예에서 챔버 구성부품 서브루틴의 일예들이다. 기술분야의 숙련된 당업자는 다른 챔버 제어 서브루틴이 어떤 프로세스가 프로세스 챔버에서 실행될 수 잇는 지에 따라 포함될 수 있다는 것을 용이하게 인식할 것이다. 작동시, 챔버 관리 서브루틴(77a)은 실행되는 특정 프로세스 세트에 따라 선택적으로 예정하거나또는 프로세스 구성부품 서브루틴을 요청한다. 챔버 관리 서브루틴(77a)은 시퀀서 서브루틴(75)이 어느 프로세스 챔버(12)와 프로세스 세트가 다음에 실행되는 지를 예정하는 것과 상당히 유사하게 프로세스 구성부품 서브루틴을 예정한다. 전형적으로, 챔버 관리 서브루틴(77a)은 다양한 챔버 구성부품을 모니터링하는 단계와, 실행될 수 있는 프로세스 세트에 적합한 프로세스 매개변수에 근거되어 어느 구성부품이 작동될 수 있도록 필요시되는 지를 결정하는 단계와, 상기 모니터링 단계 및 결정 단계에 응답하여 챔버 구성부품 서브루틴의 실행을 야기시키는 단계를 포함한다.
특정 챔버 구성부품 서브루틴의 작동은 도 1d를 참조하여 설명될 것이다. 기판 위치설정 서브루틴(80)은 기판을 페데스탈(18) 상에 선적하고 임의적으로는 상기 기판과 가스 분배 매니폴드(14) 사이의 간격을 조절하기 위하여 챔버 내에서 상기 기판을 소정 높이까지 상승시키도록 사용되는 챔버 구성부품을 제어하기 위한 프로그램 코드를 포함한다. 기판이 프로세스 챔버(12)로 선적될 때, 페데스탈(18)은 상기 기판을 수용하도록 강하되고, 이 후에 페데스탈(18)은 챔버 내에서 소정 높이까지 상승되어 CVD 프로세스 동안 가스 분배 매니폴드로부터 제 1거리 또는 간격으로 상기 기판을 유지한다. 작동시, 기판 위치설정 서브루틴(80)은 챔버 관리 서브루틴(77a)으로부터 전송되는 지지 높이에 관련된 프로세스 세트 매개변수에 응답하여 페데스탈(18)의 이동을 제어한다.
프로세스 가스 제어 서브루틴(83)은 프로세스 가스 조성 및 유동속도를 제어하기 위한 프로그램 코드를 갖는다. 프로세스 가스 제어 서브루틴(83)은 안전 셧-오프(safety shut-off) 밸브의 개폐위치를 제어하고 또한 질량 유량 제어기를 상하로 램프(ramp)시켜 요구된 가스 유량속도를 얻는다. 프로세스 가스 제어 서브루틴(83)은 모든 챔버 구성부품 서브루틴과 마찬가지로 챔버 관리 서브루틴(77a)에 의해 요청되고, 요구된 가스 유량속도와 관련된 챔버 관리 서브루틴 프로세스 매개변수로 받아들인다. 전형적으로, 프로세스 가스 제어 서브루틴(83)은 가스 공급라인의 개방에 의해서 그리고 반복적으로는 (i)필요한 질량 유량 제어기를 판독하고, (ii)챔버 관리 서브루틴(77a)으로부터 수용된 요구된 유량속도에 대한 판독을 비교하고, (iii)필요한 만큼 가스 공급라인의 유동속도를 조정함으로써 작동한다. 또한, 프로세스 가스 제어 서브루틴(83)은 불안정한 속도와 불안정한 조건이 검출될 때 안전 셧-오프 밸브를 작동시키기에 적합하게 가스 유량속도를 조절하는 단계를 포함한다.
몇몇 프로세스에서, 헬륨 또는 아르곤과 같은 불활성가스는 챔버(12)로 유입되어 활성 프로세스 가스가 도입되기 전에 챔버 내의 압력을 안정시킨다. 이러한 프로세스를 위하여, 프로세스 가스 제어 서브루틴(83)은 챔버 내의 압력을 안정화시키기 위하여 필요한 소정시간 동안 불활성가스를 챔버(12)로 유입시키는 단계를 포함하고 이 후에 상술된 단계들이 수행될 것이다.
압력 제어 서브루틴(85)은 챔버의 배기 시스템에서 스로틀 밸브의 개도를 조절함으로써 챔버(12) 내의 압력을 조절하기 위한 프로그램 코드를 포함한다. 스로틀 밸브의 개도는 전체 프로세스 가스 유량, 프로세스 챔버의 크기 및 배기 시스템에 적합한 펌핑 설정치 압력과 관련하여 챔버 압력을 소정 레벨까지 조절하도록 설정된다. 압력 제어 서브루틴(85)이 요청될 때, 요구되거나 또는 목표의 압력 레벨은 챔버 관리 서브루틴(77a)로부터 매개변수로서 수용된다. 압력 제어 서브루틴(85)은 챔버에 연결된 종래의 하나 이상의 압력 측정기를 판독함으로써 챔버(12) 내의 압력을 측량하고, 목표 압력에 대한 측정치를 비교하고, 목표 압력에 대응하는 저장된 압력 테이블로부터 PID(비율, 적분 및 미분) 값을 얻고, 압력 테이블로부터 얻어진 PID 값에 따라 스로틀 밸브를 조정하도록 작동한다. 대체적으로는, 압력 제어 서브루틴(85)은 챔버(12)를 요구된 압력까지 조절하도록 스로틀 밸브를 특정 개도까지 개방시키거나 또는 폐쇄시키도록 기록될 수 있다.
히터 제어 서브루틴(87)은 기판(20)을 가열시키도록 사용된 가열유닛에 대한 전류를 제어하기 위한 프로그램 코드를 포함한다. 히터 제어 서브루틴(87)은 또한 챔버 관리 서브루틴(77a)에 의해 요청되고, 목표 또는 설정치의 온도 매개변수를 수용한다. 히터 제어 서브루틴(87)은 페데스탈(12)에 위치된 열전쌍의 전압 출력치를 측정하고, 설정치 온도에 대한 측정된 온도를 비교하고, 설정치 온도를 얻기 위하여 가열유닛에 인가된 전류를 증감시킴으로써 온도를 제어한다. 온도는 저장된 변환 테이블 내의 대응하는 온도를 관찰함으로써 또는 4차 다항식을 사용하여 온도를 계산함으로써 측정된 전압으로부터 얻어진다. 내장된 루프가 서스셉터(18)를 가열시키도록 사용될 때, 히터 제어 서브루틴(87)은 상기 루프에 인가된 전류의 램프 승하강을 점진적으로 제어한다. 부가적으로는, 조성된 실폐-안전 모드는 프로세스 안전 컴플라이언스를 검출하도록 포함될 수 있고, 프로세스 챔버(12)가 적절하게 설정되지 않으면 가열유닛의 하향 작동을 중지시킬 수 있다.
몇몇 실시예에서, 챔버(12)에는 챔버 세정 또는 다른 작업에 적합하게 사용되는 RF 동력 공급원(48)이 장착된다. 챔버 세정 플라즈마 프로세스가 사용될 때, 플라즈마 제어 서브루틴(90)은 챔버(12) 내의 프로세스 전극에 인가된 주파수 RF 동력 레벨을 설정하기 위한 프로그램 코드를 포함한다. 상술된 챔버 구성부품 서브루틴에 유사하게, 플라즈마 제어 서브루틴(90)은 챔버 관리 서브루틴(77a)에 의해 요청된다.
상기 CVD 시스템 설명은 주로 예시적인 목적을 위한 것이고 본 발명의 요지를 제한하는 것으로 고려되어서는 않된다. 페데스탈 설계, 히터 설계, RF 동력 연결부의 위치 및 다른 변경과 같은 상술된 시스템의 변경은 가능하다. 본 발명에 따른 텅스텐 층의 증착에 적합한 방법은 특정 프로세싱 장치에 제한되지 않는다.
III. 텅스텐 필름 증착
본 발명의 방법은 예시적으로 상술된 CVD 챔버와 같은 기판 프로세싱 챔버에서 개선된 텅스텐 필름을 증착시키기 위하여 사용된다. 도 2는 반도체 기판 위에 텅스텐 필름을 증착시키도록 사용된 본 발명의 일실시예를 예시한다. 프로세스는 예시된 목적을 위한 것이고, 본 발명의 청구범위의 요지를 제한시키도록 의도된 것은 아니다. 적용가능한 경우에, 하기 설명에서 참조번호는 도 1a 내지 도 1d의 예시적인 챔버의 적절한 구성부품을 지칭하도록 사용된다. 이 프로세스는 CVD 시스템(10)의 메모리에 저장된 컴퓨터 프로그램을 사용하여 실행되고 제어될 수 있다.
도 2에 도시된 본 발명의 실시예는 핵생성 전에 TiN 표면 상에 실란 흡수를제공하기 위하여 디클로로실란(SiCl2H2) 또는 모노실란(SiH4)와 같은 실란 가스 및 아르곤 및 수소와 같은 캐리어 가스가 5 내지 30초동안 챔버(12)로 유입되는 실란 흡수단계(100)를 포함한다. 일실시예에서, 실란 흡수 단계(100)는 90Torr의 챔버압력에서 10초동안 500sccm의 H2와 1000sccm의 아르곤과 함께 75sccm의 SiH4를 챔버(12)로 유동시킨다.
실란 흡수 단계(100)의 완료 후에, 핵생성 단계(105)가 수행된다. 핵생성 단계(105)는 후속 텅스텐 필름에 적합한 성장 사이트로서 작용하는 텅스텐 박막층을 성장시키기 위하여 챔버(12)로 WF6, SiH4, H2, 아르곤 및 임의적으로는 질소를 포함하는 프로세스 가스를 유동시킨다. 일반적으로, 핵생성 가스는 4 내지 60초 동안 챔버로 유입된다. 이 시간동안, 페데스탈 온도는 350 내지 475℃로 설정되고 챔버압력은 1 내지 50 Torr 수준으로 설정된다. 하기에 상세히 설명되는 바와 같이, 본 발명의 발명자들은 단계(105) 동안 WF6의 분압이 0.5Torr 이하가 되도록 적어도 1.5:1(H2:Ar)의 비율로 H2와 아르곤을 챔버(12)로 유동시키고 가스 유량을 설정하는 것은 더 낮은 비율이 사용되었을 때의 결과보다 텅스텐 층으로 플루오르가 유입되는 것을 낮추는 결과를 야기시킨다는 것을 발견하였다. 텅스텐 층에서 플루오르의 낮은 함량은 기판(16) 상에 형성된 트랜지스터의 개선된 전기적 특성을 야기시킨다.
이 후에, 부피 증착단계(110)는 WF6, H2, 아르곤 및 임의적으로는 N2를 포함하는 프로세스 가스를 챔버(12)로 유동시킴으로써 텅스텐 층의 증착을 완료시킨다. 단계(110) 동안, 챔버압력은 50 내지 760Torr에 유지되고 페데스탈 온도는 350 내지 475℃에 설정된다. 부피 증착단계의 기간은 텅스텐 필름의 요구된 두께에 의존한다. 모든 실시예에서 필수적인 것은 아니지만, 본 발명의 몇몇 실시예에서도 부피 증착단계(110)에서 적어도 1.5:1의 H2:Ar 유량비를 채용한다.
상기 프로세스에 따라 증착된 텅스텐 필름은 공극없는(100% 스텝 커버리지)의 HAR, 좁은 직경의 비아(예를 들어, 8:1의 개구비를 갖는 0.25 Φm 비아)를 달성한다. 또한, 필름은 핵생성 단계에서 1.5:1보다 낮은 H2:Ar 유량비를 사용하여 증착된 필름에 비하여 감소된 플루오르 함유량을 갖는다. 텅스텐 필름에서 플루오르 함유량을 감소시키는 것은 특히 텅스텐 필름으로부터 플루오르가 인접하는 티타늄 질화물 및/또는 티타늄층으로 확산할 수 있고 이에 의해서 이들 층의 저항을 증가시키기 때문에 유용하다.
플루오르 확산 문제점을 보다 양호하게 인식하기 위하여, 텅스텐 콘택트를 갖는 집적회로의 단면도를 나타내는 도 3a와 도 3b를 참조한다. 도 3a에서, HAR 갭(120)은 기판(126)에 형성된 트랜지스터(124) 위에 증착된 보로포스퍼러스 실리케이트 글라스(BPSG) 층과 같은 절연층(122)에서 식각된다. 트랜지스터(124)는 게이트(128) 및 소스/드레인 구역(130)을 포함한다. 전기 콘택트는 기술분야의 숙련된 당업자에게 공지된 바와 같이 W/TiN/Ti 필름을 증착시킴으로써 HAR 갭(120) 내의 소스/드레인 구역(130)에 형성된다. 다이나믹 억세스 메모리(DRAM)에서 트랜지스터 사이즈 축소 및 높은 3차원 캐패시터와 같은 구조의 사용때문에, 현재의 집적회로에서 몇몇 HAR 갭(120)은 이전 세대의 집적회로에서 이러한 갭이 행해졌던 것보다 직경에 대한 깊이(또는 개구비) 측정치가 증가하였다.
도 3b는 도 3a에 도시된 HAR 갭(120)의 확대도이다. 도 3b에 도시된 바와 같이, 티타늄 콘택트층(132)과 티타늄 질화물 확산 베리어층(134)의 증착은 갭 내에서 텅스텐 필름의 증착에 선행하고 텅스텐 증착 이전에 갭(120)의 개구를 더 좁힌다. 갭(120) 내에 증착된 CVD 텅스텐 피름(136)으로부터 플루오르는 텅스텐층의 증착동안 또는 후속 프로세싱 동안 티타늄 질화물층(134) 및 티타늄 콘택트층(132)으로 확산할 수 있다. 이러한 플루오르 확산은 TiN/Ti 층의 저항을 증가시키고 이에 의해서 콘택트의 저항을 증가시킨다. 발명자들은 TiN/Ti 층에서 플루오르 오염물의 증가가 작아도 콘택트의 전기적 특성에 상당한 영향을 미친다는 것을 발견하였다. 이것은 높은 콘택트 저항에 기인한 파손때문에 디바이스의 생산량에 부작용을 야기시킨다. 예를 들어, DRAM 회로에서, 저항의 증가는 개별적인 셀을 억세싱(accessing)하거나 또는 리프레싱(refreshing)하기 위한 시간 요구가 만족될 수 없도록 회로속도(circuit speed)를 전체적으로 감소시키는 결과를 야기시킨다. 발명자들은 또한 텅스텐에서 플루오르의 확산도(425℃에서 거의 4H-1410㎠/sec인 것으로 측정됨)때문에, TiN/Ti 층으로 이동하는 대부분의 플루오르는 텅스텐 핵생성층(138)으로부터 야기된다.
텅스텐 필름에서 플루오르 오염물을 감소시키는 것은 디바이스 효율에 상당한 중요하다고 인식하고 있으므로, 발명자들은 텅스텐 필름으로 플루오르 합체를 최소화시키고 이에 의해서 티타늄 질화물/티타늄층으로 플루오르 확산의 소스를 최소화시키기 위하여 도 2와 관련하여 상술된 방법을 개발하였다. 텅스텐 필름에서 플루오르 레벨을 감소시키는 하나의 방식은 약 1:1 비율의 SiH에 대한 WF를 사용하는 것이다. 텅스텐 필름 스텝 커버리지는 이렇게 낮은 WF6:SiH2비율에서 부작용을 나타내지만, 증착된 필름은 실리콘 부유 및 낮은 반사특성을 나타낸다. 이러한 이유때문에, WF6:SiH2비율이 1.5:1 내지 4:1, 바람직하게는 2:1 내지 3:1이다.
따라서, 발명자들은 플루오르 함유량을 저감시키는 다른 방법을 개발하였다. 이 목적은 텅스텐 핵생성층의 증착동안 사용된 수소 분자의 유량을 증가시킴으로써 달성되었다. 일실시예에서, 아르곤에 대한 H2의 비율은 적어도 1.5:1인 반면에, WF의 분압은 0.5Torr 이하이다. 챔버 구성부품에 대한 플루오르의 공격을 감소시킬 뿐만 아니라 텅스텐 증착 프로세스 동안 아래놓인 층에 대한 플루오르 공격을 감소시키고 높은 균일도를 달성하기 위하여 WF 분압이 0.5Torr 미만이 되도록 H2와 Ar의 전체 가스유량은 충분히 높은 것이 바람직하다. 다른 실시예에서, 아르곤에 대한 H의 비율은 적어도 2:1이다.
발명자들은 텅스텐 핵생성층이 보다 낮은 아르곤에 대한 H의 비율에서 증착될 때 측정된 콘택트 저항과 비교하여 텅스텐 핵생성층이 이러한 조건하에서 TiN/Ti 플름 스택 상에 증착될 때 콘택트 저항이 개선되었다는 것을 우연히 발견하였다. 발명자들은 모든 테스트된 증착온도(400℃ 내지 475℃)에서의 경우인 것으로 발견하였지만, 더 높은 증착온도에서 콘택트 저항이 대부분 감소되었다는 것을 인식하였다.
상기 결론에 도달하기 위하여, 발명자들은 본 발명의 장점 유무에 무관하게 증착된 텅스텐 층을 갖는 W/TiN/Ti 필름 스택의 플루오르 농도를 비교하는 다양한 시험 및 연구를 수행하였다. 표 1에 개시되고 하기에 설명되는 이들 시험의 하나는 3000Å 열적 성장된 산화물 필름 성장 위에 증착된 W/TiN/Ti 필름 스택를 갖는 200mm 실리콘 웨이퍼(100) 상에서 수행되었다. W/TiN/Ti 필름 스택은 다음과 같이 형성되었다. 먼저, 산화된 웨이퍼는 어플라이드 머티어리얼스 엔두라 상표명 클러스터 툴에 선적되었고, 바이어스된 스퍼터링에 의해 750Å의 티타늄과 유기금속 화학기상증착(MOCVD)에 의한 80Å의 TiN으로 증착되었다. TiN의 소스 가스는 테트라키스 데메티라미도 티타늄(TDMat)이고, 필름은 필름을 밀도화시키고 유기 오염물의 농도를 감소시키기 위하여 N2로부터 플라즈마를 형성할 수 있도록 13.56MHz 무선주파수를 사용해서 플라즈마 밀도화 처리(plasma densification treatment)로 플라즈마 처리된 대상이다. 플라즈마 처리 후에, TiN 필름은 거의 50Å 두께이다. 이 후에, 웨이퍼는 텅스텐 증착용 어플라이드 머티어리얼스 WxZ 센튜라 상표명 툴에 선적되었다. 텅스텐 핵생성 필름 증착 전에, 웨이퍼는 TiN 표면 상에 SiH4흡착을 야기시키는 원래의 SiH4처리단계에 노출되었다. 이러한 SiH4노출은 상술된 바와 같이, 더욱 균일한 텅스텐 증착을 제공한다. 핵생성 필름 증착은 30Torr의 압력과 475℃의 웨이퍼 페데스탈 온도(거의 10~15℃ 미만의 웨이퍼 온도)에서 수행되었다.WF6와 SiH2유량은 각각 30 및 10 분당 표준 평방세티미터(sccm)이였다. 도시된 웨이퍼에 적합한 증착시간은 모든 웨이퍼 상에 거의 500Å의 텅스텐 핵생성 필름을 생성하도록 조정되었다.
표 1
Ar 유량에 대한 H2유량의 비율을 변화시킨 실험
웨이퍼 WF6:SiH4(sccm) H2(sccm) Ar(sccm) H2/Ar 비율 전체H2/Ar유량(sccm) WF6분압(Torr)
1 30:10 500 2500 0.2:1 3000 0.3
2 30:10 1000 2000 0.5:1 3000 0.3
3 30:10 1500 1500 1:1 3000 0.3
4 30:10 2000 1000 2:1 3000 0.3
5 30:10 2250 750 3:1 3000 0.3
6 30:10 2500 500 5:1 3000 0.3
표 1에 나타난 바와 같이, 이들 실험은 텅스텐 핵생성층의 증착동안 H2:Ar 유량비를 0.2:1 내지 5:1로 변화시켰고, 전체 H2+ Ar 유량은 3000sccm에 유지되었다. 텅스텐 층의 증착 후에, TiN/Ti 필름 스택에서 플루오르 농도가 측정되었다. 이들 측정의 결과는 도 4에 나타난다.
도 4에 도시된 바와 같이, 표 1에서 설명된 텅스텐 층이 증착된 TiN/Ti 필름 스택에서 플루오르 도핑량은 수소의 초기양이 증착가스에 포함될 때 크게 감소하고, 이 후에 1:1의 비율로 약간 증가하고, 다시 H2:Ar 유량비가 약 1.5:1에 도달할 때 감소한다. 1.5:1 이상의 H2:Ar 유량비에서 얻어진 플루오르 도핑량 레벨은 TiN/Ti 콘택트 구조의 상당히 개선된 전기 특성과 더 높은 생산량을 야기시킨다. 본 명세서에 사용된 바와 같이, 플루오르 도핑량은 기술분야의 숙련된 당업자들에게 공지된 바와 같이 F 농도곡선 아래의 면적을 적분함으로써 SIMS 플로트로부터계산된다. 실제 플루오르 도핑량은 따라서 선택된 TiN/Ti 필름 스택, 핵생성 필름 두께 및 다른 변수에 특정된다.
도 5a 및 도 5b는 표 1 세트의 실험에서 웨이퍼(1 및 4)로부터 대표적인 SIMS 깊이 형상(depth profile)을 나타낸다. 이들 도면에 적합한 깊이 형상 분석은 퀘드로폴 스펙트로메터 분석(quadrapole spectrometer analysis)을 사용하여 SIMS를 스펙터링함으로써 수행되었다. 스퍼터링은 3keV Cs+빔에 의해 수행되었다. 필름 두께는 전자현미경(SEM)으로 단면을 스캐닝함으로써 점검되었다. 도 5b에 대한 도 5a의 비교로부터 명백한 바와 같이, 상당히 적은 양의 플루오르가 도 5b에 표시된 TiN/Ti 필름 스택으로 합체되었다. 표 1에서 웨이퍼(4~6)에 적합한 증착조건을 사용하는 텅스텐 핵생성 필름의 스텝 커버리지는 50Å TiN/250Å Ti 필름 스택을 갖는 2.4㎛ 두께의 산화물 필름으로 식각된 0.3㎛ 직경 비아(8:1 개구비)를 갖는 웨이퍼 상에서 점검되고 100%로 결론되었다.
발명자들에 의해 수행된 다른 실험은 이들 결과를 확인하였다. 몇몇 이들 실험으로부터, 발명자들은 3000sccm 이상으로 증가한 전체 H2+ Ar 유량은 플루오르 도핑량에 대한 더 나은 개선을 야기시켰다는 것을 결정할 수 있었다. 예를 들어, 이러한 개선은 전체 H2+ Ar 유량이 5000sccm 및 10,000sccm으로 증가되었을 때 30sccm WF6, 15sccm SiH4, 4:1 H2:Ar 유량비 프로세스에서 발견되었다. 특히, 텅스텐 핵생성 필름에서 플루오르의 농도는 2,500sccm(4 ×1019원자/㎤)과 비교하여10,000sccm의 H2+ Ar(2 ×1019원자/㎤)이 유입되었을 때 반으로 감소되었다. TiN/Ti 필름으로 플루오르 침투는 H2+ Ar 유량을 증가시킴에 따라 유사하게 감소하는 것을 나타낸다.
요약하면, 발명자들에 의해 수행된 상기 테스트 결과와 다른 작업에 근거하여, 발명자들은 텅스텐층 아래놓인 TiN/Ti 필름 스택에서 플루오르 조성은 H2:Ar의 비율이 적어도 1.5:1, WF6의 분압이 0.5Torr 이하가 되도록 H2와 Ar 유량을 설정함으로써 감소될 수 있었다는 것을 결론지었다. 475℃와 같은 높은 텅스텐 증착온도에서 더욱 감소되었지만, 400℃와 같은 더 낮은 텅스텐 증착온도에서 디바이스 생산량에 대한 탁월한 효과를 나타내었다.
바람직한 실시예에 대해 상술된 프로세스 매개변수는 200mm 웨이퍼용으로 장착되고 어플라이드 머티어리얼스에 의해 제작된 저항가열된 WxZ 챔버에서 실행된 하나의 특정 증착 프로세스에 최적화된다. 특정 응용에 따라서 텅스텐 층을 증착시키기 위하여 상술된 프로세싱 매개변수를 가변시키는 것에 부가하여, 기술분야의 당업자는 이러한 바람직한 매개변수들은 부품 챔버 상세서 내에 있고 다른 설계 및/또는 부피의 챔버가 사용된다면 변한다는 것을 인식할 것이다. 다양한 퍼지가스가 기술분야의 숙련된 당업자에게 공지된 바와 같이 텅스텐층의 증착동안 기판 프로세싱 챔버로 유입될 수 있다는 것을 인식하여야 한다. 이러한 퍼지가스는 아르곤을 포함하지만 이들은 상술된 1.5:1의 H2:Ar 비로 산술되도록 의도되지는 않는다. 대신에, 상기 비율은 증착 및 캐리어 가스에 의해 산술되고, 이것은 퍼지가스를 포함하지 않는다.
상기 바람직한 프로세스 및 상술된 실험에서 목록화된 매개변수들은 본 명세서에 설명된 바와 같이 청구범위를 제한하는 것은 아니다. 기술분야의 숙련된 당업자는 또한 바람직한 실시예에 대해 기재된 것 이외의 매개변수 및 조건을 사용할 수 있다. 이와 같이, 상기 설명은 예시적인 것이고 제한적인 것은 아니다. 예를 들어, 상술되지는 않았지만, 기술분야의 숙련된 당업자는 균일성, 반사성 및 필름 응력과 같은 필름 특성을 최적화시키도록 각각의 단계(100, 105, 110) 전후에 다양한 중간 단계들을 포함할 수 있다는 것을 인식할 것이다. 예를 들어, 기판(16)은 단계(100) 전에 불활성 가스의 존재하에서 요구된 온도까지 가열될 수 있다. 유사하게, 챔버(12)는 단계(105) 또는 단계(110)의 요구된 압력 레벨까지 야기될 수 있지만 WF6의 유동없이 아르곤과 H2를 챔버로 유입시킬 수 있다. 또한, WF6는 아르곤 및 H2의 유동에 의해 부피 증착단계 후에 챔버(12)로부터 퍼지될 수 있다. 또한, 다른 프로세스 온도 및 압력 값과 다른 가스 유동속도가 사용될 수 있다.
유사하게, 텅스텐 증착 프로세스에서 다른 변경은 본 발명의 요지로부터 벗어나지 않고 이루어질 수 있다. 예를 들어, 본 발명의 몇몇 실시예는 실란 흡수 단계(100)를 포함하지 않는다. 또한, 다른 실시예는 모든 단계가 아니고 상기된 바와 같이 한 단계에서 텅스텐 핵생성 필름을 증착시키는 다중 단계 핵생성 프로세스를 포함한다. 그러므로, 본 발명의 요지는 상기 설명을 참조하지 않고 결정되어야 하지만, 대신에 동일한 가치의 전체 요지와 함께 첨부된 청구범위를 참조하여 결정되어야 한다.

Claims (18)

  1. 기판 프로세싱 챔버 내에 배치된 기판 상에 텅스텐 필름을 증착시키기 위한 화학기상증착 프로세스 방법에 있어서,
    텅스텐의 제 1층을 증착시키기 위하여 상기 기판 프로세싱 챔버로 텅스텐 헥사플루오라이드, 실란가스, 수소분자 및 아르곤으로 구성된 제 1프로세스 가스를 유입시키고 여기에서 아르곤에 대한 수소분자의 유동속도는 적어도 1.5:1이고 상기 텅스텐 헥사플루오라이드의 분압은 0.5Torr 미만으로 하는 단계와,
    상기 제 1층 상에 텅스텐의 제 2층을 증착시키기 위하여 상기 기판 프로세싱 챔버로 텅스텐 헥사플루오라이드와 환원시료로 구성되는 제 2프로세스 가스를 유입시키는 단계로 이루어진 방법.
  2. 제 1항에 있어서,
    상기 제 1프로세스 가스에서 상기 수소분자와 아르곤의 복합 유동속도는 적어도 3000sccm으로 하는 방법.
  3. 제 1항에 있어서,
    상기 제 1프로세스 가스에서 상기 수소분자는 적어도 2000sccm의 속도로 상기 기판 프로세싱 챔버로 유입되는 방법.
  4. 제 1항에 있어서,
    상기 텅스텐의 제 1층은 티타늄층 상에 증착된 티타늄 질화물층 위에 증착되는 방법.
  5. 제 4항에 있어서,
    상기 티타늄 질화물층은 80Å 이하의 두께인 방법.
  6. 제 4항에 있어서,
    상기 텅스텐 필름은 집적회로 내에서 비아 또는 콘택트의 일부인 방법.
  7. 제 6항에 있어서,
    상기 비아 또는 콘택트의 직경은 0.25 미크론 미만인 방법.
  8. 제 1항에 있어서,
    상기 실란 가스는 모노실란인 방법.
  9. 제 1항에 있어서,
    모노실란에 대한 텅스텐 헥사플루오라이드의 유동속도는 1.5:1 내지 4:1인 방법.
  10. 제 1항에 있어서,
    상기 텅스텐의 제 1층은 적어도 450℃의 페데스탈 온도에서 증착되는 방법.
  11. 기판 프로세싱 챔버 내에 배치된 기판 상에 텅스텐 필름을 증착시키기 위한 화학기상증착 프로세스 방법에 있어서,
    텅스텐의 제 1층을 증착시키기 위하여 상기 기판 프로세싱 챔버로 텅스텐 헥사플루오라이드, 실란, 수소분자 및 아르곤으로 실질적으로 이루어진 제 1프로세스 가스를 유입시키고 여기에서 아르곤에 대한 수소분자의 유동속도는 적어도 1.5:1이고 텅스텐 헥사플루오라이드의 분압은 0.5Torr 미만으로 하는 단계와,
    상기 제 1층 상에 텅스텐의 제 2층을 증착시키기 위하여 상기 기판 프로세싱 챔버로 텅스텐 헥사플루오라이드와 수소분자와 아르곤으로 구성되는 제 2프로세스 가스를 유입시키는 단계로 이루어진 방법.
  12. 제 11항에 있어서,
    상기 제 2프로세스 가스는 실질적으로 텅스텐 헥사플루오라이드와 수소분자와 아르곤으로 이루어진 방법.
  13. 제 11항에 있어서,
    상기 제 2프로세스 가스는 실질적으로 텅스텐 헥사플루오라이드와 수소분자와 아르곤과 질소로 이루어진 방법.
  14. 제 11항에 있어서,
    모노실란에 대한 텅스텐 헥사플루오라이드의 유동속도는 1.5:1 내지 4:1인 방법.
  15. 제 11항에 있어서,
    상기 텅스텐의 제 1층은 티타늄층 상에 증착된 티타늄 질화물층 위에 증착되는 방법.
  16. 제 15항에 있어서,
    상기 티타늄 질화물층은 80Å 이하의 두께인 방법.
  17. 제 11항에 있어서,
    상기 비아 또는 콘택트의 직경은 0.25 미크론 미만인 방법.
  18. 기판 프로세싱 시스템에 있어서,
    프로세스 챔버를 한정하는 하우징과,
    기판 프로세싱 동안 상기 챔버 내에서 기판을 유지하도록 구조화된 기판 홀더와,
    상기 프로세스 챔버로 가스를 도입하도록 구조화된 가스 분배 시스템과,
    상기 가스 분배 시스템을 제어하기 위한 제어기와,
    상기 기판 프로세싱 시스템의 작동을 지시하기 위해 구체화된 컴퓨터 판독가능한 프로그램을 갖는 컴퓨터 판독가능한 매체를 포함하고 상기 제어기에 연결된 메모리로 구성되고, 상기 컴퓨터 판독가능한 프로그램은,
    (a) 텅스텐의 제 1층을 증착시키기 위하여 상기 기판 프로세싱 챔버로 텅스텐 헥사플루오라이드, 실란가스, 수소분자 및 아르곤으로 구성된 제 1프로세스 가스를 유입시키도록 상기 가스 분배 시스템을 제어하고 여기에서 아르곤에 대한 수소분자의 유동속도는 적어도 1.5:1이고 상기 텅스텐 헥사플루오라이드의 분압은 0.5Torr 미만으로 하는 지시와,
    (b) 상기 제 1층 상에 텅스텐의 제 2층을 증착시키기 위하여 상기 기판 프로세싱 챔버로 텅스텐 헥사플루오라이드와 환원시료로 구성되는 제 2프로세스 가스를 유입시키도록 상기 가스 분배 시스템을 제어하는 지시를 포함하는 시스템.
KR1020010016512A 2000-03-29 2001-03-29 텅스텐 화학기상증착에 적합한 감소된 플루오르 오염물 KR20010093766A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/538,379 2000-03-29
US09/538,379 US6429126B1 (en) 2000-03-29 2000-03-29 Reduced fluorine contamination for tungsten CVD

Publications (1)

Publication Number Publication Date
KR20010093766A true KR20010093766A (ko) 2001-10-29

Family

ID=24146684

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010016512A KR20010093766A (ko) 2000-03-29 2001-03-29 텅스텐 화학기상증착에 적합한 감소된 플루오르 오염물

Country Status (6)

Country Link
US (1) US6429126B1 (ko)
EP (1) EP1139418A3 (ko)
JP (1) JP2002030436A (ko)
KR (1) KR20010093766A (ko)
SG (1) SG89388A1 (ko)
TW (1) TW495848B (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100400326B1 (ko) * 2001-12-29 2003-10-01 주식회사 하이닉스반도체 반도체 소자의 하부전극 형성방법
KR20150072377A (ko) * 2013-12-19 2015-06-29 램 리써치 코포레이션 매우 낮은 저항률의 텅스텐을 증착하는 방법
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
DE10210061A1 (de) * 2002-03-08 2003-10-09 Flowtec Ag Coriolis-Massedurchflußmesser zur Konzentrationsmessung
US7323411B1 (en) * 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US20050186339A1 (en) * 2004-02-20 2005-08-25 Applied Materials, Inc., A Delaware Corporation Methods and apparatuses promoting adhesion of dielectric barrier film to copper
JP4798688B2 (ja) * 2004-08-26 2011-10-19 エルピーダメモリ株式会社 半導体装置の製造方法
KR100707656B1 (ko) * 2005-10-10 2007-04-13 동부일렉트로닉스 주식회사 금속배선의 형성 방법 및 그에 의해 형성된 금속배선을포함하는 반도체 소자
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US7875519B2 (en) * 2008-05-21 2011-01-25 Intel Corporation Metal gate structure and method of manufacturing same
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100267230A1 (en) * 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US8859417B2 (en) 2013-01-03 2014-10-14 Globalfoundries Inc. Gate electrode(s) and contact structure(s), and methods of fabrication thereof
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
JP6639691B2 (ja) * 2016-09-28 2020-02-05 株式会社Kokusai Electric 半導体装置の製造方法、プログラムおよび基板処理装置
WO2018111547A1 (en) * 2016-12-15 2018-06-21 Applied Materials, Inc. Nucleation-free gap fill ald process
KR20200032756A (ko) 2017-08-14 2020-03-26 램 리써치 코포레이션 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스
US10854459B2 (en) 2017-09-28 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure passivating species drive-in method and structure formed thereby
US10468258B1 (en) 2018-06-12 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Passivator for gate dielectric
US11462626B2 (en) 2019-10-29 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4968644A (en) * 1986-06-16 1990-11-06 At&T Bell Laboratories Method for fabricating devices and devices formed thereby
FR2624304B1 (fr) * 1987-12-04 1990-05-04 Philips Nv Procede pour etablir une structure d'interconnexion electrique sur un dispositif semiconducteur au silicium
US5231055A (en) * 1989-01-13 1993-07-27 Texas Instruments Incorporated Method of forming composite interconnect system
US5028565A (en) * 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
EP0486927A1 (en) * 1990-11-20 1992-05-27 Air Products And Chemicals, Inc. Deposition of tungsten films from mixtures of tungsten hexafluoride, organohydrosilanes and hydrogen
US5231056A (en) * 1992-01-15 1993-07-27 Micron Technology, Inc. Tungsten silicide (WSix) deposition process for semiconductor manufacture
CA2067565C (en) * 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US5272112A (en) * 1992-11-09 1993-12-21 Genus, Inc. Low-temperature low-stress blanket tungsten film
US5482749A (en) * 1993-06-28 1996-01-09 Applied Materials, Inc. Pretreatment process for treating aluminum-bearing surfaces of deposition chamber prior to deposition of tungsten silicide coating on substrate therein
US6090706A (en) * 1993-06-28 2000-07-18 Applied Materials, Inc. Preconditioning process for treating deposition chamber prior to deposition of tungsten silicide coating on active substrates therein
JP2978748B2 (ja) * 1995-11-22 1999-11-15 日本電気株式会社 半導体装置の製造方法
US5654234A (en) * 1996-04-29 1997-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a void-free tungsten-plug contact in the presence of a contact opening overhang
US5807788A (en) * 1996-11-20 1998-09-15 International Business Machines Corporation Method for selective deposition of refractory metal and device formed thereby
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US6162715A (en) * 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US5956609A (en) * 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) * 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US6265312B1 (en) * 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100400326B1 (ko) * 2001-12-29 2003-10-01 주식회사 하이닉스반도체 반도체 소자의 하부전극 형성방법
KR20150072377A (ko) * 2013-12-19 2015-06-29 램 리써치 코포레이션 매우 낮은 저항률의 텅스텐을 증착하는 방법
KR20220104138A (ko) * 2013-12-19 2022-07-26 램 리써치 코포레이션 매우 낮은 저항률의 텅스텐을 증착하는 방법
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition

Also Published As

Publication number Publication date
EP1139418A2 (en) 2001-10-04
SG89388A1 (en) 2002-06-18
JP2002030436A (ja) 2002-01-31
US6429126B1 (en) 2002-08-06
EP1139418A3 (en) 2004-09-08
TW495848B (en) 2002-07-21

Similar Documents

Publication Publication Date Title
KR20010093766A (ko) 텅스텐 화학기상증착에 적합한 감소된 플루오르 오염물
US7049200B2 (en) Method for forming a low thermal budget spacer
US6297152B1 (en) CVD process for DCS-based tungsten silicide
US7132353B1 (en) Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
US6206967B1 (en) Low resistivity W using B2H6 nucleation step
KR100693612B1 (ko) 텅스텐 및 텅스텐 질화물의 인 시튜 화학기상증착에 의해개선된 게이트 전극 결합 구조물
US6566278B1 (en) Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
KR100635180B1 (ko) 2단계 보로포스포실리케이트 글라스 증착 공정 및 이와 관련된 소자 및 장치
EP0874391B1 (en) Process for depositing a Halogen-doped SiO2 layer
JP4176864B2 (ja) 四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法
US7354858B2 (en) Film formation method and apparatus for semiconductor process
US20070009658A1 (en) Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
WO1998051838A1 (en) Low resistivity w using b2h¿6?
US6271129B1 (en) Method for forming a gap filling refractory metal layer having reduced stress
US6360685B1 (en) Sub-atmospheric chemical vapor deposition system with dopant bypass
WO2000003425A1 (en) Plasma process to deposit silicon nitride with high film quality and low hydrogen content
JP5224628B2 (ja) ランダム粒子構造を持つ多結晶シリコン膜の堆積方法、ドープされたランダム粒子構造を持つ多結晶シリコンゲート電極を堆積させる方法及びタングステン/シリコン複合膜を形成する方法
US6204174B1 (en) Method for high rate deposition of tungsten
US6753270B1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
EP1054444A1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
WO2003064724A1 (en) Process for tungsten deposition by pulsed gas flow cvd
JPH10144683A (ja) Fsg膜のギャップ充填能及び膜安定性向上のための装置及び方法
Mak et al. Low resistivity W using B 2 H 6 nucleation step
WO1999045165A1 (en) Method of forming phosphosilicate glass having a high wet-etch rate
KR20040068591A (ko) 펄스화된 가스 유동 cvd에 의한 텅스텐 증착 프로세스

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid