KR20010083104A - 기판 제조 공정의 개선된 엔드포인트 검출 방법 및 장치 - Google Patents

기판 제조 공정의 개선된 엔드포인트 검출 방법 및 장치 Download PDF

Info

Publication number
KR20010083104A
KR20010083104A KR1020017000422A KR20017000422A KR20010083104A KR 20010083104 A KR20010083104 A KR 20010083104A KR 1020017000422 A KR1020017000422 A KR 1020017000422A KR 20017000422 A KR20017000422 A KR 20017000422A KR 20010083104 A KR20010083104 A KR 20010083104A
Authority
KR
South Korea
Prior art keywords
controller
chamber
endpoint
substrate
signal
Prior art date
Application number
KR1020017000422A
Other languages
English (en)
Other versions
KR100695582B1 (ko
Inventor
지펭 슈이
파울 이. 루스체르
닐스 조한슨
마이클 디. 웰치
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010083104A publication Critical patent/KR20010083104A/ko
Application granted granted Critical
Publication of KR100695582B1 publication Critical patent/KR100695582B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Chemical Vapour Deposition (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

기판(20)은 처리 챔버(42) 내에서 처리되고 기판 처리 과정의 전후에 발생되는 제 1 공정 조건이 검출된다. 제 1 공정 조건의 정확성 또는 타당성은 제 2 공정 조건을 검출함으로써 검출된다.

Description

기판 제조 공정의 개선된 엔드포인트 검출 방법 및 장치{IMPROVED ENDPOINT DETECTION FOR SUBSTRATE FABRICATION PROCESSES}
기판 제조 공정에서, 반도체, 유전체, 및 도체 물질, 예를 들어 폴리실리콘,이산화 실리콘, 및 알루미늄층은 기판상에 증착되고 게이트, 비아, 콘택홀 또는 상호배선 라인의 패턴을 형성하도록 에칭된다. 층들은 전형적으로 화학적 기상 증착(CVD), 물리적 기상 증착, 또는 산화 및 질화 공정에 의해 형성된다. 예를 들어, CVD 공정에서, 반응성 가스는 기판상에서 물질층을 증착시키기 위해 분해되며 PVD 공정에서는 기판상에 물질을 증착시키기 위해 타겟이 스퍼터링된다. 산화 및 질화 공정에서, 산화층 또는 질화층은, 전형적으로 이산화 실리콘층 또는 질화 실리콘층이 기판상에 형성된다. 에칭 공정에서, 포토레지스트의 패턴화된 마스크층 또는 하드 마스크가 포토리소그라픽 방법에 의해 기판상에 형성되어, 기판의 노출부가 Cl2, HBr 또는 BCl3와 같은 활성화된 가스에 의해 에칭된다.
이러한 공정에서는, 예정된 스테이지에서 기판의 처리과정을 중단시키는 것이 요구된다. 예를 들어, 종래의 에칭 공정에서 기판의 얇은 층만을 에칭한 후에에칭 공정을 중단시키기는 어렵다. 그 예로서, 게이트 구조의 에칭에 있어, 가능한 예정되고 허용가능한 값에 가깝게 하부 게이트 산화층의 나머지 두께를 에칭한 후 에칭 공정이 하부의 어떠한 폴리실리콘 또는 실리콘도 손상시키지 않도록하는 것이 바람직하다. 게이트 산화층은 고속 집적 회로의 제조시에 얇아지고 두꺼워져 하부 게이트 산화층으로의 오버에칭없이 상부 폴리실리콘층을 정확히 에칭하기가 어렵다. 또다른 예로서는, 증착, 산화 및 질화 공정에서 제어되고 예정된 두께를 갖는 층을 형성하고, 원하는 두께의 층이 얻어지면 공정을 정확히 중단시키는 것이 바람직하다.
엔드포인트 검출 방법은 에칭, 증착, 산화 또는 질화 공정의 엔드포인트를 측정하는데 사용된다. 엔드포인트 측정 방법은 예를 들어, 본 발명의 명세서에서 참조로하는 미국 특허 4,328,068호에 지시된 것처럼 챔버에 형성된 플라즈마의 방출 스펙트럼을 에칭되는 층의 조성물 변화에 상응하는 화학적 조성물의 변화를 결정하여 분석하는 플라즈마 방출 분석법을 포함한다. 또다른 예로서, 본 명세서에서 참조로하는 또다른 예로서 미국 특허 5,362,256호에서는 선택된 파장에서 플라즈마 방출 세기를 모니터링하고 나머지 막 두께, 에칭비, 에칭 균일성 및 에칭 엔드포인트와 플라즈마 방출 세기에서의 변화량과 관련하여 에칭 또는 증착 과정을 모니터링하는 방법을 개시한다.
전체 층의 처리 공정이 완성되기 전에 공정 엔드포인트를 측정하는데 유용한 또다른 엔드포인트 검출 시스템으로 타원편광반사측정법(ellipsometry)을 이용한다. 이 방법에서는, 편광 빔이 에칭되는 층의 표면에서 반사되어 층이 에칭됨에따라 발생하는 반사된 광의 크기에서의 위상 이동 및 변화를 측정하여 분석되며, 이는 본 명세서에서 모두 참조로하는 미국 특허 3,974,797 및 3,824,017호에 개시되어 있다. 편광 필터가 기판의 표면에서 반사되는 편광 빔의 위상 변화를 측정하는데 사용된다.
또다른 엔드포인트 검출 방법으로 간섭측정법이 있다. 예시적 방법은 본 명세서에서 참조로하고 있는 Maydan 등의 미국 특허 4,618,262호에 개시되어 있는 것으로, 레이저 빔이 기판상에서 처리되는 층으로 향하는 레이저 간섭계가 개시되어 있다. 레이저 및 관련된 모니터링 시스템은 처리되는 층에 따라 측정된 반사율 곡선을 제공한다. 예비선택된 에칭 깊이가 반사율 신호의 최대 또는 최소의 수를 계산함으로써 또는 신호의 중단(cessation)에 기초하여 에칭 공정의 마지막을 인식함으로써 도달하는지를 컴퓨터가 계산한다.
또다른 엔드포인트 검출 방법으로 Birang에 의한 미국 특허 5,846,882호에 화학적 기계적 연마 공정에서 연마 엔드포인트를 검출하는 방법을 개시한다. 모니터는 모니터로의 출력 전력을 측정하고 입력 전력을 나타내는 출력 신호를 발생시킨다. 어큐뮬레이터는 출력 신호를 수신하고 출력 신호의 합은 엔드포인트에 도달하는지를 나타내도록 예정된 합과 비교된다.
각각의 이들 시스템, 특히 플라즈마 방출 분석 장치는 실제적으로 엔드포인트가 이루어지지 않은 경우에, 엔드포인트가 발생되었다는 포지티브 표시를 제공할 수가 있다는 문제점이 있다. 이러한 잘못된 엔드포인트 신호 또는 호출(call)의 발생은 에칭 공정의 정밀도를 감소시키고 기판 수율을 감소시킨다. 따라서, 본 명세서에서 개시된 것처럼 종래의 엔드포인트 검출 방법에서 잘못된 엔드포인트 신호의 수를 줄이거나, 또는 잘못된 엔드포인트를 완전히 없애는 것이 요구된다. 또한, 기판상에서 처리되는 층이 원하는 두께에 달성되자 마자 하부층에 어떠한 손상도 주지 않고 기판 제조 공정을 종결시키는 엔드포인트 검출 방법이 바람직하다.
또한 엔드포인트 검출 공정으로부터의 데이터 또는 공정 제어 데이터와 같은 다른 데이터에 대해 다수의 사용자가 타이닝 업, 슬로잉 다운없이 또는 처리 챔버 또는 처리 챔버의 엔드포인트 검출 시스템의 동작에서의 에러를 야기시킬 수 있는 다른 그밖의 처리 없이 이용가능한 것이 바람직하다.
본 발명은 기판상에서 수행되는 공정의 엔드포인트 검출에 관한 것이다.
도 1a 및 1b는 본 발명에 따른 에칭 전후 기판의 전형적 구조의 개략적 측단면도;
도 2는 본 발명에 따른 챔버 및 엔드포인트 검출 시스템의 개략적 측단면도;
도 3은 엔드포인트 검출 장치 제어기의 개략적 블록도;
도 4는 본 발명에 따른 제어기를 작동시키기 위한 컴퓨터 프로그램을 나타내는 블록도;
도 5는 챔버로부터 플라즈마 방출을 검출하고 모니터링할 수 있는 본 발명에 따른 엔드포인트 검출 장치의 개략적 블록도;
도 6a는 본 발명에 따른 공정 조건 모니터링 알고리즘의 공정 단계를 나타내는 순서도;
도 6b는 본 발명에 따른 제 2 공정 조건 모니터링 알고리즘의 공정 단계를 나타내는 순서도;
도 7은 본 발명에 따른 엔드포인트 또는 오류 엔드포인트 신호를 나타내는 공정의 단계를 나타내는 순서도;
도 7a는 본 발명에 따른 엔드포인트 또는 오류 엔드포인트 또는 전위 오류엔드포인트 신호를 나타내는 공정 단계를 나타내는 순서도;
도 8은 본 발명에 따른 엔드포인트 또는 오류 엔드포인트 신호를 나타내는 공정의 또다른 실시예를 나타내는 순서도;
도 9는 본 발명에 따른 또다른 엔드포인트 검출 장치의 개략적 블록도;
도 10은 본 발명에 따른 네트워크 액서스 시스템의 개략적 블록도;
도 11은 본 발명에 유용한 데이터 전송 프로토콜의 개략적 블록도;
도 12는 다중 처리 챔버를 사용하기 위한 엔드포인트 검출 시스템 및 네트워크 액서스 시스템의 개략적 블록도;
도 13은 본 발명의 예시적 신경망의 블록도; 그리고
도 14는 산화 에칭 챔버에서 광학적 방출 스펙트럼상에 영향을 미치는 입력 전력을 나타내는 그래프.
본 발명은 이러한 요구사항을 만족시킨다. 본 발명의 일면에서, 기판은 처리 챔버에서 처리되고 기판의 처리과정에서 발생하는 공정 조건이 검사된다. 공정에서, 기판은 처리 챔버에 위치되어 처리된다. 기판의 처리과정 전후에 발생하는 제 1 및 제 2 공정 조건이 검출되고, 제 1 공정 조건은 제 2 공정 조건과 관련하여 평가된다.
발명의 또다른 면에서, 처리 챔버내의 기판을 처리하고 공정의 엔드포인트를 검출하는 방법은 처리 챔버내에 기판을 위치시키는 단계, 기판을 처리하는 단계, 공정 변수를 포함하는 제 1 공정 조건을 검출하는 단계, 공정 파라미터를 포함하는 제 2 공정 조건을 검출하는 단계, 및 엔드포인트에 도달하는지를 결정하기 위해 제 1 공정 및 제 2 공정을 평가하는 단계를 포함한다.
또다른 면에서, 처리 챔버에서 기판을 처리하는 방법은 처리 챔버내에 기판을 위치시키고 공정을 행하는 단계, 공정을 행하는 동안 공정 조건을 모니터링하고 공정 조건이 예정된 값에 해당하지 않거나 또는 예정된 값의 범위에 있지 않은 경우 오류 신호를 나타내는 단계, 공정의 엔드포인트와 관련하여 공정의 또다른 공정 조건을 모니터링하는 단계, 오류 신호가 나타나는 경우, 및 오류 신호가 없는 경우를 검출하는 단계, 공정의 엔드포인트를 나타내는 단계를 포함한다.
본 발명의 또다른 면에서, 기판 처리 장치는 기판을 수용하는 지지체 및 기판상에서 공정을 수행할 수 있는 공정 부품을 포함하는 처리 챔버를 포함한다. 장치는 공정을 행하는 전 또는 후에 발생하는 제 1 및 제 2 공정 조건을 검출하는 1개 이상의 검출기; 및 제 2 공정 조건과 관련하여 제 1 공정 조건을 평가하는 제어기를 더 포함한다.
또다른 면에서, 기판을 처리하고 기판 처리공정의 엔드포인트를 검출할 수 있는 장치는 기판을 수용하는 지지체를 포함하고 기판을 처리할 수 있는 처리 부품을 포함하는 처리 챔버, 및 처리 챔버에서 수행되는 제 1 공정 조건을 검출하고, 공정 파라미터를 포함하는 제 2 공정 조건을 검출하고, 공정 엔드포인트의 도달 시기를 검출하도록 제 1 및 제 2 공정 조건을 평가하는 제어기를 포함한다.
또다른 면에서, 기판을 처리하고 기판 처리 공정의 엔드포인트를 검출할 수 있는 장치는 기판 처리 챔버, 챔버로부터 방출된 방사선을 검출하고 검출된 방사선과 관련하여 제 1 신호를 공급하고, 챔버내의 공정 조건을 검출하고 제 2 신호를 제공하는 공정 모니터링 시스템, 및 제 1 및 제 2 신호를 수신하는 제어기를 포함하며, 상기 제어기는 제 2 신호가 공정 조건의 예정된 레벨에 해당하지 않는 경우오류 플래그를 설정하는 제 1 알고리즘 및 제 1 신호를 수신하여 오류 플래그가 없는 경우 엔드포인트 신호를 나타내는 제 2 알고리즘을 포함한다.
또다른 면에서, 기판 처리 장치는 기판 처리 챔버, 기판 처리 챔버에 연결된 제어기, 서버(server), 및 제어기에 서버를 연결하는 제 1 연결 링크를 포함한다.
또다른 면에서, 처리 챔버에서 기판을 처리하고 처리 챔버에 연결된 제어기로 기판의 처리과정을 제어하는 방법은 처리 챔버에 기판을 위치시키는 단계 및 제어기로 시간 임계 태스크(time-critical task) 및 제 1 연결 링크를 경유하여 제어기에 연결된 서버로 시간 비임계 태스크(non-time critical task)를 조정하여 기판을 처리하는 단계를 포함한다.
또다른 면에서, 컴퓨터 판독가능 매체는, 작동되는 경우, 컴퓨터가 기판 처리 장치로부터 상기 장치에서 수행되는 공정의 엔드포인트와 관련되는 제 1 데이터 신호를 포착하고, 공정 조건에 해당하는 제 2 데이터 신호를 포착하여 상기 제 2 데이터 신호를 평가함으로써 엔드포인트를 한정함으로써 엔드포인트의 발생을 나타내는 소프트웨어를 포함한다.
또다른 면에서, 처리 챔버에서 수행되는 공정을 모니터링하고 제어하는 방법은 처리 챔버에서 수행되는 공정 조건을 모니터링하거나 제어하는 단계, 제어기를 사용하는 단계; 및 제어기와 서버 사이에 다른 신호의 흐름을 제어하면서 제어기로부터 서버로의 공정 조건과 관련하여 신호를 전송하는 단계를 포함한다.
또다른 면에서, 처리 챔버에서 수행되는 공정의 모니터 및 제어를 위한 장치는, 처리 챔버에서 수행되는 공정 조건을 모니터하거나 제어하는 제어기; 서버; 및제어기와 서버 사이의 방화벽을 포함하며, 상기 방화벽은 제어기와 서버 사이에 신호 전송을 제어한다.
본 발명의 이러한 특징 및 장점은 본 발명의 예를 나타내는 이하의 도면, 상세한 설명 및 첨부된 청구항에 의해 보다더 이해될 것이다.
본 발명은 처리 챔버 및 엔드포인트 검출 장치 및 기판 처리의 종결 또는 변경시에 얻어지는 잘못된 또는 오류 신호 또는 호출의 수 및 그 비율을 감소시킬 수 있는 방법에 관한 것이다. 이하 설명 및 첨부되는 도면은 본 발명의 실시예를 나타내는 것으로 발명을 한정하는 것은 아니다. 따라서, 설명 및 도면은 본 발명의 예시적 특징을 나타내는 것이나, 각 특징은 일반적으로 본 발명에서 사용될 수 있는 것으로, 단지 특정 도면만 사용되는 것은 아니며, 본 발명은 이들 특징의 다른 조합을 포함한다.
본 발명의 기판 처리 및 엔드포인트 검출 시스템은 반도체 기판상에 집적 회로를 제조하는데 유용하며 반도체, 유전체, 또는 도전층, 특히 기본적인 실리콘 또는 실리콘 혼합물일 수 있는 실리콘을 함유한 물질을 포함하는 층을 에칭하는데 특히 유용하다. 이러한 층들은 서로 중첩되어, 상부 두꺼운 실리콘을 함유한 층을 에칭하고 얇은 하부의 실리콘을 함유한 층을 에칭하지 않고 에칭 공정을 중단시키는 것을 어렵게한다. 유전체층은, 예를 들어, 이산화 실리콘, 도핑되지 않은 실리케이트 글라스, 포스포실리케이트 글라스(PSG), 보로포스포실리케이트 글라스(BPSG), Si3N4, 또는 TEOS 증착된 글라스를 포함하고; 반도체층은, 예를 들어, 폴리실리콘 또는 실리콘을 포함하고, 금속 함유 층은 알루미늄, 구리, 텅스텐 실리사이드 및 코발트 실리사이드를 포함한다. 도 1a 및 1b는 본 발명의 공정을 사용하여 에칭될 수 있는 기판(20)의 예시적 구조를 나타낸다. "기판"은 지지체 구조 및 상부층을 포함하는 전체 구조를 의미한다. 예를 들어, 기판(20)은 도핑된 실리콘 영역(26a, 26b)을 갖는 실리콘 웨이퍼(22)와 약 10 내지 약 300Å의 두께를 갖는 상대적으로 얇은 상부 이산화 실리콘(게이트 산화물)층(28)을 포함한다. 폴리실리콘층(30) 및 TiN 반사방지층(32)이 게이트 산화층(28) 위에 증착된다. 포토레지스트 및/또는 하드 마스크(전형적으로 이산화 실리콘 또는 질화 실리콘)를 포함하는 패턴화된 레지스트 픽춰(34)가 에칭을 위해 기판(20)의 노출부에서 종래의 포토리소그라픽 방법에 의해 형성된다. 본 발명의 공정은 반도체 장치의 제조에서 예로 실리콘을 함유한 층을 에칭하는 방법을 나타내나, 본 발명은 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), 산화, 질화 및 증발과 같은 다른 공정에서 사용될 수 있고, 본 명세서에 제공된 예로 한정되지 않는다.
본 명세서에서 모두 참조로 하고 있는, 캘리포니아의 산타클라라에 있는 Applied Materials Inc.에서 상업적으로 시판되는 미국 특허 출원 번호 08/767,071호 및 08/597,445호에 개시된 적절한 처리 챔버로, 도 2에 개략적으로 도시된 반도체 처리 장치(40)에서 기판(20)이 에칭된다. 장치(40)는 기판(20)을 처리하는 처리 영역(44)을 갖는 처리 챔버(42), 및 처리 영역에 기판을 보유하는 정전척과 같은 지지체(46)를 포함한다. 처리 챔버(42)의 실링(ceiling)(60)은 평탄하거나 또는 직사각형 형상, 아치형, 원뿔형, 돔 형상, 또는 다양한 반경의 돔 형상일 수 있다. 처리 가스 공급기 및 가스 흐름 제어 밸브를 포함하는 가스 흐름 제어 시스템을 포함한느 가스 분배 시스템을 통해 처리 가스가 챔버(42)로 주입된다. 가스 분배 시스템(48)은 기판(20)의 주변부에 또는 주변부 부근에 위치된 가스 배출구(50)(도시됨), 또는 시스템내에 출구와 챔버(42)의 실링 상에 장착된 샤워헤드(도시되지 않음)를 포함할 수 있다. 소모된 처리 가스 및 에천트 부산물은 챔버(42)에서 약 10-3mTorr의 최소 압력(전형적으로 로핑(roughing) 1000 liter/sec 펌프 및 1000 내지 2000 liter/sec 터보모리큘러 펌프)을 달성할 수 있는 배기 시스템을 통해 처리 챔버(42)로부터 배출된다. 트로틀 밸브(54)가 소모된 처리 가스의 흐름과 챔버(42)에서의 처리 가스의 압력을 제어하도록 배기 시스템(52)에 제공된다.
플라즈마는 챔버(42)의 처리 영역(44)(도시됨) 또는 처리 챔버에 인접한 원격 영역(도시되지 않음)에서 가스와 전자석 에너지가 결합되는 플라즈마 발생기(56)에 의해 처리 가스로부터 발생된다. 원격 영역은 전형적으로 챔버(42)의 상류부에 있는 원격 챔버(도시되지 않음)에 있다. 예를 들어 플라즈마 발생기(56)는 예로서, 본 명세서에서 참조로하는 미국 특허 출원 번호 08/648,254호에 개시된 챔버(42)의 중심부로 연장되고 기판(20)의 평면과 수직인 세로가 수직인 축과 일치하는 중심축을 갖는 원형의 대칭인 인덕터 코일을 포함하는 인덕터 안테나(58)이다. 인덕터 안테나(58)가 실링 부근에 위치되는 경우, 챔버(42)의 실링은 전자계에서 투과적이고 전기적 유전체 물질인, 산화 알루미늄과 같은 유전체 물질을 포함한다. 인덕터 안테나(58)에 인가된 RF 전압의 주파수는 전형적으로 약 50KHz 내지 약 60MHz, 보다 바람직하게는 약 13.56MHz이고; 안테나에 인가된 RF 전압의 전력 레벨은 약 100 내지 약 5000 Watt이다. 인덕터 안테나(58)외에, 1개 이상의 처리 전극(도시되지 않음)이 챔버(42)에서 플라즈마 이온을 가속 또는 활성화시키기 위해 사용될 수 있다. 처리 전극은 전형적으로 전기적으로 접지된 챔버(42)의 벽 부분을 기준으로 전기적으로 바이어스된 지지체(46)의 전도성 부분을 포함한다. 전극은 챔버에서 가스를 발생 또는 활성화시키는 용량성의 전자계를 형성하도록 서로 용량적으로 결합된다.
또한 챔버(42)는 챔버(42)가 동작하는 동안 연속적으로 검출, 모니터링 또는 공정이 기판(20) 상에서 행해지는 동안-챔버(42)에서 공정 조건을 모니터링하는 사용되는 1개 이상의 검출기 또는 센서(228)를 포함한다. 예를 들어 검출기는 제한되지 않고, 포토멀티플라이어 또는 광학적 검출 시스템(110)와 같은 방사선 감지장치; 압력 게이지(95), 예를 들어 압력계와 같은 가스 압력 감지 장치; 열전대 또는 RTD와 같은 온도 감지 장치(96); 챔버 부품에 인가된 전류 및 전압을 측정하기 위한 전류계(97) 및 전압계(98); 또는 공정 조건을 측정할 수 있는, 챔버(42)에서 공정 조건을 측정하고 전기적 신호와 같은 출력 신호를 제공할 수 있는 다른 장치를 포함한다.
"공정 조건"은 챔버에서 행해지는 공정 전후 또는 그 동안 챔버(42)에서 발생하는 어떠한 현상을 의미하며, 공정 파라미터 및/또는 공정 변수를 포함할 수 있다. "공정 파라미터"는 챔버(42) 또는 챔버 부품의 특징을 적어도 부분적으로 설정가능하거나 또는 적어도 부분적으로 제어가능한 임의의 파라미터 세트를 의미하며, 그 값은 챔버(42)에서 행해지는 공정의 특징 및 특성을 결정하며, 제한되지 않고 전력 소스, 순방향 RF 전력, 반사된 RF 전력, RF 매치 성분(로드(load) 및 튠(tune) 위치), RF 피크 대 피크 전압 및 전류와 위상 성분, DC 바이어스, 챔버 압력 및 트로틀 밸브 위치, 가스 조성 및 흐름비, 기판 온도 및 조성물, 챔버 부품 또는 벽 온도, 자석 제한 레벨 또는 자석 위치 등을 포함한다. "공정 변수"는 공정 파라미터 및 공정 상태와 관련하여 변하는 측정가능한 다양한 세트의 값을 의미하며, 제한되지 않고 가스를 구성하는 화학적 방출 스펙트럼에 따라 좌우될 수 있는 챔버내에서 활성화된 가스 또는 플라즈마에 의해 방출된 방사선 파장, 반사된 방사선의 세기 또는 위상과 같은, 기판(20)으로부터 반사된 방사선의 특성, 챔버(42)내에서의 플라즈마의 반사된 전력 레벨, 챔버(42)에서의 온도, 또는 다른 공정 현상을 포함한다. 이러한 목록은 소모적이며 독점적인 것은 아니다.
챔버(42) 내의 검출기에 의해 측정된 공정 조건은 전기적 신호로서 제어기(200)로 전송된다. 비록, 제어기(200)를 본 발명의 설명을 간략화시키기 위해 예시적으로 단일 제어 장치에 의해 도시되었으나, 제어기(200)는 챔버(42)의 상이한 부품들에 연결될 수 있는 1개 이상의 또는 다수의 장치들과 연결될 수 있는 다수의 제어기 장치들일 수 있다; 따라서, 본 발명은 본 명세서에서 설명 및 예시적 실시예에 한정되지 않는다.
일 실시예에서, 제어기(200)는 도 3의 블록도에 개략적으로 도시된 것처럼, 챔버(42) 및 챔버의 주변 부품들을 작동시키기에 적합한 집적 회로를 포함하는 전기적 회로를 포함하는 전자 하드웨어를 포함한다. 일반적으로, 제어기(200)는 데이터 입력을 수신하며, 알고리즘을 실행시켜, 유용한 출력 신호를 산출하여, 검출기 및 다른 챔버 부품으로부터 데이터 신호를 검출하고, 챔버(42)에서의 공정 조건을 모니터링하고 제어하는데 사용될 수 있다. 예를 들어, 제어기(200)는 (i) 주변 제어 부품을 갖춘 메모리 시스템에 상호연결된 중앙 처리 장치(CPU)(210)를 포함하는 컴퓨터, (ii) 챔버(42)의 특정 부품을 작동시키는 응용 주문형 집적회로(ASIC), 및 (iii) 적절한 지지 회로와 함께 1개 이상의 제어기 인터페이스 보드(201)를 포함할 수 있다. 전형적인 중앙 CPU(210)은 파워PCTM, 펜티엄TM, 및 다른 처리기를 포함한다. ASIC은 챔버로부터의 데이터 및 다른 정보의 복구(retrieval), 또는 특정 챔버 부품의 동작과 같은 특정 태스크를 위해 디자인되고 예비프로그램된다. 제어기 인터페이스 보드(201)는 특정 신호 처리 태스크, 예를 들어, 공정 검출 및모니터링 시스템(110)으로부터의 신호(121)를 처리하고 중앙 처리 장치(CPU)(210)로 데이터 신호를 제공하기 위하여 사용된다. 전형적인 지지 회로(202)는 예를 들어, 코프로세서, 클록 회로, 캐시, 전원 장치 및 CPU(210)와 관련된 다른 공지된 부품들을 포함한다. 예를 들어, CPU(210)는 임의 접근 메모리(RAM)(211), 판독 전용 메모리(ROM)(212) 및 기술상 공지된 다른 저장 장치(214)와 함께 동작한다. RAM(211)은 공정을 실행하는 동안 본 발명의 소프트웨어 실행을 저장하는데 사용될 수 있다. 본 발명의 프로그램 및 서브루틴은 전형적으로 대용량 저장 장치(214)에 저장되고 CPU(210)에 의해 실행되는 경우 RAM(211)에서 임의 기억장치에 대해 재호출된다.
CPU(210)의 출력은 표시 장치(218) 또는 다른 통신 장치를 구동시키는 표시 장치(215)로 전달된다. 입력 장치(205)는 작업자가 동작을 제어하거나 제어기(200)에서 소프트웨어를 변경시키기 위해 제어기(200)속으로 데이터를 입력하게 한다. 예를 들어, 작업자와 컴퓨터 시스템 사이의 인터페이스는 도 2에 도시된 것처럼, CRT 모니터(165) 및 광펜(170)일 수 있다. 광펜(170)은 광펜(170)의 팁에 광센서를 갖추고 있어 CRT 모니터(165)에 의해 방출된 광을 검출한다. 특정 스크린 또는 기능을 선택하기 위해서, 작업자는 CRT 모니터(165)의 지정 영역을 터치하고 펜(170)의 버튼을 누른다. 접촉된 영역은 컬러가 변하거나 또는 새로운 메뉴 또는 스크린이 광펜과 CRT 모니터(165) 사이의 통신을 확인하도록 표시된다. 키보드, 마우스 또는 포인팅 통신 장치와 같은 다른 장치들이 제어기(200)와 사용될 수 있다. 또한 CPU(210)는 이하 설명되는 것처럼 다른 제어 신호(301)를 발생시킬 수 있다.
본 발명의 소프트웨어 실행 및 컴퓨터 프로그램 코드 프로덕트는 플로피 디스크 또는 하드 드라이브와 같은 메모리 장치에 저장 될 수 있고, 제어기(200)에 의해 실행되는 동안 RAM으로 호출될 수 있다. 컴퓨터 프로그램 코드는 예를 들어, 어셈블리 언어, C, C++, 또는 파스칼과 같은 종래의 컴퓨터 판독가능 프로그래밍 언어로 기록될 수 있다. 적절한 프로그램 코드는 단일 파일, 또는 다중 파일로 기입되며, 종래의 텍스트 편집기를 사용하고 컴퓨터 시스템의 메모리와 같은 컴퓨터 사용가능 매체에 저장 또는 내장된다. 기입된 코드 텍스트가 하이 레벨 언어인 경우, 코드는 프리컴파일된 윈도우 라이브러리 루틴의 목적 코드와 링크된 컴파일러 코드에서 컴파일된다. 링크되고 컴파일된 목적 코드를 실행시키기 위해서, 시스템 사용자는 목적 코드를 호출하여, 컴퓨터 시스템이 컴퓨터 프로그램에서 확인된 태스크를 실행시키기 위해서 메모리에 코드를 로드시키게 된다.
전형적으로, 컴퓨터 프로그램 코드는 타이밍, 처리 가스 조성물, 챔버 압력 및 온도, 챔버(42) 내의 RF 전력 레벨, 전극 위치결정, 및 처리 챔버(42)의 다른 공정 파라미터를 나타내는 1개 이상의 컴퓨터 명령 세트를 포함한다. 또한 컴퓨터 프로그램 명령은 플라즈마 발생기에 연결된 에너지의 전력 레벨, 챔버(42)로 주입된 가스의 흐름 레벨 및 조성, 및 신호 및 표시 장치의 셋팅을 제어한다. 도 4에 도시된 것처럼, 컴퓨터 프로그램 코드의 바람직한 형태는 작업자가 공정 방법을 기입하고 선택하도록 허용하고, 선택된 처리 챔버(42)에서 공정 방법의 작동 및 처리 챔버(42)에서 챔버 부품을 작동시키고 특성을 관리하기 위한 챔버 매니저 프로그램코드(180)을 실행시키는 공정 선택기 및 시퀀서 프로그램 코드(175)와 같은 프로그램 코드 명령의 다중 세트를 포함한다. 태스크 세트를 수행하는 개별적 프로그램 코드를 나타냈지만, 이러한 프로그램 코드는 일체화될 수 있거나, 또는 다른 프로그램 코드의 태스크와 일체화된 프로그램 코드중 하나의 태스크가 원하는 태스크 세트를 제공할 수 있다. 따라서, 본 명세서에 개시된 제어기(200) 및 프로그램 코드는 본 명세서에 개시된 프로그램 코드의 특정 예로 제한되지 않거나 또는 본 명세서에 도시된 것처럼 고정되지 않고, 다른 세트의 프로그램 코드 또는 등가의 기능을 수행하는 컴퓨터 명령이 본 발명의 범주내에서서 제공된다.
동작시에, 사용자는 비디오 인터페이스 단자(165)를 경유하여 공정 선택기 프로그램 코드로 공정 세트 및 처리 챔버 수를 기입한다. 공정 세트는 챔버(42)에서 특정 공정을 실행시키기 위해 필요한 공정 파라미터로 구성되고, 예정된 세트 수에 의해 확인된다. 공정 선택기 프로그램 코드(175)는 원하는 처리 챔버, 및 특정 공정을 수행하기 위한 처리 챔버를 작동시키기 위해 요구되는 원하는 공정 파라미터 세트를 확인한다. 공정 파라미터는 예를 들어, 처리 가스 조성 및 흐름비, 챔버 온도 및 압력, 마이크로웨이브 또는 RF 바이어스 전력 레벨 및 자계 전력 레벨과 같은 플라즈마 파라미터, 냉각 가스 압력, 및 챔버벽 온도를 포함한다.
공정 선택기 프로그램 코드(175)는 공정 선택기 프로그램 코드(175)에 의해 예정된 공정 세트에 따라 상이한 처리 챔버에서 다수의 공정 태스크를 제어하는 챔버 매니저 프로그램 코드(180)로 특정 공정 세트 파라미터를 통과시킴으로써 공정 세트가 실행된다. 예를 들어, 챔버 매니저 프로그램 코드(180)는 기판(20)을 에칭하거나 또는 챔버(42)내의 기판 상에 물질을 증착시키기 위한 프로그램 코드를 포함한다. 챔버 매니저 프로그램 코드(180)는 챔버 부품의 동작을 제어하는 다양한 챔버 부품 프로그램 코드 명령 세트의 실행을 제어한다. 챔버 부품 제어 프로그램 코드의 예로는 지지체(46)상에서 기판(20) 적재 및 제거하는 로봇 부품을 제어하는 기판 위치결정 명령 세트, 챔버(42)로 공급된 처리 가스의 조성 및 흐름비를 제어하는 처리 가스 제어 명령 세트, 및 트로틀 밸브(54)의 개구부 크기를 설정하는 압력 제어 명령 세트, 및 플라즈마 발생기(56)의 전력 레벨을 제어하는 플라즈마 제어 명령 세트를 포함한다. 동작시에, 챔버 매니저 프로그램 코드(180)는 실행되는 특정 공정 세트에 따라 챔버 부품 명령 세트를 선택적으로 호출하고, 챔버 부품 명령 세트를 예정하고, 다양한 챔버 부품들의 동작을 모니터하고, 실행되는 공정 세트에 대한 공정 파라미터에 기초하여 동작하는데 필요한 부품을 결정하고, 챔버 부품 명령 세트의 실행이 모니터링 및 결정 단계에 반응하도록 한다. 플라즈마 발생기 프로그램 코드(191)는 플라즈마 발생기(56)를 작동시키기 위해 인가된 소스 또는 바이어스 전력의 레벨을 조절하기 위한 프로그램 코드 명령 세트를 포함한다. 가스 프로그램 코드(192)는 1개 이상의 가스 밸브의 개구부를 조절함으로써 챔버를 지나는 가스 조성 및 흐름 레벨을 제어하기 위한 프로그램 코드 명령 세트를 포함한다. 도 4는 단지 프로그램 코드 구성의 예를 나타낸다. 장치(40)는 챔버에서 수행되는 공정의 엔드포인트를 검출하는 엔드포인트 검출 시스템(100)을 더 포함하며, 이는 컴퓨터 시스템과 관련하여 작동하고 적어도 부분적으로는 컴퓨터 시스템에 속한다. 일반적으로, 엔드포인트 검출 시스템(100)은 처리 챔버(42)에서 수행되는 공정의 공정 변수를 검출하고 모니터링하고 선택적으로, 공정의 스테이지를 결정하도록 수집된 정보를 분석하는 1개 이상의 검출기(228)를 포함한다. 엔드포인트 검출 시스템(100)은 플라즈마 방출 모니터링 시스템(110) 및 컴퓨터, 바람직하게 제어기(200)를 포함한다. 모니터링 시스템(110)은 처리 챔버(42)에서 활성화된 가스로부터 방출되거나 또는 방사된 광과 같은 방사선의 세기 데이터를 전송할 수 있 종래의 광섬유 케이블(101)에 의해 처리 챔버(42)에 연결된다. 예를 들어, 엔드포인트 검출을 위한 광학적 방출 검사는 본 명세서에서 참조로하는, Stanley Wolf 등에 의한, Lattic 출판사(1986)의, Silicon Processing for the VLSI Era 1권, Process Technology의 16장에 개시되어 있다. 엔드포인트 검출 방법의 예는 본 명세서에서 참조로하는, "Method and Apparatus for Monitoring Process Using Multiple Parameters of a Semiconductor Wafer Processing System"이란 제목으로 1997년 5월 2일 출원된 공동 소유로 양도된 미국 특허 출원 번호 제 08/854,508호에 개시되어 있다.
일반적으로, 광섬유 케이블(101)은 도 5에 도시된 것처럼, 챔버로부터 단색광추출장치(111)로 활성화된 가스 또는 플라즈마의 특성을 전달한다. 스텝퍼 모터(112)는 단색광추출장치(111)에 대한 파장 선택을 제어하고, 교정 램프(113)는 단색광추출장치(111)를 교정한다. 단색광추출장치(111)는 광섬유 케이블(101)에 의해 운반된 광신호를 고전압 전력 소스(115)에 의해 전력이 가해진 광전배증관 튜브(114)에 의해 증폭된 전기 신호로 전환시킨다. 광전배증관 튜브(114)의 출력은 단색광추출장치 인터페이스 보드(116)에 연결된다. 단색광추출장치 인터페이스 보드(116)는 스텝퍼 모터(112)에 연결되어 기판(20) 상에서 행해지는 공정을 모니터링하기 위한 특정 파장의 선택 예를 들어 에칭 공정을 위한 엔드포인트를 검출한다. 스텝퍼 모터(112)는 파장을 선택하기 위해서 단색광추출장치(111) 내의 간섭 그리드의 위치를 제어한다. 또한 인터페이스 보드는 특정 파장에서 단색광추출장치(111)를 교정하도록 교정 램프(113)에 연결된다. 인터페이스 보드는 광 방출 검출 시스템(110)으로부터 출력 신호를 운송하는 케이블에 연결된다. 선택적으로 단색광추출장치에 기초한 시스템은 본 명세서에서 참조로하는, 1997년 2월 13일 출원된 미국 특허 출원 번호 제 08/800,003호에 개시된 시스템과 같은 광대역폭 광자 검출기 시스템으로 대체가능하다.
광학적 방출 시스템 데이터(121)는 제어기 인터페이스 보드를 갖는 전자 제어기를 통해 컴퓨터 제어기 시스템(200)에 인가된다. 제어기(200)는 광학적 방출 시스템 데이터(121)를 분석하고 공정 엔드포인트를 정확하게 결정하기 위해 이하 설명되는 것처럼 공정 파라미터(131)를 모니터링한다. 엔드포인트를 검출을 수행하는 하드웨어는 캘리포니아 산타클라라의 Applied Materials, Inc.로부터 시판된다. 광섬유 케이블(101)은 부품 번호 0190-09134로서 시판되며, 단색광추출장치(111)는 부품 번호 0010-09935, 전자 제어기는 부품 번호 0240-10475 그리고 제어기(200)는 부품 번호 0240-32585가 시판된다.
에칭 및 엔드포인트 검출 공정
본 발명의 원리를 따른 기판 처리 및 엔드포인트 검출 방법의 예를, 예시적에칭 공정을 참조로 설명하며, 게이트 산화(이산화 실리콘) 하부층(28) 상에 있는 폴리실리콘 상부층(30)이 하부층을 에칭 또는 손상시키지 않고 에칭된다. 기판(20)은 슬릿 밸브를 통해 로드락 전송 챔버(42)로부터 로봇 암에 의해 챔버의 처리 영역(44)으로 전송된다. 기판(20)은 정전척에 의해 지지체(46) 상에 유지되고 기판의 온도를 제어하기 위해 척에 있는 개구부를 통해 헬륨이 공급된다. 다음, 처리 챔버(42)에서의 공정 파라미터는 기판(20)상에 있는 층을 처리하도록 설정되며, 공정 파라미터는 1개 이상의 처리 가스 조성 및 흐름비, 가스 에너자이저의 전력 레벨, 가스 압력, 및 기판 온도를 포함한다. 또한 공정은 다중 스테이지에서 행해질 수 있고, 예를 들어 각 스테이지는 상이한 공정 조건을 갖는다. 예를 들어, 에칭 공정에 있어서, 기판(20)을 에칭하기 위한 에천트 가스를 포함하는 1개 이상의 조성의 처리 가스가 가스 분배기를 통해 챔버(42)로 주입된다. 기판(20)상에서 층을 에칭하기 위한 적절한 에천트 가스는 예를 들어, HCl, BCl3, HBr, Br2, Cl2, CCl4, SiCl4, SF6, F, NF3, HF, CF3, CF4, CH3F, CHF3, C2H2F2, C2H4F6, C2F6, C3F8, C4F8, C2HF5, C4F10, CF2Cl2, CFCl3, O2, N2, He 및 이들의 혼합물을 포함한다. 챔버(42)는 전형적으로 약 0.1 내지 약 40mTorr 범위의 압력을 유지한다. 에천트 가스 조성은 하부층을 기준으로 상부층을 에칭하기 위한 높은 에칭비 및/또는 높은 에칭 선택비를 제공하도록 선택된다. 다수의 층이 연속적으로 에칭되는 경우, 각각의 특정층을 에칭하기 위해 챔버(42) 속으로 제 1, 제 2, 제 3의 에천트 가스 조성이 연속적으로 주입될 수 있다.
처리 영역(44)에서의 처리 가스는 기판(20) 상에 있는 층을 에칭하기에 적합한 제 1 공정 플라즈마 파라미터로 활성화되고 유지된다. 도 2를 참조로, 플라즈마는 챔버(42)의 처리 영역(44) 속으로 유도 및/또는 용량 결합 에너지에 의해, 또는 처리 영역으로부터 원격 위치에 있는 원격 챔버(도시되지 않음)의 원격 영역에 있는 에천트 가스에 마이크로파를 인가함으로써 플라즈마 발생기(56)를 사용하여 에천트 가스로부터 활성화된다. "활성화된 처리 가스"는, 1개 이상의 분리된(dissociated) 종, 비분리된 종, 이온 종, 및 중성 종이 화학적으로 보다 반응하는 높은 에너지 상태에서 여기될 수 있도록 활성화 또는 에너지화된 것을 의미한다. 바람직하게, 처리 가스는 챔버(42)를 둘러싸는 인덕터 안테나(58)에 RF 소스 전류를 인가하고 선택적으로 처리 전극에 RF 바이어스 전압을 인가함으로써 활성화된다. 에천트 플라즈마 또는 활성화된 가스는 챔버(42)로부터 배출된 휘발성 가스종을 형성하여 기판(20)상에서 층을 에칭한다.
주어진 두께의 층이 처리된 후, 엔드포인트 검출 방법은 층 두께 및 챔버(42)에서의 공정 조건 변화를 검출하는데 사용된다. 에칭 공정에서, 엔드포인트 검출 방법은 기판(20)의 처리를 제어하는데 사용되며, 예를 들어 층을 처리를 종결시키기 위해 또는 특정 에칭비 또는 에칭 선택비를 제공하도록 처리 가스 조성물을 변화시키는데 사용될 수 있다.
사용할 때에, 처리 챔버, 예를 들어 플라즈마 에칭 챔버는 세기(I)를 갖는 광과 같은 방사선을 방출하며, 이는 적절한 작동 챔버로 뚜렷한 세트 범위내로 유지되어 처리 챔버가 순서대로 작용하는지를 추정하고 램핑 업 및 램핑 다운을 제외한다. 이러한 경우에 방사선 세기의 측정은 공정의 엔드포인트를 정확한 검출을 제공한다. 그러나, 일정 동작 조건- 이를테면, 공정 파라미터 및 공정 변수가 처리 챔버에서 이들의 전형적인 또는 표준값에서 크게 변하는 경우, 방사선 세기는 크게 변하게 되며 측정 시스템은 데이터 판독시에 오류를 발생시킬 수 있다. 이러한 조건은 제한되지 않으며 예를 들어 소스 전력, RF 전력, 순방향 RF 전력, 반사된 RF 전력, RF 피크 대 피크 전압 및 전류 및 위상, RF 로드 위치, RF 튠 위치, 챔버 압력, 가스 흐름비, 웨이퍼 뒤쪽을 냉각시키기 위한 가스 흐름, 트로틀 밸브 위치, 파장, 노출된 산화물 영역, 자계 세기, 에칭비, DC 바이어스, 헬륨 누설비, 및 처리 챔버 부근에서의 이들의 변수 및 파라미터를 포함한다.
방사선 세기(I)는 이러한 조건 또는 파라미터의 함수이다. 설명을 위한 예로, 세기(I)는 파장, RF 전력, 챔버 압력 및 가스 흐름비의 함수로 간주될 수 있고 I=I(λ,R,P,F1,F2,F3)로 표시될 수 있다. 물론 조건의 수 또는 파라미터를 고려할 수 있고, 장치의 정확성은 보다 많은 파라미터가 고려되어 개선될 수 있다. 고정된 파장(λ)에서, 본 예에 대한 제 1차 Taylor 전개식은,
을 나타낸다.
여기서 △X/X (X=I,R,P,F1,F2,F3)는 상대적 변화이며, AX는 응답 계수이다. 특정 응용에서, 이러한 공정 변수는 기판을 처리하는 동안 세기(I), 즉 광학적 방출 신호를 변동을 야기시키는 변화량을 나타낸다. 이러한 변화량은 엔드포인트 검출 시스템(100)에 의해 엔드포인트가 도달되었다는 잘못된 엔드포인트 신호 및 잘못된 표시로 인해 야기될 수 있다. 에칭은 조기에 종결되거나 또는 변경되어, 충분하지 못한 에칭이 이루어지거나 또는 종결시키가 너무 늦어져 기판(20) 상에서 하부층으로의 원치않고 제어불가능한 에칭이 이루어질 수 있다. 유사하게, 엔드포인트 검출 시스템에 의해 제어되는 증착 또는 다른 공정 단계가 공정에서 이상적 스테이지 외에서 종결되거나 변경될 수 있다.
본 발명의 일실시예에서, 이러한 공정 조건은 플라즈마로부터 방출되고 공정의 상이한 스테이지 단계동안 변화되는 예정된 파장을 갖는 방사선 세기에 기초하여, 광학적 방출 신호와 같은 엔드포인트 검출 결정을 나타내는데 사용된다. 공정 변수 및 방사선 방출 신호는 예정된 알고리즘으로 분석된다. 공정 조건 신호에서 비정상적인 변화가 방사선 방출 신호가 엔드포인트를 나타냄과 동시에 발생되는 경우, 제어기(200)는 잘못된 또는 장애 엔드포인트 신호 또는 메시지를 나타낸다. 공정 조건 신호에서의 비정상 변화는 기입된 시간 윈도우 내에서 알고리즘에 예정된 경계를 초과하는 신호에서의 변화로서 한정된다.
제어기(200)는 플라즈마(121)의 방출 세기와 관련된 데이터를 수신하고 예를 들어, 종래의 센서(228)에 의해 공정 조건(131)을 모니터링한다. 예를 들어, 순방향 전력, 반사된 전력, DC 바이어스, RF 매치 로드, 튠 위치, 및 챔버 압력 신호, 가스 흐름비 신호, 및/또는 트로틀 밸브 위치 신호와 같은 RF 변수 및 신호, 챔버 압력 신호가 수신되고 모니터링된다. 제어기(200)는 이러한 신호를 분석하기 위해 사용되는 소프트웨어 알고리즘을 제공하는 컴퓨터 시스템을 포함할 수 있다. 방출 세기 신호(121) 및 모니터링된 전체 또는 임의의 수의 공정 챔버 조건 신호(131)는엔드포인트가 도달하는 시기를 정확하게 결정하도록 알고리즘에 의해 분석된다. 예를 들어 기판(20)상에 층을 에칭 또는 증착시키기 위한 엔드포인트가 처리공정의 완성을 나타내거나, 또는 발생하는 특정량의 처리 과정을 나타낼 수 있다. 이를 테면, 일부 에칭 공정에서는 하부층을 덮는 박막층 남겨두는 것이 바람직하다. 이러한 상태에서는, 층을 완전히 에칭하기 전에 엔드포인트 신호를 나타내는 것이 바람직하다. 다른 예로서는, 제 1 공정 조건으로 제 1 두께로 층을 에칭(또는 증착)하고 제 2 공정 조건으로 나머지 또는 제 2 두께로 층을 에칭(또는 증착)하는 것이 바람직하다. 다른 예로써, 제 2 조건은 느린 비율의 보호 에칭(또는 증착)일 수 있고 또는 제 1 및 제 2 공정 조건중 하나는 기판(20) 상에 있는 층을 처리하는 동안 공정 챔버를 동시적으로 세척하기 위한 세정 가스를 포함할 수 있다.
모든 데이터는 도 6a, 6b, 7 및 7a에 도시된 것처럼 예정된 알고리즘으로 분석된다. RF 성분과 같은 공정 파라미터와 같은 특정한 공정 조건은 기판(20) 상에 있는 층을 처리하는 실시간 동안 내내 계속적으로 모니터링된다. 예를 들어, 측정된 RF 성분 전력의 값을 표시하는 신호(131a)가 모니터링된다(230a). 모니터 조건은 허용가능한 예정된 값(231a)의 예정된 범위와 챔버에서 행해지는 공정 타입에 대해 표준 범위내에서 비교된다. 예정된 값(231a)은 챔버에서 행해지는 전형적인 공정단계 동안 정규적으로 변동되는 공정 조건을 통해(또는 공정의 통계학적으로 관련된 모집단(population)으로부터) 범위 값을 모니터링함으로써, 또는 공정 조건의 한계값에 기초하여 특정 공정 조건의 정규 노이즈 변화를 고려하여 허용가능한 범위값 또는 공칭 값을 계산함으로써 실험적으로 결정될 수 있다. 제어기(200)는공정 조건을 모니터링하고 예정된 값들의 범위 밖으로 공정 조건이 벗어나는 경우(모니터링되는 조건이 적절히 동작하지 않는 것을 나타내는 경우), 제어기(200)는 오류 또는 경고 플래그(232a)를 나타낸다. 모니터링은 계속되며 모니터링된 조건이 예정된 범위내에 있을때까지 표시된 플래그가 남아있는다. 모니터링된 조건이 허용되는 범위내에 있는 경우, 오류 또는 경고 플래그가 표시되지 않거나(233a), 또는 토글형 플래그가 사용되는 경우, 이전에 표시된 오류 또는 경고 플래그가 사라진다. 도 6b는 공정 파라미터와 같은 DC 바이어스 또는 챔버 압력 또는 트로틀 밸브 위치와 같은 다른 공정의 작동 레벨을 결정하기 위해 동일한 형태의 공정이 행해지는 방법을 나타낸다. 제 2 공정 조건을 나타내는 신호(131b)는 모니터링되고 상기 제 2 공정 조건에 대해 예정된 값(231b)의 범위를 비교한다. 오류 플래그 또는 오류 신호는 도 6a와 관련하여 상기 설명된 것처럼 제 1 공정 조건과 유사한 형태로 표시되거나(232b) 또는 표시되지 않는다(233b)(또는 사라진다). 제 3, 제 4, 제 5 등등의 조건은 예정된 레벨에서 동작하지 않는 경우 유사하게 모니터링되고 플래그를 나타낸다. 각각의 신호(131a, 131b,...)와 관련된 알고리즘(231a, 231b,...)을 갖는다. 알고리즘은 디지털 필터 및 매커니즘 트리거링 이벤트를 포함한다. 오류 또는 경고 플래그(232a, 232b,...)는 변수를 제외하고 트리거된다. 그렇지 않다면 오류 또는 경고 플래그(232a, 232b,...)는 제로(233a, 233b, ...)로 설정된다. 주목할 것은 공정은 조건이 예정된 값내에 있는 경우 비오류 신호 또는 플래그를 나타냄으로써 작동가능하다는 것이다.
모니터링되는 선택된 조건 수에 따라 공정 모니터링의 정밀도가 개선된다.예를 들어, 공정은 RF 성분 또는 DC 바이어스와 같은 신호 공정 조건을 모니터링함으로써 모니터링될 수 있다. 그러나, 2 또는 3개의 조건처럼 다수의 공정 파라미터 및 변수의 동시적 모니터링은, 예를 들어, RF 전력 및 챔버 압력을 모니터링함으로써; 또는 RF 전력, 챔버 압력 및 가스 흐름비를 모니터링함으로써 달성된다. 다수의 공정 조건의 모니터링은 다수의 공정 조건 모두에 대해 정당한 챔버 동작 조건의 측정(determination)(엔드포인트와 같은)을 제공한다. 따라서, 다수의 공정 조건에 기초한 동작 조건 측정은 단지 한 개의 공정 조건만이 검출되거나 또는 모니터링되고 다른 관련 공정은 검출되거나 또는 모니터링되지 않는 측정 보다는 보다더 효율적이다(또는 보다 정확하다). 따라서, 적절히 선택된 이중 또는 삼중의 공정 모니터링 조건은 엔드포인트 측정을 크게 개선시키고 잘못된 엔드포인트 신호 수를 감소시킨다.
Applied Materials MxP+ 산화 챔버에서 행해지는 산화 에칭 공정으로부터의 광학적 방출 스펙트럼을 나타내는 도 14에 방출 세기에 따른 RF 전력의 효과를 도시한다. 하부 트레이스는 900W의 RF 전력에 해당하는 방출 스펙트럼이며, 상부 트레이스는 1000W로 RF 전력이 증가한 경우에 형성된다. 여기서, 방출 세기의 증가는 단지 RF 전력에서의(공정 파라미터) 변화로 인한 것이며 실제 엔드포인트의 정확한 표시는 아니다. 따라서, 단지 공정 엔드포인트 지표로서 방출 세기 변화만에 의존하여, 에칭과정 동안 RF 전력을(또는 다른 예외적인 변수) 동시적으로 모니터링하지 않는다면, RF 변동(fluctuation)으로부터 야기되는 에칭 엔드포인트처럼 방출 세기 변화가 오역될 수 있다. 반대로, 방출 세기와 함께 RF 전력 또는 다른 조건들을 모니터링 함으로써, 본 발명은 잘못된 엔드포인트를 방지하기 위한 제어 이벤트를 제공하는 방법을 제공한다.
트로틀 밸브 위치 및 가스 흐름과 같은 다른 공정 조건들이 본 구성의 범위내에서 포함될 수 있다. 공정 조건으로부터의 모든 데이터는 예정된 알고리즘 또는 한계치로 분석된다. 예를 들어, 공정 조건은 이들 각각의 예정된 한계치를 기준으로 임의의 변화를 검출하도록 모니터링된다. 임의로 검출된 한계치로부터의 비-제로 편차에 대해, 편차는 이들 공정 조건 각각에 대해 계산될 수 있다. 편차는 미분, 소수 변화, 또는 관찰된 변수 및 이들의 한계값 사이의 비율로서 표시된다. 비정상 변화가 RF 또는 챔버 압력 신호에서 엔드포인트 검출 이전에 탐지되는 경우, 엔드포인트 검출 시스템(100)은 잘못된 엔드포인트를 송신한다. RF 또는 압력 신호에서 비정상 변화는, 예를 들어 엔드포인트 검출 이전에 1 내지 5초로 기입된 시간 윈도우 내에서 알고리즘에서 예정된 한계치를 초과하는 RF 또는 압력 신호의 변화로 한정된다. 적절한 시간 윈도우 또는 기준은 특정 제외 변수 또는 특정 공정 응용으로 변화될 수 있다. 이러한 시간 관련 기준은 제어 이벤트 후에 예외적인 이벤트가 발생하는 상황을 포함할 수 있다.
바람직하게, 다수의 공정 조건은 광학적 방출 신호(121)과 동시에 모니터링된다. 도 7에 도시된 것처럼, 광학적 방출 신호(121)가 모니터링되고(220) 알고리즘(221)은 방출 신호(121)가 엔드포인트에 도달하는 것을 나타내는 경우 보조되도록 사용된다. 엔드포인트가 확인되지 않으면, 모니터링은 계속된다. 방출 신호(121)가 엔드포인트를 나타내는 경우, 오류 플래그의 유무가 검출된다(222).오류 플래그가 검출되면, 예를 들어, 조건 신호(131a)가 예정된 레벨 내에 있지 않아 오류 플래그가 트리거되면(232a), 잘못된 엔드포인트를 나타내는 신호가 표시된다(225). 오류 플래그가 검출되지 않으면, 엔드포인트 신호가 표시된다(223). 컴퓨터 제어기(200)는 이하 설명되는 것처럼 처리 공정 조건에서의 변화를 개시하도록 엔드포인트 신호(223)를 사용할 수 있다. 공정은 상기 설명된 것처럼, 비-오류 플래그 또는 신호의 존재를 선택적으로 검사할 수 있다. 이러한 견지에서 볼대, 비오류 신호 또는 비오류 플래그의 부재는 "오류 플래그" 및 "오류 신호"란 용어에 포함되는 것으로 간주된다.
도 7a에 도시된 실시예에서, 중간 단계(224)는 모니터링되어 플래그를 나타내는 조건에서의 변화가 경보 또는 진위(true fault) 상황을 나타내는지를 묻는다. 일보 공정 조건, 예를 들어 일부 특정 공정 파라미터는 잘못된 엔드포인트를 야기시키고 나타내는 것으로 검출될 수 있다. 후자의 경우에, 잘못된 엔드포인트 신호(225)를 표시하기 보다는 잠재적 잘못된 엔드포인트(226)를 표시하는 것이 바람직하다. 이러한 오류 정의에서의 편차는 공정을 제어시에 융통성을 고려한다. 예를 들어, 오류 엔드포인트 신호는 공정을 중단시키는데 사용될 수 있으나 잠재적 잠재적 오류 엔드포인트 신호는 단순히 경고를 나타내고 공정을 계속하도록 하는데 사용될 수도 있다. 일부 형태의 공정 평가가 이루어질 수 있다.
1개 이상의 조건 또는 변수는 제어 변수로서 정의된다. 도 7에서 신호(121)는 제어 조건을 나타내며 광학적 방출 신호(121)로서 도 7에 도시된다. 도 6a 및 6b에서의 신호(131a,131b,...)는 예외 조건을 나타낸다. 제어 조건에 대한 알고리즘 또는 알고리즘들은 각각에서의 적절한 변화 또는 제어 조건의 조합에 따라, 엔드포인트 검출과 같은 제어 이벤트가 트리거되도록 디자인된다. 예외 조건에 대한 알고리즘 또는 알고리즘들은 단지 예외 조건, 또는 예외 조건에서의 변화가 예정된 경계치를 벗어난 경우에만 트리거되도록 디자인된다. 예정된 경계치내에 있지 않는 왕복운동(excursion)이 발생하는 경우, 예외 이벤트가 표시된다. 제어 이벤트가 예외 이벤트와 동시적으로 발생하는 경우, 오류 또는 경보(225)가 표시되고, 이반적으로 기판(20)의 처리가 중지된다.
데이터 습득, 실시간 분석, 및 논리적인 결정 과정은 잘못된 제어 이벤트의 가능성을 감소시키고 잘못된 제어 이벤트에 대한 응답으로 공정 변수에 따른 데이터를 제공한다. 플래그는 분류되고 연구되는 에러-검출기로서의 역할을 할 수 있다. 특히, 플래그를 나타내는 조건들은 처리 장치(42)의 결함 부분을 나타낼 수 있다. 이러한 플래그 신호의 분석은 비용 및 시간을 크게 감소시킬 수 있다. 또한, 플래그를 나타내는 조건이 기판의 처리 및 기판의 차후 평가를 중단시켜 적절한 또는 예정된 엔드포인트 검출을 나타내는 경우, 플래그를 나타내는 조건에 대한 알고리즘은 변경된다. 바람직하게, 오류 또는 경보는 신호 플래그가 검출되더라도 표시된다(225). 선택적으로, 오류 또는 경보(225)는 2개 이상의 플래그가 검출된 경우에만 표시될 수 있다.
일반적으로, 알고리즘은 실험적으로 유도될 수 있다. 선택적으로, 알고리즘은 룰에 기초 또는 지시될 수 있다. 알고리즘에 사용되는 이벤트 트리거 논리는 이하 설명되는 것처럼 불 논리, 퍼지 논리, 또는 가중 논리일 수 있다. 본 발명의예시적 실시예는 제어 조건으로서 광학적 방출 데이터를 사용한다. 예외 조건은 바람직하게 RF 전력, DC 바이어스, 챔버 압력, 및 가스 흐름비와 같은 1개 이상의 RF 성분이다.
본 발명의 또다른 변형에서, 광학적 방출 신호(121)는 공정 조건(131a, 131b,...)중 하나로 대체가능하다. 다른 말로, 모니터된 공정 파라미터는 도 7에 도시된 제어 조건으로서 사용될 수 있고 광학적 방출 신호는 도 6a에 도시된것처럼 플래그를 표시하도록 모니터링 될 수 있다. 엔드포인트 신호는 조건이 예정된 레벨 내에 있고 광학적 방출 신호가 플래그를 나타내지 않는 경우 표시(223)된다.
본 발명의 또다른 변형에서는, 모든 조건이 연속적으로 모니터될 필요는 없다. 예를 들어 도 8에 도시된 것처럼, 광학적 방출 신호(121)는 상기 설명된 것처럼 모니터링될 수 있다(220'). 방출 신호(121)가 엔드포인트에 도달했음(221')을 나타내는 경우, 조건 신호(131a)가 모니터링된다(230a'). 모니터링되는 공정 조건이 예정된 범위(231a') 내에 있는 경우, 엔드포인트가 표시된다(223'). 조건이 예정된 범위내에 있지 않은 경우, 잘못된 엔드포인트 신호가 표시된다(225').
동작시에, 엔드포인트 검출 시스템(100)은 광학적 방출 데이터 또는 다른 제어 데이터(121) 뿐만 아니라 공정 조건 데이터(131)와 같은 방사선을 모니터링 함으로써 처리 시스템(40)의 동작을 연속적으로 모니터한다. 제어기(200)는 처리 시스템(40)의 모든 동작을 제어하는 연속적 출력 신호(301)을 제공한다. 제어기(200)는 신호(121, 131)를 모니터하는 자체내에 내장된 컴퓨터 판독가능 프로그램 코드를 갖는 컴퓨터 판독가능 매체를 포함한다. 제어기(200)는 상술된 것처럼 알고리즘을 제공하며 연속적으로 출력 신호(301)를 산출한다. 출력 신호는 (i)엔드포인트에 도달되지 않음,(ii) 엔드포인트에 도달했음, 또는 (iii) 오류 엔드포인트에 도달했음을 나타낸다. 엔드포인트에 도달되지 않은 경우, 공정 조건은 변하지 않고 기판(20) 상에 있는 층이 계속해서 처리된다. 엔드포인트에 도달하는 경우, 출력 신호는 공정의 동작을 제어하는데 사용되며, 예로 이하의 단계중 적어도 한단계를 따른다:(i) 가스 에너자이저의 작동 전력 레벨을 조절하는 단계, (ii) 처리 챔버내의 공정 조건을 조절하는 단계, (iii) 처리 가스의 흐름비를 변화시키는 단계, 또는 (iv) 처리 챔버(42) 내에서 수행되는 공정을 중단시키는 단계. 오류 엔드포인트에 도달하는 경우, 기판(20)의 처리 공정은 종결되거나 또는 조절되거나 또는 평가된다. 또한 컴퓨터 제어기는 표시장치를 구동시키거나 또는 필요한 작업자의 동작을 알려주는 경보 신호를 제공할 수 있다.
동작시에, 사용자는 및 입력 장치(205)를 경유하여 공정 선택기 프로그램 코드 속으로 공정 세트 및 공정 챔버 수를 기입한다. 공정 세트는 챔버(42)에서 특정 공정을 수행하는데 필요한 공정 파라미터로 구성되며, 예정된 세트수에 의해 확인된다. 공정 선택기 프로그램 코드는 원하는 공정 챔버, 및 특정 공정을 수행하기 위해 공정 챔버를 동작시키는데 필요한 원하는 공정 파라미터 세트를 확인한다. 공정 파라미터는 예를 들어, 공정 가스 조성물 및 흐름비, 챔버 온도 및 압력, 마이크로파 또는 RF 바이어스 전력 레벨 및 자계 전력 레벨, 냉각 가스 압력, 및 상기 설명된 챔버벽 온도와 같은 플라즈마 파라미터를 포함한다.
시스템은 작업자가 모니터되는 공정 조건을 선택할 수 있도록 디자인될 수있다. 작업자는 제어 변수 및 예외 변수를 선택할 수 있다. 또한 작업자는 사용되는 알고리즘을 선택할 수 있고 알고리즘 내에서 사용되는 범위를 변화시킬 수 있다. 엔드포인트에 도달하는 경우, 컴퓨터는 가스 에너자이저 프로그램 코드를 경유하여 가스 에너자이저를 제어하고, 처리 가스 프로그램 코드를 경유하여 처리 가스 주입을 제어하고, 챔버 조건 프로그램 코드를 경유하여 또다른 챔버 조건을 제어하기 위한 프로그램 코드 명령 세트를 포함하는 제어 코드를 작동시킨다.
선택적으로 도 2-4에 도시된 구성에서, 엔드포인트 검출 시스템은 도 9에 도시된 것처첨 자장 유니트(self-contained unit)일 수 있다. 자장 엔드포인트 검출 시스템(100')은 상술된 것처럼 광학적 방출 검출 시스템(110) 및 전자 제어기를 포함한다. 자장 엔드포인트 검출 시스템(100')은 또한 상술된 알고리즘을 제공하고 실행시키는 컴퓨터(200a)를 포함한다. 자장 엔드포인트 검출 시스템으로부터 엔드포인트 나타나지 않거나, 엔드포인트가 나타나거나, 또는 잘못된 엔드포인트를 나타내는 출력 신호(301')가 기판 처리를 제어하도록 사용되는 종래의 메인프레임 컴퓨터(200b)에 제공된다.
또다른 실시예에서, 네트워크 액서스 시스템(400)은 네트워크 액서스가 엔드포인트 검출 시스템(100)의 실시간 충실도를 유지하면서 공정 조건 및 엔드포인트 검출를 검출하도록 한다. 기판 대 기판 분석, 알고리즘 개선, 통계학적인 분석, 고정처리, 및 문제 해결을 위한 모든 모니터링되는 조건의 연구를 위한 네트워크 액서스가 요구되나, 중요한 것은 엔드포인트 검출 시스템(100)의 충실도를 포함하지 않는다는 것이다. 모든 네트워크 사용자가 서버를 경유하여, 특히 동일한 시간에 제어기(200)로 직접 액서스되는 경우, 잠재하는 공정 변형이 크게 증가한다.
이러한 문제점은 네트워크 사용자(421)와 서버 및 제어기(200) 사이에 방화벽을 제공함으로써 감소 또는 제거된다. 방화벽은 제어기에 연결된 네트워크를 통해 1명 이상의 사용자 사이, 사용자와 제어기 사이, 서버와 제어기 사이의 데이터 흐름을 제어할 수 있는 단일 초크 또는 액서스 포인트를 제공한다. 예를 들어, 네트워크중 하나는 인터넷일 수 있고 또는 네트워크 모두가 인테넷일 수 있다. 방화벽은 제어되는 액서스의 단일의 협 포인트(narrow point)을 통과하는 컴퓨터 사이의 모든 통신 장애를 일으키며, 이는 제어되는 액서스 포인트에서 집중되는 비변형 측정을 허용함으로써 잠재하는 공정 변형으로 문제점이 집중된다. 특히 방화벽은 제어기와 서버 사이에 데이터 흐름을 제어하는데 유용하다.
방화벽에 의해 제어도니 통신은 처리 챔버(42)에 대한 제어기와 근거리 통신망(LAN) 또는 광역 통신망(WAN)에서의 사용자 수를 보조하는 서버 사이에서 이루어진다. 특히 방화벽은 광역 통신망에서 서로 다른 구획의 회사와 관련될 수 있는 각각의 LAN의 수를 갖는 기업체 네트워크에 유용하다. 근거리 통신망은 서버 컴퓨터가 고속 통신 시스템을 제공하도록 서로 인접해 있는 네트워크이다. 광역 통신망은 1개 이상의 전용 연결선을 경유하여 넓은 지리학상 영역 위로 적어도 2 이상의 근거리 통신망에 링크되는 네트워크이다. 방화벽은 관련된 서버 및 그의 사용자로부터 처리 장치 및 그의 공급원의 제어기를 차폐시키기 위한 것으로, 제어기는 처리 챔버를 효율적으로 작동시키고 시간-민감 또는 시간-임계 데이터 신호를 네트워크 환경에서 서버 또는 사용자로부터의 요구사항 또는 다른 통신에 의해 중단됨없이 연속적으로 수신할 수 있다. 사용자, 서버, 및 제어기 사이, 및 또는 한쪽 방향으로의 통신 데이터 패킷은 방화벽을 통과한다.
예를 들어, 방화벽은 특정 네트워크 환경에서 각각의 사용자(서버를 통해)에 의한 제어기로의 액서스를 한정하는데 사용된다. 예를 들어, 회사의 연구소 및 개발부가 일본 및 미국의 다른 곳에 있는 경우, 방화벽은 처리 장치와 일본에 있는 공정 엔지어의 컴퓨터를 연결시켜 제어기는 실시간 모드로 미국 연구소에서 공정을 실행한다. 이는 처리 장치로 적격의 사용자에 의해 데이터의 원격 액서스를 허용함으로써 경쟁력있는 장점을 제공한다. 또한, 챔버에서 수행되는 특정 검사를 보는 소비자 또는 공급자는 서버와 전화 회선을 이용할 수 있고 제어기의 기능을 손상시키지 않고 데이터를 수신할 수 있다.
특히 방화벽은 제어기와 서버 또는 다른 사용자간의 불필요한 또는 중요하지 않은 통신을 감소 또는 방지시키는 배리어로서의 역할을 하는데 유용하다. 이는 제어기가 통신에서의 불필요한 파손 또는 중단없이 챔버에서의 공정 조건 제어, 처리 챔버의 부품으로부터의 데이터 신호 수신, 또는 신호 분석과 같은 필요한 또는 시간 임계 태스크를 수행한다. 서버 또는 사용자가 제어기를 연속적으로 액서스하는 경우, 제어기는 제어기로부터 데이터의 연속 또는 고속 습득을 방해받아, 효과적으로 기능하지 못한다.
전형적으로 방화벽은 예정된 또는 원치않는 통신을 선택적으로 허용하고 특히 제어기와 서버간의 예정되지 않은 통신을 허용하지 않는 컴퓨터 하드웨어 또는 소프트웨어이다. 충돌 또는 다른 손상의 가능성을 피하기 위해 방화벽은 전용 제어기 보드 또는 컴퓨터상에서 실행되는 것이 바람직하다, 다시 말하면, 다른 사용자 액서스가능한 프로그램을 갖지 않는 것을 사용자 통신이 방화벽을 둘러싸는 경로를 제공하도록 실행시킨다. 또한, 방화벽은 다른 소프트웨어, 프로그램 코드, 또는 동일한 컴퓨터 시스템 내에 있는 명령 세트와 조합될 수 있다. 또한 방화벽은 예를 들어 조합 웨브 또는 인터넷 서버 및 방화벽과 같은 소프트웨어 응용 조합물일 수 있다. 또한 관련된 서비스는 이메일, 웨브 서버, 데이터베이스 등과 같은 방화벽에 대해 사용되는 동일한 컴퓨터 플랫폼 상에서 호스트될 수 있다.
일 실시예에서, 방화벽은 데이터 패킷과 관련된 다양한 필드에서 값을 한정하여, 상기 값에 따라 전송 또는 차단되는 데이터 패킷을 선택하도록 결정 프로그램에 기초한 값을 평가하기 위해 제어기 및 서버 사이에 반대로 전송된 데이터 패킷을 판독하는 소프트웨어(컴퓨터 프로그램 코드를 포함하는 명령)를 포함한다. 예를 들어, 방화벽은 제어기 및 서버간의 통신만을 허용하고, 사용자와 제어기간의 통신은 허용하지 않는 단방향 통신 링크로서의 역할을 하는 데이터 프로토콜을 사용하는 프로그램 코드를 포함할 수 있다. 또한 소프트웨어는 서버가 제어기로부터의 전송 데이터 신호를 수신하고 서버로 데이터 신호를 송신하도록 되어 있는 경우 검증되는 명령을 포함할 수 있다. 서버가 전송 데이터 신호를 수신하는 경우, 서버는 데이터 신호를 안전하게 수신했는지를 알리고, 그렇지 않으면 제어기는 데이터를 재전송한다. 그 횟수는 제어기가 서버가 전송 데이터 신호를 수신할 준비가 되어 있는지 여부를 검증하는 것으로 시스템 관리자에 의해 설정가능한 예정된 변수 필드에 있다. 이는 서버로 데이터 신호를 연속적으로인 시도에 의해 제어기가헝업(hung-up)되는 것을 방지하며, 예를 들어, 서버가 작동하지 않는 경우, 헝업되거나 또는 간단히 사용자에게 통화중으로 응답한다. "준비" 상태 호출의 적은 수의 확인은 서버로부터 제어기에 의해 서버로 도달하여 긍정응답이 서버로부터 응답되어, 제어기가 작동하지 않는 서버로 데이터를 전송하면서 헝업이 이루어지지 않도록 한다.
예를 들어, 일 실시예에서, 제어기(200)와 엔드포인트 서버(410)간의 데이터 전송은 도 11에 도시된 것처럼 데이터 전송 프로토콜에 의해 수행된다. 통신 프로토콜의 헤더 구조(500)는 STX 필드(510)(텍스트 개시), MSG-1D 필드(520), 렝쓰 필드(530), 파일명 필드(540), 및 데이터 헤더 필드(550)을 포함한다. STX 필드(510)는 제어기(200)로부터 메시지가 나오는 엔드포인트 서버(410)를 변경시키는데 사용될 수 있고, 이는 메시지를 수신하도록 마련된 엔드포인트 서버(410)을 준비한다. MSG-1D 필드(520)는 전송되는 데이터의 포맷을 정의한다. 예를 들어, 590으로 표시된 것처럼 "0"은 데이터가 "검사 데이터"라는 것을 나타내며, "1"은 데이터가 "2진 데이터"라는 것을 나타내고, "2"는 데이터가 "ASCⅡ 데이터"라는 것을 나타낸다. 렝쓰 필드(530)는 엔드포인트 서버(410)로 전송되는 파일 렝쓰를 한정하는 필드이다. 렝쓰 필드(530)에서의 정보는 에러를 회복하는데, 예를 들어 검사 합 루틴에 사용될 수 있다. 파일명 필드(540)는 엔드포인트 서버(410)로 전송되는 파일의 이름을 한정한다. 데이터 헤더 필드(550)는 예를 들어, 엔드포인트 시기 등, 엔드포인트 서버(410)로 전송되는 데이터 상태를 한정하는데 사용된다. 본 발명의 통신 프로토콜의 데이터 구조(560)는 데이터 필드(570) 및 검사 합필드(580)를 포함한다. 데이터 필드(570)는 데이터를 보유하고 검사 합 필드(580)는 서버에 의해 부적절하게 전송 또는 수신되는 데이터 에러 복구를 실행하기 위한 정보를 보유한다.
또다른 실시예에서, 방화벽은 또한 제어기로의 사용자, 또는 다른 서버의 액서스를 제어하기 위한 응용 레벨 프록스(proxies) 또는 프록스 서비스 및 패킷 필터를 사용할 수 있다. 패킷 필터링은 제어기로 그리고 제어기로부터 데이터 신호 또는 다른 신호의 흐름을 선택적으로 제어하기 위해 채택하는 방화벽의 작용이다. 데이터 포켓 필터는 특히 제어기가 태스크를 실행중이거나 시호를 수신하는 중에, 사용자 또는 서버로부터 발생하는 데이터 포켓이 제어기에 도달하는 것을 방지한다. 따라서, 포겟 필터는 일정 데이터 포켓을 차단하며 다른 데이터 포겟을 재수송한다. 포켓 필터링을 설정하기 위해서, 네트워크 관리자는 어떤 형태의 포켓(예를 들어, 특정 IP 주소 또는 포트로부터)이 통과되도록 허용도고 어떤 형태의 데이터 포켓이 차단되는지 명시하는 룰 세트를 설정한다. 포켓 필터링은 루터, 브리지에서, 또는 각각의 호스트 컴퓨터 시스템상에서 행해질 수 있다. 포켓 필터는 전형적으로 "디폴트값 허용 스탠스"로 구성된다, 다시 말하면 명백히 허용되지 않거나 또는 금지되지 않게 허용된다. 포켓 필터는 잠재적으로 유해한 데이터 포켓을 방지하도록 통신량이 어떤지를 알려야 한다. 필터링 기능은 잠재적으로 유해한 데이터의 다양한 형태를 분류하고 유해하지 않은 또는 시작되는 포켓으로부터 이들을 분리하는데 사용된다. 필터링 포켓은 가장 일반적인 형태의 인터럽트 및 다른 사용자 요구사항을 처리하며 필터링 레벨은 포켓 필터링 수단에 설정될 수 있다.
다른 실시예에서, 방화벽은 단일 포켓에 기초한 것이 아니라 본 시스템을 작동시키기 위해 동일한 포트에서 수신되고 적절하게 기록된 포켓의 기록 윈도우에 기초하여 포켓 필터링을 수행하는데 사용될 수 있는 "상태 검사(stateful inspection)"를 사용할 수 있다. 상태 검사는 포켓 필터링을 사용하여 달성가능한 보호 레벨을 강화시킨다. 데이터 포켓의 기록 윈도우는 필터가 유해한 포켓을 보다 정확하게 확인하고 데이터 포켓 및 데이터 포켓 시퀀스의 변형 가능성을 거의 무한대로 처리하기 위해 어떤 정보를 찾는지 결정하도록 정보를 설정하게 한다.
또다른 실시예에서, 방화벽은 프록시 서비스를 따를 수 있다. "프록시(proxy)는 사용자 또는 서버의 행동에 따라 제어기를 처리하는 중간 시스템 상에서 작동하는 프로그램이다. 방화벽에 의해 보호되는 네트워크상에서 제어기와 통시하도록 시도하는 사용자 또는 서버는 프록시에 기초한 중간 시스템에 연결되어야 한다. 중간 시스템은 제어기에서 입증된 요구사항을 따를 수 있고 사용자 또는 서버의 응답을 따를 수 있다. 일반적으로 프로시스는, 연결부를 설정하기 위해 프록시 인식 응용 또는 커스텀 사용자 절차와 같은 커스텀 소프트웨어를 필요로한다. 프록시를 위한 커스텀 소프트웨어는 장치를 디자인하도록하는 소프트웨어 및 중간 컴퓨터 시스템 상에 프록시를 접촉시키기 위해 소프트웨어를 지정하는 외부 수동 구성 단계를 작업자가 수행해야 한다는 것이 요구된다. 다른 커스텀 절차에서, 사용자는 프록시에 연결하기 위한 사용자 또는 서버를 알리고 연결되는 제어기를 프록시에 알릴수 있다.
동작시에, 원격 진행 호출이 제어기(200)에서 방화벽을 통과하도록 서버 또는 사용자(421)로부터 요구사항이 수신될 수 있고, 또는 제어기(200)는 서버로 신호 또는 다른 정보를 통과시킬 수 있다. 이러한 경우에 사용자/서버 요구사항은, 사용자/서버가 방화벽을 통해 호출을 송신하도록 허용되는지를 결정하도록 처리된다. 이들이 허용되면, 사용자/서버의 확인이 방화벽과 관련하여 저장될수 있고 원격 진행 호출이 제어기(200)로 방화벽이 통과하도록 허용된다. 다른 방법으로, 방화벽은 사용자/서버로의 승인을 부정한다.
선택적으로, 방화벽은 사용자 또는 서버로부터의 모든 요구사항을 단순화시키기 위해 무시하고, 제어기 및 서버 사이의 한방향 통신 링크로서 기능하도록 예비프로그램될 수 있다. 이는 제어기에 이르는 사용자 또는 서버로부터 발생하는 모든 통신을 방지하여, 제어기가 방해받지 않고 유해하지 않은 방식으로 동작되도록 한다.
역 시퀀스에서, 제어기는 서버상에서 데이터 신호와 같은 정보 또는 다른 신호를 통과시키는 것이 요구되는 경우, 제어기는 방화벽을 통해 정보를 통과시켜야 한다. 또한 폴링(polling) 프로그램은 정보를 수신할 준비가 되어 있는 경우 볼수 있도록 서버를 폴링시키는데 사용되며, 정보를 수신할 준비가 되어 있는 경우, 정보는 서버상으로 통과된다.
시간이 중요치 않은 정보 및 데이터로의 네트워크 액서스를 허용하기 위해서, 엔드포인트 트레이스 파일 및 로그 정보는 도 10에 도시된 것처럼, 서버(410) 상에서 즉시 이용가능하다. 엔드포인트 트레이스 파일은 제한되지 않으며 예를 들어 제어 변수 및 예외 변수 모두에 대한 이력 데이터를 포함한다. 로그 정보는 제한되지 않으며 예를 들어 제어 이벤트, 기판 확인, 공정 조건 및 방법, 카세트 확인, 및 슬롯수를 포함한다. 처리공정 및 엔드포인트 검출에 대한 다른 관련된 정보는 유사하게 서버(410) 상에 적재된다. 2개의 컴퓨터 사이의 데이터 전송은 일련의 포트 및 115kB/sec의 속도의 고속 시리얼의 링크(405)를 경유한다. 데이터 전송은 실시간 엔드포인트 소프트웨어에 의해 관리된다. 서버(410)에서의 시간 비임계 태스크의 오프 로딩(off-loading)은 제어기(200)상에서 수행되는 시간 임계 태스크상에 예측가능한 효과를 야기시킨다. 본 실시예에서의 컴퓨터 제어기는 도 2 및 도 4에 도시된 제어기(200) 또는 도 9에 도시된 컴퓨터의 조합(200a,200b)일 수 있다.
도 10의 네트워크 액서스 시스템의 사용은, 1명 이상의 사용자(421, 422, 423, 424)가 윈도우 NT와 같은 작동 시스템을 경유하여 엔드포인트 트레이스 파일 또는 엔드포인트 로그 파일을 원격적으로 액서스할 수 있다. 이러한 액서스는 엔드포인트 검출 시스템(100)의 동작을 방해하지 않고 이루어진다. 엔드포인트 트레이스 파일 및 로그 정보는 기판이 처리되자마자 원격 단자에서 재처리하거나 또는 재조사하도록 엔드포인트 서버(410) 상에서 즉시 이용가능하다. 컴퓨터 제어기(200) 및 엔드포인트 서버(410)는 동일한 전력 공급기 및 후방평면을 바람직하게 공유한다. 2개의 컴퓨터는 개별 요구사항에 맞게 다자인된 개별 작동 시스템을 작동시킨다. 데이터 습득 및 공정 조건에 응답가능한 컴퓨터 제어기(200)는 상술된 것처럼, 시간 비임계 공정이 요구사항으로부터 방화벽에 의해 고립되고 네트워크의 파손으로부터 고립된다. 바람직하게 엔드포인트 트레이스 파일은 자동적으로 백업된다. 또한 단일의 원격 단자로부터 다수의 엔드포인트 검출 시스템(100)을 트래이스할 수 있는 것이 바람직하다.
본 발명의 부분간의 상호 작용의 실시예는 도 12에 도시된다. 실시예에서 제어기(200)는 통신 경로(2701-270n)를 거쳐 하나 이상의 챔버(421-42n)를 제어하기 위한 범용 컴퓨터(200b)(예를 들어, 유지보수 컴퓨터, 워크스테이션 컴퓨터 또는 퍼스널 컴퓨터)로 구현된다. 컴퓨터(200b)는 중앙 처리 유닛(CPU) 또는 처리기(210), 메모리(211), 롬(212) 및 다양한 입/출력 장치(205)를 포함한다. 실시예에서, 컴퓨터(200b)(Motorola of Schaumburg, Illinois의 680×0 시리즈에 기초한 컴퓨터)는 챔버(421-42n)에서의 다양한 기판 처리 단계를 모니터링 또는 제어한다.
상기 제어기능을 지원하기 위해, 제어기(200b)는 통신 포트(311) 및 통신 채널 또는 링크(301')를 통해 엔드포인트 검출 시스템(100')과 통신한다. 일 실시예에서, 통신 링크(301')은 RS-직렬 인터페이스이다. 택일적으로, 다른 양방향 통신 링크(280)는 예를 들어 이더네트(Ethernet) 또는 디지탈 인터페이스로 사용될 수도 있다. 엔드포인트 검출시스템(100')은 예를 들어 기판 검출인 기판 처리 동안 제어 이벤트의 발생의 제어기(200b)를 검출 또는 예고한다.
본 발명의 엔드포인트 검출 시스템(100')은 광, RF 및 압력 센서(228), 다중-채널 고속 및 고해상도 A/D 데이터 포착 회로(229) 및 범용 컴퓨터(200a)를 포함할 수도 있다. 범용 컴퓨터(200a)는 중앙 처리 유닛(CPU) 또는 처리기(엔드포인트 제어기)(252), 메모리 장치(254) 및 다양한 입/출력(I/O) 장치를 포함한다. 예를 들어, 메모리 장치(254)는 랜덤 액세스 메모리(RAM) 및/또는 판독 전용 메모리(ROM)를 포함할 수도 있다. I/O 장치(256)는 예를 들어, 키보드, 마우스, 터치 패드, 디스플레이, 프린터 및 테이프 드라이브, 플로피 드라이브, 하드 디스크 드라이브 또는 CD ROM 드라이브에 한정되지 않는 저장 장치를 포함할 수도 있다. 이하에서 설명된 소프트웨어 응용 또는 방법은 저장 장치로부터 로딩될 수 있으며 범용 컴퓨터(200a)의 메모리(254)에 상주한다. 이와 같이, 이하에서 설명될 것처럼 본 발명의 방법은 컴퓨터 판독가능 매체 상에 저장 될 수 있다. 택일적으로, 본 발명의 방법에 의해 실행된 기능은 예를 들어 응용 주문형 집적회로)(ASIC)인 하드웨어로서 부분 또는 전체로 구현될 수 있다.
통상적인 엔드포인트 검출 시스템과 같지 않게, 엔드포인트 검출 시스템(110')은 추가의 처리기(410)를 더 통합할 수 있으며, 이는 종점 제어기(252)로부터 종점 추적 파일 또는 로그 파일의 네트워크 액세스처럼 오프-로딩 비시간 임계 태스크를 위한 전용 엔드포인트 서버인 추가의 처리기(410)이다.
보다 상세하게는, 일 실시예에서, 양 엔드포인트 제어기(252) 및 엔드포인트 서버(410)는 단일 보드 컴퓨터(PV5000HX from texas Micro Inc., Houston, Texas)이며 분할 수동 후면을 사용하여 단일 섀시에 배치되어 공통 전원 공급기를 공유한다. 엔드포인트 제어기(252)는 115KB/초의 속도로 양방향 통신 경로를 통해 엔드포인트 서버(410)와 통신하며, 이는 이하에 논의될 것처럼 RS-232 직렬 인터페이스일 수 있다. 실시예에서, 제어기(252) 및 서버(410)는 자신의 메모리 장치(252,264) 및 I/O 장치(256, 266)와 분리하여 연결된다. 택일적으로, 제어기(252) 및 서버(410)는 공통 메모리 장치 및 I/O 장치를 공유할 수도 있다. 통상적으로, 상이한 동작 시스템은 엔드포인트 서버(410) 및 제어기(252)로 사용될 수도 있다. 실시예에서, "Window NT" 동작 시스템은 예를 들어 엔드포인트 서버(410)로 사용되며, 반면에, 예를 들어 "DOS"같은 더 간단한 작동 시스템이 엔드포인트 제어기(252)에 사용된다.
실시간 데이터 획득, 모니터링, 분석 및 제어 이벤트의 제한 같은 모든 시간 임계 태스크는 엔드포인트 제어기(252)에 의해 조정된다. 엔드포인트 제어기(252)는 또한 획득된 데이터를 서버(410)로 전송하는 것과 같은 소정의 비시간 임계 태스크를 조정한다. 데이터 전송 및 저장은 시간 임계 태스크를 신뢰할 수 있으며 시기 적절한 구현에 영향을 미치지 않고 시간 비임계 태스크로서 예정할 수 있는 방법으로 실시간 엔드포인트 소프트웨어에 의해 처리된다. 엔드포인트 트레이스 파일 또는 엔드포인트 로그 파일 같은 획득된 데이터는 엔드포인트 제어기(252) 및 엔드포인트 서버(410)와 관련된 메모리 장치 상의 미러 이미지 파일에서 기록 파일로서 저장된다. 예를 들어, 이러한 데이터는 엔드포인트 제어기(252)의 동작을 방해함이 없이 기판(20)이 처리되자 마자 재처리 또는 검토를 위해 원격 액세스(예를 들어, 원격 단자(250)로부터)에 대해 엔드포인트 서버(410)에서 사용 가능하다.
엔드포인트 제어기(252)에 의해 실행된 시간 임계 태스크의 일 예는:
1. 시간에 민감한 상관관계가 확보되도록 높은 정밀도 및 분해능을 가지는챔버(42i-42n)의 처리 파라미터(RF 전력, 챔버 압력등)와 처리 변수의 데이터(예를 들면, 광방출 강도)를 획득하는 단계;
2. 실시간으로 데이터를 모니터링 또는 평가하는 단계;
3. 제어 변수의 데이터의 평가에 의해 제어 이벤트를 검출하는 단계;
4. 잘못된 엔드포인트가 아닌것을 보장하기 위해 검출된 제어 이벤트에 적격성을 주는 단계;
5. 외부 제어기(200b)와 통신하는 단계를 포함한다.
일반적으로, 데이터 획득은 아날로그/디지털(A/D) 변환, 인터럽트 핸들링등을 포함하며, 획득된 실시간 데이터의 양은 특정 애플리케이션에 따라 변경될 수 있다. 데이터 모니터링은 자동화된 피드백을 포함할 수 있다. 제어 이벤트의 검출은 상술한 검출 알로리즘내에 통합될 수 있는 사전 정의 기준을 사용하여 획득된 데이터를 평가함으로써 종종 수행된다.
시간 임계 및 시간 비임계 태스크를 분리함으로써 엔드포인트 검출 시스템(100')은 적시에 기판 처리의 응답 및 제어를 보장한다. 제어 이벤트(예를 들면, 엔드포인트 검출)에 필요한 응답 시간은 특정 처리 애플리케이션에 따라 변경된다. 예를 들어, 금속 레벨에서의 오버-에칭이 충전과 연관된 바람직하지 않은 효과를 초래하는 반면, 필요한 시간 응답은 게이트 에칭과 비교하여 덜 중요하다. 어떤 환경에서는 대략 100msec의 응답 시간이 필요할 수 있다. 다른 처리기(410)에 시간 비임계 태스크(엔드포인트 데이터를 재검토하는 것과 같은)를오프-로딩(off-loading)시킴으로써, 엔드포인트 제어기(252)에서 동작하는 시간 임계 태스크에 대한 영향은 제어될 수 있으며 또한 효과적으로 관리될 수 있다. 현재의 실시예에서, 제어기(252)는 실시간 태스크와 결정화(decision making)를 담당한다.
엔드포인트 서버(410)에 의해 수행된 비시간 임계 태스크의 일 예는:
1. 엔드포인트 제어기(252)에 의해 전송된 데이터를 수신하는 단계;
2. 저장용 기록 데이터를 생성하는 단계;
3. 원거리 터미널로부터의 외부 요청을 핸들링하는 단계를 포함한다.
외부 요청은 국부적 사용자(240) 또는 원격 사용자(250)에 의해 전송될 수 있으며, 트러블슈팅용 데이터의 모니터링 및 재검토, 통계적 처리 제어 보고서의 생성, 백업 엔드포인트 데이터 파일의 중앙 데이터베이스 또는 분산 데이터베이스로의 제공, 및 이들간의 기록 데이터 파일의 원격 재처리에 의한 검출 알고리즘의 최적화를 포함할 수 있다. 예를 들어, 외부 장치와 통신하는 가운데, 엔드포인트 서버(410)는 이더넷 링크(280)에 액세스하기 위해 통신 포트(321)를 사용한다. 그러므로 원격 사용자(250)는 예를 들면 엔드포인트 트레이스 파일 또는 엔드포인트 로그 파일등과 같은 챔버 처리와 연관된 여러 데이터에 액세스할 수 있다. 데이터 모니터링은 제어기(252)에 의한 실시간 태스크 또는 제어기(252) 및/또는 서버(410)에 의한 비시간 임계 태스크중 하나로서 실행될 수 있다. 또한, 모니터링은 자동화 방식으로 실시될 수 있거나 오페레이팅 요원에 의해 가시적으로 실시될 수 있다.
상기와 같은 태스크 구분의 이점은 다음과 같이 요약될 수 있다. 첫째로, 시간 비임계 태스크를 다루기 위해 전용 엔드포인트 서버(410)를 통합함으로써, 엔드포인트 제어기(252)에 의해 수행된 실시간 임계 태스크는 절충되지 않는다. 이것은 신뢰도의 측면에서 실시간 결정화외에 제어 이벤트를 모니터링 및 검출하는 주요 기능들이 새로운 기능성에 절충되지 않은 채 현재의 엔드포인트 검출 시스템(100')에 추가된다는 점에서 중요하다.
예를 들어, 엔드포인트 검출 시스템(100')의 기록 데이터를 액세스하기 위해 원격 사용자(250)의 요청을 보조하는 것은 인터럽트의 처리, 이행 보완 검사(예를 들면, 패스워드의 확인) 및 원격 사용자(250)에 대한 데이터의 검색 및 전송과 같은 실제 요청을 서비스하는 것을 포함한다. 엔드포인트 서버(410)가 상기의 기능성을 제공하기 때문에, 엔드포인트 제어기(252)는 인터럽트되지 않은 챔버(42i-42n)를 모니터링할 수 있다.
태스크 분리의 제 2 장점은 상술된 바와 같이 두 처리공정(252, 410) 사이에 방화벽을 사용할 수 있고, 이에 따라 시스템 신뢰성을 개선시킨다. 즉, 엔드포인트 서버(410)가 장애를 겪을 때, 엔드포인트 검출 시스템(100')은 엔드포인트 제어기(252)를 통해 모니터링 펑션 및 다른 태스크를 계속한다.
프로세스 조건에 대한 알고리즘과 임계값은 실험적으로 유도되며, 규칙에 기본하거나 알려질 수 있다는 것을 주목한다. 선택적으로, 공정 조건으로부터의 데이터는 신경망(257)(퍼지 논리 또는 가중 논리)에 선택적으로 제공될 수 있다. 예를 들면, 도 12는 신경망(257)이 엔드포인트 제어기(252)에 통합되는 하나의 구성을 도시한다. 다른 구성 또한 가능하다. 신경망을 실행하는 하나의 목적은 제외 변수에 대한 알고리즘 또는 임계값을 "알게"된다는 이점을 가지고, 이에 따라 필요에 따라 알고리즘 또는 임계값이 조정될 수 있도록 한다.
신경망(257)은 뉴런(또는 간단히 노드)로 구성된 연산 모델 및 노드 사이의 결합부이다. 각각의 결합부의 강도는 가중치라 불리는 수적인 값에 의해 표현되고, 이는 조정될 수 있다. 유사하게, 각각의 노드의 "방화"는 임계 수치값과 관련되고, 이는 노드의 활성화라 불린다. 주어진 노드의 활성화는 그러한 노드에서 인도된 결합부를 가지며 이러한 결합부상에 가중치를 가진 노드의 활성화에 기초한다. 특정 태스크를 수행하도록 프로그램된 통상적인 컴퓨터와는 대조적으로, 대부분의 신경망은 학습되거나 훈련될 수 있다.
상술된 바와 같이, 잘못된 엔드포인트 검출은 일시적인 조건에 의해 발생되고, 이에 따라 잘못된 검출의 원인을 식별하는데 있어서의 어려움을 가중시킨다. 추가로, 잘못된 엔드포인트 검출은 엔드포인트 검출의 잠재적인 소스로서 관련되는 변수로 인한 것이다. 따라서, 제외 변수로부터 신경망으로 데이터를 제공함으로써, 신경망이 제외 변수의 임계값 또는 알고리즘을 변조시키거나 또는 잘못된 엔드포인트 검출의 잠재적인 원인으로서 관련된 새로운 제외 변수를 식별하기 위해 훈련될 수 있다.
도 13은 입력으로서 조건 데이터(610)를 수신하기 위한 신경망(257)의 블럭도를 도시한다. 전형적인 신경망 모델은 입력 패턴 세트와 출력 패턴 세트를 가진다. 신경망의 역할은 각각의 입력 패턴을 출력 페턴과 관련시키는 펑션을 수행하는 것이다. 학습 단계, 예를 들면 "에러 백-전파(error back-propagation)"는 새로운 입력으로부터 출력을 일반화하기 위해 입력/출력 패턴의 훈련 세트의 통계적 특성을 사용한다.
에러 백-전파는 신경망이 최적의 가중치를 가지는 공지된 감독 학습 처리이다. 에러 백-전파는 출력 노드의 응답과 원하는 응답을 비교하고, 동일한 입력이 네트워크에 다시 나타나면 네트워크의 응답이 원하는 응답에 근접하도록 네트워크내 가중치를 조정한다.
도 13을 참조하면, 에러 백-전파의 학습 규칙은 입력층(630), 중간층 또는 소위 숨겨진 층(640) 및 출력층(650)을 가진 다층 신경망에 적용된다. 입력층(630)내 모든 모드 nh(612)의 출력값은 중간층(640)내 각각의 노드 ni(614)에 입력값으로서 분배된다. 중간층(640)내 각각의 노드의 출력값은 출력층(650)내 모든 노드 nj(616)에 입력값으로서 분배된다. 각각의 노드 nj(616)는 결합된 가중치 wj에 의해 출력 노드에 결합되고 미리 설정된 펑션 f에 의해 변형된 노드 ni의 출력값의 전체 합의 값을 생성한다. 이러한 동리한 개념은 입력 노드nh(612)에 대해 중간 노드ni(614)에 적용된다.
따라서, 네트워크는 입력 nj(616)의 출력값을 순차적으로 계산함으로써 출력층(650)의 출력 노드 nj(616)의 출력값을 획득하고, 각각은 입력층(630)으로부터 출력층(650)을 향하는 노드에 해당한다.
다시, 에러 백-전파에 따른 학습 처리가 업데이팅 결합 가중치 wji와 wih로 구성되고, 그 결과 입력 패턴과 원하는 출력 tpj를 제공함과 동시에 출력층의 각각의 노드의 출력값 사이의 에러 제곱의 총 합은 최소화된다. 비록 에러 백-전파를 사용하는 신경망이 공정 가변 데이터에 관해 설명되지만, 다른 신경망이 웨이퍼 가공 챔버로부터 공정 가변 데이터에 사용가능하다.
본 발명이 특정 실시예를 통해 설명되었지만, 다른 실시예 또한 가능하다. 예를 들면, 타원계측법 또는 레이저 간섭계와 같은 다른 엔드포인트 검출 기술이 사용 가능하다. 추가로, 엔드포인트 검출을 제외한 조건이 모니터링된다. 당업자라면 에칭 쳄버, 스퍼터링 챔버, 이온 주입 챔버 또는 증착 챔버와 다른 세척 처리와 조합되어 적용 가능하다는 것을 알 수 있을 것이다. 그러므로, 첨부된 청구항의 정신 및 범위는 바람직한 실시예의 설명에 한정되지는 않는다.

Claims (85)

  1. 처리 챔버에서 기판을 처리하고 상기 처리 챔버에서 공정 조건을 검출하는 방법에 있어서,
    (a) 상기 처리 챔버내에 상기 기판을 위치시키고 상기 기판을 처리하는 단계;
    (b) 상기 기판 처리 단계 전후에 발생하는, 제 1 및 제 2 공정 조건을 검출하는 단계; 및
    (c) 상기 제 1 공정 조건을 상기 제 2 공정 조건과 관련하여 평가하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  2. 제 1 항에 있어서, (c) 단계가 상기 제 2 공정 조건에 기초하여 상기 제 1 공정 조건의 정확성 또는 타당성을 검출하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  3. 제 1 항에 있어서, 상기 제 1 공정 조건은 공정 변수이고 제 2 공정 조건은 공정 파라미터인 것을 특징으로 하는 기판 처리 방법.
  4. 제 1 항에 있어서, 상기 제 1 또는 제 2 공정 조건을 검출하는 상기 단계가 상기 기판을 처리하는 단계 동안 상기 제 1 또는 제 2 공정 조건을 모니터링하는단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  5. 제 1 항에 있어서, (c) 단계가 상기 제 2 공정 조건이 예정된 값에 해당하거나 또는 예정된 범위내에 있는 경우를 검출하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  6. 제 1 항에 있어서, (b) 단계가 상기 공정의 엔드포인트를 포함하는 상기 제 1 공정 조건을 검출하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  7. 제 6 항에 있어서, (c) 단계가 상기 제 2 공정 조건이 예정된 값에 해당하거나 또는 예정된 값의 범위내에 있는지를 검출하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  8. 제 7 항에 있어서, (i) 상기 제 2 공정 조건이 예정된 값에 해당하거나 또는 예정된 값의 범위내에 있는 경우에만 엔드포인트 신호를 표시하는 단계, (ii) 상기 제 2 공정 조건이 예정된 값에 해당하지 않거나 또는 예정된 값의 범위내에 있지 않는 경우 잘못된 엔드포인트 신호를 표시하는 단계중 하나 이상의 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  9. 제 8 항에 있어서, 상기 제 1 공정 조건 또는 상기 제 2 공정 조건과 관련하여 데이터 신호를 제어기로부터 서버로 전송하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  10. 제 9 항에 있어서, 상기 제어기를 상기 서버로부터 방화벽에 의해 분리시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  11. 처리 챔버에서 기판을 처리하고 상기 처리 챔버에서 공정 조건을 검출하는 방법에 있어서,
    (a) 처리 챔버에 기판을 위치시키는 단계;
    (b) 상기 기판을 처리하는 단계;
    (c) 공정 변수를 포함하는 제 1 공정 조건을 검출하는 단계;
    (d) 공정 파라미터를 포함하는 제 2 공정 조건을 검출하는 단계; 및
    (e) 상기 공정의 엔드포인트에 도달하는 경우를 검출하기 위해 상기 제 1 공정 조건을 상기 제 2 공정 조건과 관련하여 평가하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  12. 제 11 항에 있어서, (c) 단계가 상기 처리 챔버로부터 방사되는 방사선의 특성을 모니터링하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  13. 제 11 항에 있어서, (d) 단계가 RF 전력, DC 바이어스, RF 매칭 로드, 튠 위치, 챔버 압력, 가스 흐름비 또는 트로틀 위치를 모니터링하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  14. 제 11 항에 있어서, (e) 단계가 상기 제 2 공정 조건이 예정된 값에 해당하거나 또는 예정된 값의 범위내에 있는지를 검출하는 초기 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  15. 제 14 항에 있어서, (e) 단계가 (i) 상기 제 2 공정 조건이 예정된 값에 해당하거나 또는 예정된 값의 범위내에 있는 경우에만 엔드포인트 신호를 표시하는 단계, 또는 (ii) 상기 제 2 공정 조건이 예정된 값에 해당하지 않거나 또는 예정된 값의 범위내에 있지 않는 경우는 잘못된 엔드포인트 신호를 표시하는 단계중 하나 이상의 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  16. 처리 챔버내의 기판을 처리하는 방법에 있어서,
    (a) 상기 처리 챔버내에 상기 기판을 위치시키고 공정을 수행하는 단계;
    (b) 공정 조건을 모니터링하고 상기 공정 조건이 예정된 값에 해당하지 않거나 또는 예정된 값의 범위내에 있지 않은 경우 오류 신호를 표시하는 단계;
    (c) 상기 공정의 엔드포인트와 관련하여 또다른 공정 조건을 모니터링하는 단계; 및
    (d) 오류 신호가 표시되는 경우를 검출하고, 오류 신호가 표시되지 않는 경우는, 상기 공정의 엔드포인트 신호를 표시하는 단계를 포함하는 것을 특징으로 기판 처리 방법.
  17. 제 16 항에 있어서, (d) 단계가 오류 신호가 (b) 단계에서 표시되는 경우 잘못된 엔드포인트 신호를 표시하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  18. 제 16 항에 있어서, (b) 및 (c) 단계에서 상기 공정 단계동안 연속적으로 상기 공정 조건을 모니터링하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  19. 제 16 항에 있어서, (b) 단계가 RF 성분을 모니터링하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  20. 제 16 항에 있어서, (b) 단계가 RF 전력 및 챔버 압력을 모니터링하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  21. 제 16 항에 있어서, (b) 단계가 RF 전력, 챔버 압력, 가스 흐름비, 및 DC 바이어스를 모니터링하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  22. 제 16 항에 있어서, (b) 단계가 다수의 공정 조건을 모니터링하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  23. 제 22 항에 있어서, (b) 단계가 3개 이상의 공정 조건을 모니터링하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  24. (a) 기판을 수용할 수 있는 지지체 및 기판 상에서 공정을 수행할 수 있는 처리 부품을 포함하는 처리 챔버;
    (b) 상기 공정 전후에 발생하는 제 1 및 제 2 공정 조건을 검출하기 위한 1개 이상의 검출기; 및
    (c) 상기 제 1 공정 조건을 상기 제 2 공정 조건과 관련하여 평가하는 제어기를 포함하는 것을 특징으로 하는 기판 처리 장치.
  25. 제 24 항에 있어서, 상기 제어기는 상기 제 2 공정 조건의 값에 기초하여 상기 제 1 공정 조건의 정확성 또는 타당성을 검출하는 것을 특징으로 하는 기판 처리 장치.
  26. 제 24 항에 있어서, 상기 제어기는 공정 변수를 포함하는 상기 제 1 공정 조건 및 공정 파라미터를 포함하는 제 2 공정 조건을 검출하는 것을 특징으로 하는 기판 처리 장치.
  27. 제 24 항에 있어서, 상기 제어기는 상기 제 2 공정 조건이 예정된 값에 해당하거나 또는 예정된 값의 범위내에 있는 경우를 검출하는 것을 특징으로 하는 기판 처리 장치.
  28. 제 24 항에 있어서, 상기 제어기는 엔드포인트 검출기인 것을 특징으로 하는 기판 처리 장치.
  29. 제 28 항에 있어서, 상기 제어기는 상기 공정의 엔드포인트를 포함하는 제 1 공정 조건을 검출하는 것을 특징으로 하는 기판 처리 장치.
  30. 제 29 항에 있어서, 상기 제어기는 상기 제 2 공정 조건이 예정된 값에 해당하거나 또는 예정된 값의 범위내에 있는 경우를 검출하는 것을 특징으로 하는 기판 처리 장치.
  31. 제 30 항에 있어서, 상기 제어기는 (i) 상기 제 2 공정 조건이 예정된 값에 해당하거나 또는 예정된 값의 범위내에 있는 경우에만 엔드포인트 신호를 표시하는 단계, (ii) 상기 제 2 공정 조건이 예정된 값에 해당하지 않거나 또는 예정된 값의 범위내에 있지 않는 경우 잘못된 엔드포인트 신호를 표시하는 단계중 하나 이상의 단계를 수행하는 것을 특징으로 하는 기판 처리 장치.
  32. 제 31 항에 있어서, 서버를 더 포함하며, 상기 제어기는 제 1 공정 조건 또는 상기 제 2 공정 조건과 관련하여 데이터 신호를 상기 서버에 전송하는 것을 특징으로 하는 기판 처리 장치.
  33. 제 32 항에 있어서, 상기 제어기와 상기 서버 사이에 방화벽을 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  34. 기판을 처리하고 상기 기판의 공정 엔드포인트를 검출할 수 있는 장치에 있어서,
    (a) 기판을 수용할 수 있는 지지체 및 상기 기판을 처리할 수 있는 공정 부품을 포함하는 처리 챔버; 및
    (b) 상기 처리 챔버에서 수행되는 공정의 제 1 공정 조건을 검출하고, 공정 파라미터를 포함하는 제 2 공정 조건을 검출하고, 상기 공정의 엔드포인트에 도달하는 경우를 검출하도록 상기 제 1 및 제 2 공정 조건을 평가하는 제어기를 포함하는 것을 특징으로 하는 기판 처리 장치.
  35. 제 34 항에 있어서, 상기 제어기는 상기 처리 챔버로부터 방사되는 방사선을 검출하는 것을 특징으로 하는 기판 처리 장치.
  36. 제 34 항에 있어서, 상기 제어기는 RF 전력, DC 바이어스, RF 매칭 로드, 튠 위치, 챔버 압력, 가스 흐름비, 또는 트로틀 위치를 모니터링하는 것을 특징으로 하는 기판 처리 장치.
  37. 제 34 항에 있어서, 상기 제어기는 제 2 공정 조건이 예정된 값에 해당하거나 또는 예정된 값의 범위내에 있는 경우를 검출하는 것을 특징으로 하는 기판 처리 장치.
  38. 제 37 항에 있어서, 상기 제어기는 상기 제 2 공정 조건이 예정된 값에 해당하거나 또는 예정된 값의 범위내에 있는 경우 엔드포인트 신호를 표시하는 것을 특징으로 하는 기판 처리 장치.
  39. 기판을 처리하고 상기 기판의 공정 엔드포인트를 검출하는 장치에 있어서,
    (a) 상기 기판을 수용할 수 있는 지지체 및 상기 기판상에서 공정을 수행할 수 있는 공정 부품을 포함하는 처리 챔버;
    (b) 상기 처리 챔버에서 공정 조건을 모니터링하고, 상기 공정 조건이 예정된 값이 아니거나 또는 예정된 값의 범위내에 있지 않는 경우 오류 신호를 표시하고, 엔드포인트가 오류 신호 없이 도달하는 경우 엔드포인트 신호를 표시하는 제어기를 포함하는 것을 특징으로 하는 기판 처리 장치.
  40. 제 39 항에 있어서, 상기 제어기는 오류 신호가 검출되는 경우 잘못된 엔드포인트 신호를 표시하는 것을 특징으로 하는 기판 처리 장치.
  41. 제 39 항에 있어서, 상기 제어기는 상기 공정 단계 동안 연속적으로 상기 공정 조건을 모니터링하는 것을 특징으로 하는 기판 처리 장치.
  42. 제 39 항에 있어서, 상기 제어기는 RF 전력을 모니터링하는 것을 특징으로 하는 기판 처리 장치.
  43. 제 39 항에 있어서, 상기 제어기는 RF 전력 및 챔버 압력을 모니터링하는 것을 특징으로 하는 기판 처리 장치.
  44. 제 39 항에 있어서, 상기 제어기는 RF 전력, 챔버 압력, 가스 흐름비, 및 DC 바이어스를 모니터링하는 것을 특징으로 하는 기판 처리 장치.
  45. 제 39 항에 있어서, 상기 제어기는 다수의 공정 조건을 모니터링하는 것을 특징으로 하는 기판 처리 장치.
  46. 제 45 항에 있어서, 상기 제어기는 3개 이상의 공정 조건을 모니터링하는 것을 특징으로 하는 기판 처리 장치.
  47. (a) 기판을 처리하는 챔버;
    (b) 상기 챔버로부터 방사되는 방사선을 검출하고, 상기 검출되는 방사선과 관련한 제 1 신호를 제공하고, 상기 챔버내에서 공정 조건을 검출하고 제 2 신호를 제공하는 공정 모니터링 시스템; 및
    (c) 상기 제 1 및 제 2 신호를 수신하고, 공정 조건의 상기 제 2 신호가 예정된 레벨에 해당하지 않거나, 또는 예정된 레벨 범위내에 있지 않는 경우 오류 플래그를 표시하는 제 1 알고리즘 및 오류 플래그 없이 상기 제 1 신호를 수신하는 경우 엔드포인트 신호를 표시하는 제 2 알고리즘을 포함하는 제어기를 포함하는 것을 특징으로 하는 기판 처리 장치.
  48. 제 47 항에 있어서, 상기 제어기는 코드 명령으로서 제 1 및 제 2 알고리즘에 내장된 소프트웨어를 포함하는 메모리를 갖춘 컴퓨터를 포함하는 것을 특징으로 하는 기판 처리 장치.
  49. 제 47 항에 있어서, 상기 제어기는 오류 플래그가 존재하는 잘못된 엔드포인트 신호를 나타내는 제 2 알고리즘을 포함하는 것을 특징으로 하는 기판 처리 장치.
  50. 제 47 항에 있어서, 상기 제어기로부터의 데이터를 수신하고 표시할 수 있는서버를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  51. 제 47 항에 있어서, 상기 서버와 상기 제어기 사이에 방화벽을 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  52. 기판 처리 챔버;
    상기 기판 챔버에 연결된 제어기;
    서버; 및
    상기 제어기와 상기 서버를 연결하는 제 1 통신 링크를 포함하는 것을 특징으로 하는 기판 처리 장치.
  53. 제 52 항에 있어서, 상기 제어기는 시간 임계 태스크를 처리하고, 상기 서버는 시간 비임계 태스크를 처리하는 것을 특징으로 하는 기판 처리 장치.
  54. 제 53 항에 있어서, 상기 제어기는 기판을 처리하는 동안 상기 처리 챔버로부터 공정 조건을 채택하거나, 또는 상기 처리 챔버로 명령을 전송하는 시간 임계 태스크를 처리하는 것을 특징으로 하는 기판 처리 장치.
  55. 제 54 항에 있어서, 상기 공정 조건은 공정 파라미터 또는 공정 변수를 포함하는 것을 특징으로 하는 기판 처리 장치.
  56. 제 52 항에 있어서, 상기 제어기는 상기 처리 챔버로부터 공정 변수를 채택하고 공정 조건이 예정된 값에 해당하거나 또는 예정된 값의 범위내에 있는 경우에만 상기 공정 변수를 사용하는 것을 특징으로 하는 기판 처리 장치.
  57. 제 56 항에 있어서, 상기 공정 변수는 엔드포인트 검출 변수인 것을 특징으로 하는 기판 처리 장치.
  58. 제 52 항에 있어서, 상기 제어기에 의해 습득된 데이터를 저장하는 메모리 장치 및 상기 데이터를 조정하는 알고리즘이 내장된 소프트웨어를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  59. 제 52 항에 있어서, 상기 제어기가 엔드포인트 검출 시스템인 것을 특징으로 하는 기판 처리 장치.
  60. 제 59 항에 있어서, 상기 제어기가 상기 기판 처리 챔버를 제어하도록 또다른 통신 링크를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  61. 제 59 항에 있어서, 상기 제어기가 상기 기판 처리 챔버로부터의 데이터 신호를 채택하고 기록 데이터로서 상기 데이터 신호를 저장하는 상기 서버로 상기 제1 통신 링크를 경유하여 상기 데이터 신호를 전송하는 것을 특징으로 하는 기판 처리 장치.
  62. 제 59 항에 있어서, 상기 제어기에 연결된 신경망을 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  63. 제 62 항에 있어서, 상기 신경망이 1개 이상의 시간 비임계 태스크를 수행하는 것을 특징으로 하는 기판 처리 장치.
  64. 제 59 항에 있어서, 상기 제어기 및 상기 서버는 방화벽에 의해 분리되는 것을 특징으로 하는 기판 처리 장치.
  65. 처리 챔버에서 기판을 처리하고 상기 처리 챔버에 연결된 제어기로 상기 기판 처리를 제어하는 방법에 있어서,
    (a) 상기 처리 챔버에 상기 기판을 위치시키고 상기 기판을 처리하는 단계;
    (b) 상기 제어기로 시간 임계 태스크를 처리하는 단계; 및
    (c) 제 1 통신 링크를 경유하여 상기 제어기에 연결된 서버로 시간 비임계 태스크를 처리하는 단계를 포함하는 것을 특징으로 하는 기판 처리 제어 방법.
  66. 제 65 항에 있어서, 시간 임계 태스크를 처리하는 단계가 상기 처리 챔버로부터 데이터 신호를 채택하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 제어 방법.
  67. 제 66 항에 있어서, 상기 데이터 신호가 엔드포인트 검출 신호인 것을 특징으로 하는 기판 처리 제어 방법.
  68. 제 67 항에 있어서, (b) 단계가 상기 처리 챔버의 공정 조건 값에 기초하여 상기 엔드포인트 검출 신호를 제공하는 단계를 포함하는 것을 특징으로 하는 기판 처리 제어 방법.
  69. 제 68 항에 있어서, 상기 처리 챔버의 상기 공정 조건 값이 예정된 값이 아닌 경우 잘못된 엔드포인트 신호를 표시하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 제어 방법.
  70. 제 65 항에 있어서, (a) 단계가 상기 서버로 상기 제어기에 의해 채택된 데이터를 전송하고, 상기 서버의 메모리에 기록 데이터로서 상기 데이터를 저장하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 제어 방법.
  71. 제 70 항에 있어서, (c) 단계가,
    (i) 상기 서버의 상기 메모리에 저장된 기록 데이터에 액서스를 제공하는 단계;
    (ii) 상기 기록 데이터를 사용하여 상기 기판 처리 챔버 작동의 트러블슈팅 단계;
    (iii) 상기 기록 데이터로부터 공정 제어 리포트를 산출하는 단계; 및
    (iv) 상기 기록 데이터를 사용하여 엔드포인트 검출 기준을 최적화시키는 단계중 하나 이상의 단계를 포함하는 것을 특징으로 하는 기판 처리 제어 방법.
  72. 기판 처리 장치로부터, 상기 장치에서 수행되는 공정의 엔드포인트와 관련된 제 1 데이터 신호를 채택함으로써 엔드포인트의 발생을 나타내도록 컴퓨터가 작동될 때, 상기 공정의 공정 조건에 해당하는 제 2 데이터 신호를 채택하여, 상기 제 2 데이터 신호를 평가함으로써 상기 엔드포인트의 발생을 나타내는 소프트웨어를 포함하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  73. 제 72 항에 있어서, 상기 소프트웨어가 상기 제 2 데이터 신호가 예정된 값에 해당하지 않거나 또는 예정된 값 범위내에 있지 않은 경우를 검출함으로써 제 2 데이터 신호를 평가하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  74. 제 73 항에 있어서, 상기 소프트웨어가 상기 제 2 데이터 신호가 예정된 값에 해당하지 않거나 또는 예정된 값의 범위내에 있지 않은 경우 잘못된 엔드포인트 신호를 표시하는 프로그램 코드를 포함하는 것을 특징으로 하는 컴퓨터 판독가능매체.
  75. 제 72 항에 있어서, 상기 제 1 데이터 신호가 광학적 방출 세기로부터 발생되는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  76. 처리 챔버에서 행해지는 공정을 모니터링 하고 제어하는 방법에 있어서,
    (a) 제어기를 사용하여, 상기 처리 챔버에서 행해지는 상기 공정의 공정 조건을 모니터링하고 제어하는 단계;
    (b) 상기 공정 조건과 관련하여 신호를 상기 제어기에서 서버로 전송하고 상기 제어기와 상기 서버 사이의 또다른 신호의 흐름을 제어하는 단계를 포함하는 것을 특징으로 하는 공정 모니터링 및 제어 방법.
  77. 제 76 항에 있어서, (b) 단계가 상기 공정 조건의 모니터링 및 제어로 상기 제어기와 상기 서버 사이의 신호 흐름을 방해하는 것을 방지하는 단게를 포함하는 것을 특징으로 하는 공정 모니터링 및 제어 방법.
  78. 제 76 항에 있어서, (b) 단계가 상기 제어기 및 상기 서버 사이에 방화벽을 제공하는 단계를 포함하는 것을 특징으로 하는 공정 모니터링 및 제어 방법.
  79. 제 78 항에 있어서, 방화벽을 제공하는 단계가 상기 제어기와 상기 서버 사이의 데이터 신호 전송을 제어하는 컴퓨터 프로그램 코드를 작동시키는 단계를 포함하는 것을 특징으로 하는 공정 모니터링 및 제어 방법.
  80. 제 78 항에 있어서, 상기 컴퓨터 프로그램 코드가 사용자 또는 상기 서버로부터 발생하는 특정 데이터 패킷이 상기 제어기에 도달하는 것을 방지하는 데이터 패킷 필터링 명령을 포함하는 것을 특징으로 하는 공정 모니터링 및 제어 방법.
  81. 처리 챔버에서 행해지는 공정의 모니터 및 제어 장치에 있어서,
    (a) 상기 처리 챔버에서 행해지는 상기 공정의 공정 조건을 모니터 또는 제어하는 제어기;
    (b) 서버; 및
    (c) 상기 제어기와 상기 서버 사이에 있고, 상기 제어기와 상기 서버 사이의 신호 전송을 제어하는 방화벽을 포함하는 것을 특징으로 하는 공정 모니터 및 제어 장치.
  82. 제 81 항에 있어서, 상기 방화벽이 상기 제어기 및 상기 서버 사이에 신호의 흐름이 상기 처리 챔버에서 행해지는 상기 공정의 상기 공정 조건을 모니터링 또는 제어로 방해받는 것을 방지하는 것을 특징으로 공정 모니터 및 제어 장치.
  83. 제 82 항에 있어서, 상기 방화벽이 프로그램 코드 명령을 포함하는 것을 특징으로 하는 공정 모니터 및 제어 장치.
  84. 제 81 항에 있어서, 상기 방화벽이 상기 제어기 및 상기 서버 사이의 신호 전송을 제어하는 프로그램 코드 명령을 포함하는 것을 특징으로 하는 공정 모니터 및 제어 장치.
  85. 제 81 항에 있어서, 상기 프로그램 코드 명령이 사용자 또는 서버로부터 발생되는 특정 데이터 패킷이 상기 제어기에 도달하는 것을 방지하는 데이터 패킷 필터링 명령을 포함하는 것을 특징으로 하는 공정 모니터 및 제어 장치.
KR1020017000422A 1998-07-10 1999-07-09 기판 제조 공정의 엔드포인트 검출 방법 및 장치 KR100695582B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US9242698P 1998-07-10 1998-07-10
US60/092,426 1998-07-10
US35005299A 1999-07-08 1999-07-08
US09/350,052 1999-07-08

Publications (2)

Publication Number Publication Date
KR20010083104A true KR20010083104A (ko) 2001-08-31
KR100695582B1 KR100695582B1 (ko) 2007-03-14

Family

ID=26785660

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017000422A KR100695582B1 (ko) 1998-07-10 1999-07-09 기판 제조 공정의 엔드포인트 검출 방법 및 장치

Country Status (3)

Country Link
JP (1) JP2003521807A (ko)
KR (1) KR100695582B1 (ko)
WO (1) WO2000003421A2 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW529085B (en) * 2000-09-22 2003-04-21 Alps Electric Co Ltd Method for evaluating performance of plasma treatment apparatus or performance confirming system of plasma treatment system
ATE328308T1 (de) * 2002-04-23 2006-06-15 Tokyo Electron Ltd Verfahren und vorrichtung zur vereinfachten systemkonfiguration
US6825050B2 (en) 2002-06-07 2004-11-30 Lam Research Corporation Integrated stepwise statistical process control in a plasma processing system
JP3959318B2 (ja) 2002-08-22 2007-08-15 東京エレクトロン株式会社 プラズマリーク監視方法,プラズマ処理装置,プラズマ処理方法,およびコンピュータプログラム
US20060275931A1 (en) * 2005-05-20 2006-12-07 Asm Japan K.K. Technology of detecting abnormal operation of plasma process
US7638441B2 (en) 2007-09-11 2009-12-29 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
US7632549B2 (en) 2008-05-05 2009-12-15 Asm Japan K.K. Method of forming a high transparent carbon film
KR101307247B1 (ko) * 2012-09-26 2013-09-11 가톨릭대학교 산학협력단 보상구조물을 이용한 실리콘웨이퍼 에칭 방법 및 이를 이용한 에너지 하베스터 제조 방법
US9978621B1 (en) * 2016-11-14 2018-05-22 Applied Materials, Inc. Selective etch rate monitor
US10896833B2 (en) * 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
CN115537784A (zh) * 2022-10-19 2022-12-30 北京北方华创真空技术有限公司 一种用于化学气相沉积设备的控制方法和系统

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5160402A (en) * 1990-05-24 1992-11-03 Applied Materials, Inc. Multi-channel plasma discharge endpoint detection method
US5270222A (en) * 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5308447A (en) * 1992-06-09 1994-05-03 Luxtron Corporation Endpoint and uniformity determinations in material layer processing through monitoring multiple surface regions across the layer
US5479340A (en) * 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes

Also Published As

Publication number Publication date
JP2003521807A (ja) 2003-07-15
WO2000003421A3 (en) 2001-05-31
WO2000003421A9 (en) 2000-07-20
KR100695582B1 (ko) 2007-03-14
WO2000003421A2 (en) 2000-01-20
WO2000003421A8 (en) 2001-09-20

Similar Documents

Publication Publication Date Title
US6813534B2 (en) Endpoint detection in substrate fabrication processes
US7343217B2 (en) System for monitoring and controlling a semiconductor manufacturing apparatus using prediction model equation
KR0152355B1 (ko) 플라즈마 처리장치 및 처리방법
Goodlin et al. Simultaneous fault detection and classification for semiconductor manufacturing tools
KR100695582B1 (ko) 기판 제조 공정의 엔드포인트 검출 방법 및 장치
Gardner et al. Equipment fault detection using spatial signatures
WO1999014394A1 (en) Device and method for detecting and preventing arcing in rf plasma systems
JP2005268214A (ja) Ac電源によって電源供給されるプラズマ処理における電気アークを検出するための方法及びシステム
US20070201016A1 (en) Method And Apparatus For Seasoning Semiconductor Apparatus Of Sensing Plasma Equipment
US6563300B1 (en) Method and apparatus for fault detection using multiple tool error signals
US20030153989A1 (en) Fault classification in a plasma process chamber
US6781383B2 (en) Method for fault detection in a plasma process
KR100274957B1 (ko) 반도체 웨이퍼 제조 공정을 원위치에서 실시간으로 관리하기 위한 방법 및 장치
US20040221957A1 (en) Method system and computer readable medium for monitoring the status of a chamber process
WO2009028743A1 (en) Real time chamber monitoring method using intelligence algorithm
JPH11265878A (ja) 残留ガス分析により終点検出を提供する方法及び装置
Barna et al. Dry etch processes and sensors
TWI677264B (zh) 基於射頻阻抗模型之故障檢測
KR20140098477A (ko) 플라즈마 마이크로 아킹 예측 방법 및 그를 이용한 생산 설비의 플라즈마 공정 관리 방법
US20040071161A1 (en) Part maintenance system and part maintenance method of semiconductor processing system
Barna et al. Sensor integration into plasma etch reactors of a developmental pilot line
Stefani et al. On-line diagnostic monitoring of photoresist ashing
Park et al. Sensor fault detection in etch based on broadband rf signal observation
Stefani et al. Diagnostic monitoring of photoresist ashing
Bluem et al. EV-140 P, New Emission Spectroscopic Product for Semiconductor Endpoint, Cleaning and Plasma Chambers Control.

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee