JP2003521807A - 基板製作工程に関する改良された終点検出 - Google Patents

基板製作工程に関する改良された終点検出

Info

Publication number
JP2003521807A
JP2003521807A JP2000559582A JP2000559582A JP2003521807A JP 2003521807 A JP2003521807 A JP 2003521807A JP 2000559582 A JP2000559582 A JP 2000559582A JP 2000559582 A JP2000559582 A JP 2000559582A JP 2003521807 A JP2003521807 A JP 2003521807A
Authority
JP
Japan
Prior art keywords
processing
controller
substrate
signal
server
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000559582A
Other languages
English (en)
Other versions
JP2003521807A5 (ja
Inventor
ツィーフェン スイ
ポール イー ルーシャー
ニルス ジョハンソン
マイケル ディー ウェルチ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2003521807A publication Critical patent/JP2003521807A/ja
Publication of JP2003521807A5 publication Critical patent/JP2003521807A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Chemical Vapour Deposition (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

(57)【要約】 基板(20)はプロセスチャンバ(42)内において処理され、基板の処理以前または処理期間中または処理後に発生する第1処理条件が検出される。第1処理条件の精度または妥当性は第2処理条件を検出することによって決定される。

Description

【発明の詳細な説明】
【0001】 クロスリファレンス 本出願は、引用によってここに組込み済みの1998年7月10日付け提出の
米国暫定出願第60/092,426号の恩典を請求する。
【0002】 背景 本発明は基板上において実施される処理の終点検出に関する。
【0003】 基板製作工程において、例えば、ポリシリコン、二酸化シリコン、およびアル
ミニウム層などの半導体、誘電体、および導体材料が基板上に蒸着され、ゲート
、貫通孔、接触孔、または相互接続線のパターンを形成するようにエッチングさ
れる。これらの層は、一般に、化学的蒸着(CVD)、物理的蒸着、または、酸
化および窒化処理によって形成される。例えば、CVD工程においては、基板上
に材料層を蒸着させるために反応気体が分解され、また、PVD工程においては
、基板上に材料を蒸着させるために標的がスパッタリングされる。酸化および窒
化処理においては、酸化物または窒化物、一般に二酸化シリコン、または、窒化
シリコンの層が基板上に形成される。エッチング工程においては、フォトレジス
トまたは硬質マスクのパターン化されたマスク層がフォトリソグラフ平版法によ
って基板上に形成され、基板の露出済された部分が、Cl2、HBr、または、
BCl3などのエネルギ供給された気体によってエッチングされる。
【0004】 これらの工程においては、しばしば、所定の段階において基板の処理を停止す
ることが望まれる。例えば、従来型エッチング工程においては、薄い基板層だけ
をエッチングした後でエッチング処理を停止することは困難である。一例として
、ゲート構造体のエッチングにおいては、エッチングの後で、下側に所在するゲ
ート酸化物層の残りの厚さが、エッチング処理が下側に所在する一切のポリシリ
コン又はシリコンを損傷しない程度に、所定の許容値にできるだけ近いことが望
まれる。高速集積回路の製作において、ゲート酸化物層は益々薄くなり、下側に
所在するゲート酸化物層内まで過剰エッチングすることなしに、上側に所在する
ポリシリコン層を貫通して精確にエッチングすることは一層困難になる。他の一
例として、蒸着、酸化、および、窒化処理においては、しばしば、制御された所
定の厚さの層を形成し、所要厚さの層が得られたときに、精確に当該工程を停止
することが望まれる。
【0005】 終点検出方法は、エッチング、蒸着、酸化、または窒化工程の終点を測定する
ために用いられる。終点測定技法には、引用によってここに組込み済みの米国特
許第4,328,068号に教示されているように、例えば、エッチングされつ
つある層の組成変化に対応する化学成分変化を決定するために、チャンバ内にお
いて形成されるプラズマの放出スペクトルが分析されるプラズマ放出分析が含ま
れる。他の一例として、引用によってここに組込み済みの米国特許第5,362
,258号は、選定された波長におけるプラズマ放出強度を監視し、プラズマ放
出強度の変動を、残りの薄膜厚さ、エッチング速度、エッチング均一性、および
、エッチング終点と相関させることによりエッチングまたは蒸着工程を監視する
方法を開示する。
【0006】 層全体の処理が完了する以前に処理終点を測定するために有用な他の終点検出
システムは偏光解析法である。この方法においては、例えば両者共に引用によっ
てここに組込み済みである米国特許第3,874,797および3,824,0
17号に開示されているように、偏光された光線は、エッチングされている層の
表面によって反射され、さらに、位相シフトおよびエッチングが層を貫通したと
きに発生する反射光の大きさの変化を決定するために分析される。偏光フィルタ
は、基板表面によって反射された偏光光線の位相変化を測定するために用いられ
る。
【0007】 干渉計法は更に別の終点検出方法である。典型的な方法は、Maydan等に
許可され引用によってここに組込み済みの米国特許第4,618,262に開示
されている。この特許は、基板上で処理されている層にレーザ光線が向けられる
レーザ干渉計システムを開示する。層が処理されるにつれて、レーザ及び関連監
視システムは測定された反射曲線を提供する。コンピュータは、反射率信号の最
大または最小個数をカウントすることにより、又は、信号中止に基づいてエッチ
ング処理の終了を識別することにより事前選定されたエッチング深さに何時到達
したかを決定する。
【0008】 更に別の一終点検出方法として、Birangに許可された米国特許第5,8
46,882号は化学的および機械的な研磨工程における研磨処理の終点を検出
する方法を開示している。モニタはモニタへのパワー出力を測定し、パワー入力
を表す出力信号を生成する。累算器は出力信号を受け取り、何時終点に到達した
かを表示するために、出力信号の合計値が所定の合計値と比較される。
【0009】 これらのシステムの各々、特にプラズマ放出分析デバイスには、実際には終点
が未だ発生していないときに、終点が発生したという明確な表示を提供するとい
う難点がある。この種の間違い終点またはコールの発生は、エッチング処理の精
度を低下させ、基板の生産を低下させる。従って、例えばここに記述したような
従来型の終点検出方法においては誤った終点信号数を減少させるか、又は、完全
に除去することが必要である。更に、基板上において処理されている層が所要厚
さに到達すると、下側に所在する層を一切損傷することなしに、直ちに基板製作
処理を正確に終了させる終点検出方法が望まれる。
【0010】 終点検出工程から得られるデータ又は例えば処理制御データのような他のデー
タは、妨害、減速、又は、そうでなければ、プロセスチャンバ又はその終点検出
システムの動作に誤りを引き起こさせることなく、複数のユーザにとってアクセ
ス可能であることが望ましい。
【0011】 概要 本発明はこれらの必要性を満足させる。本発明の一態様において、基板はプロ
セスチャンバ内で処理され、基板の処理中に発生する処理条件が検出される。 本処理において、基板はプロセスチャンバ内に置かれて、処理される。基板の処
理以前、処理中、又は、処理後に発生する第1および第2処理条件が検出され、
第1処理条件は第2処理条件に対して評価される。
【0012】 本発明の他の一態様において、プロセスチャンバ内の基板を処理し、かつ当該
処理の終点を決定するする方法は、プロセスチャンバ内に基板を置くこと、基板
を処理すること、処理変数を含む第1処理条件を検出すること、プロセスパラメ
ータを含む第2処理条件を検出すること、終点に到達するときを決定するために
第1処理条件および第2処理条件を評価することを含む。
【0013】 他の一態様において、プロセスチャンバ内において基板を処理する方法は、プ
ロセスチャンバ内に基板を置くこと、処理を実施すること、処理中に処理条件を
監視すること、処理条が事前決定値に対応しないか、又は、事前決定の値範囲内
に所在しないときに誤り信号を発行すること、当該処理の終点に関係する工程の
他の処理条を監視すること、誤り信号が発行されたかどうかを決定すること、誤
り信号が発行されていないならば、当該処理の終点を合図するすることを含む。
【0014】 本発明の他の一態様において、基板処理装置は、基板を受けるように形成され
た支持物を有するプロセスチャンバと、基板上において処理を実施できる処理構
成要素とを有する。また、本装置は、処理以前、処理中、又は、処理後に発生す
る第1および第2処理条件を検出するための1つ又は複数の検出器と、第2処理
条件に対して第1処理条件を評価するためのコントローラとを有する。
【0015】 他の一態様において、基板を処理することが可能であり、かつ基板処理の終点
を決定することが可能な装置が、基板を受け取るように形成された支持物および
基板を処理することができる処理構成要素を有するプロセスチャンバ、及び、プ
ロセスチャンバ内において実施される処理の第1処理条件を検出し、プロセスパ
ラメータを有する第2処理条件を検出し、処理終点に到達するときを決定するた
めに第1および第2処理条件を評価するように形成されたコントローラを有する
【0016】 他の一態様において、基板を処理することが可能であり、かつ基板処理の終点
を決定することが可能な装置が、基板を受け取るように形成された支持物および
基板を処理することができる処理構成要素を有するプロセスチャンバ、及び、プ
ロセスチャンバ内における処理条件を監視し、処理条件が所定値でないか、また
は、所定値の範囲内に所在しないときに誤り信号を発行し、誤り信号無しに終点
に到達したときに終点信号を発行するように形成されたコントローラを有する。
【0017】 他の一態様において、基板処理装置は、基板プロセシングチャンバと、チャン
バから発する放射を検出し、かつ検出された放射に関する第1信号を供給し、ま
た、チャンバ内における処理条件を検出し、かつ第2信号を供給するように形成
された処理監視システムと、第1および第2信号を受け取るように形成されたコ
ントローラとを有し、前記コントローラが第2信号が処理条件の所定レベルに対
応しないときに誤りフラグを設定する第1アルゴリズム、及び、誤りフラグの無
いときに第1信号を受け取ると終点信号を発行する第2アルゴリズムを有する。
【0018】 他の一態様において、基板処理装置は、基板プロセシングチャンバと、基板プ
ロセシングチャンバに接続されたコントローラと、サーバと、サーバをコントロ
ーラへ接続する第1通信リンクとを有する。
【0019】 他の一態様において、プロセスチャンバ内において基板を処理し、かつプロセ
スチャンバへ接続されたコントローラを用いて基板の処理を制御する方法は、プ
ロセスチャンバ内に基板を置くこと及び基板を処理することを含み、時間的に臨
界的なタスクはコントローラによって取り扱われ、時間的に非臨界的なタスクは
第1通信リンクを介してコントローラへ結合されたサーバによって取り扱われる
【0020】 他の一態様において、コンピュータ読取り可能媒体は、実行されると、基板処
理装置から第1データ信号を獲得することによってコンピュータに終点の発生を
識別させるソフトウェアを含み、第1データ信号は、当該装置において実施され
つつある処理の終点に関係し、当該処理の処理条件に対応する第2データ信号を
獲得し、かつ第2データ信号を評価することによって終点の発生を識別する。
【0021】 他の一態様において、プロセスチャンバ内において実施される処理を監視およ
び制御する方法は、プロセスチャンバ内において実施される処理の処理条件をコ
ントローラを用いて監視または制御し、処理条件に関係する信号をコントローラ
からサーバへ転送し、他方において、コントローラとサーバの間の他の信号の流
れを制御する。
【0022】 他の一態様において、プロセスチャンバ内において実施される処理を監視およ
び制御する装置であって、プロセスチャンバ内において実施される処理の処理条
件を監視または制御するように形成されたコントローラと、サーバと、コントロ
ーラとサーバの間の防火壁とを有し、防火壁がコントローラとサーバ間の信号移
動を制御するように形成される。
【0023】 発明の実施の形態 本発明は、プロセスチャンバ及び終点検出装置、および、基板処理の終了また
は変更に際して得られる誤った又はそうでなければ疑似信号または呼(コール)
のレート及び発生回数を減少させることのできる方法に関する。以下の記述およ
び添付図は本発明の説明的な実施形態を表すものであり、本発明を限定すること
を意図するものではない。従って、記述および図面は本発明の一例としての特徴
を示すものであるが、各々の特徴は一般的に本発明において利用可能であり、文
脈的に特定の図面にのみ限られることなく、本発明にはこれらの特徴の任意の組
合わせが含まれることを理解されたい。
【0024】 本発明の基板処理および終点検出システムは半導体基板上の集積回路作成に有
用であり、特に半導体、誘電体、または、導体層、特に元素としてのケイ素また
はシリコン化合物で構成される層をエッチングするために特に有用である。相互
に重なったこの種の層は、上側に位置する厚い方のケイ素含有層を貫通してエッ
チングし、下側に位置する薄い方のケイ素含有層を貫通してエッチングすること
なくエッチング処理を停止することをしばしば困難にする。誘電体層には、例え
ば、二酸化ケイ素、無添加ケイ酸塩ガラス、燐酸シリケートガラス(PSG)、
ホウ酸添加燐酸珪酸ガラス(BPSG)、Si34、または、TEOS蒸着ガラ
スが含まれ、半導体層には、例えば、ポリシリコンまたはシリコンが含まれ、ま
た、金属含有層には、アルミニウム、銅、タングステンケイ化物、及び、コケイ
化バルトが含まれる。図1a及び1bは、本プロセスを用いてエッチング可能な
基板20の典型的な構造を示す。「基板(サブストレート)」とは、支持構造体
および重覆層を含む全構造体をいみする。例えば、基板20は、ドーピングされ
たシリコン領域26a、26bを有するシリコンウェーハ22、及び、約10か
ら約300Åまでの厚さの重覆した比較的薄い二酸化ケイ素(ゲート酸化物)層
28によって構成される。ポリシリコン層30およびTiN反射防止層32はゲ
ート酸化物層28を覆うように配置される。感光性抵抗、及び/又は、硬質マス
ク(一般にシリコン酸化物またはシリコン窒化物)によって構成されるパターン
化された抵抗性構造体34は、エッチングのために基板20を部分的に露出する
従来型のフォトリソグラフ法によって形成される。本発明のプロセスは、半導体
デバイスの作成における典型的なシリコン含有層のエッチングによって説明され
ているが、本発明は、例えば化学的蒸着(CVD)、物理的蒸着(PVD)、酸
化、硝化、及び、蒸発のような他のプロセスにも使用可能であり、ここに提供さ
れる例に限定されてはならない。
【0025】 基板20は半導体処理装置40内でエッチングされる。この装置は概略図的に
図2に示され、Applied Materials Inc.(Santa
Clara,California)から商的に入手可能であり、両者共に引用
によってここに組み込み済みの米国特許出願第08/767,071及び08/
597,445号に記載のプロセスチャンバに適する。装置40は、基板20を
処理するためのプロセスゾーン44を有するプロセスチャンバ42、及び、例え
ばプロセスゾーン内の基板を保持する静電チャックのような支持物46で構成さ
れる。プロセスチャンバ42の天井60は平坦であるか、或いは、矩形であり、
弓形、円錐形、ドーム形、或いは、多重半径ドーム形であり得る。プロセスガス
は、プロセスガス源及びガス流量制御弁を有するガス流量制御システムを含むガ
ス配分システム48を介してチャンバ42に導入される。ガス配分システム48
は、基板20の周辺において、又は、その周りに位置するガス出口50、または
、その中に出口(図示せず)を備えたチャンバ42の天井に設置されたシャワー
ヘッドを備えることが可能である。消費されたガス及びエッチング剤副産物は、
チャンバ42内を約10-3mTorrの最小圧力に到達させることのできる排出
システム(一般に1000リットル/秒荒引きポンプおよび1000から200
0リットル/秒のターボ分子ポンプを有する)を介してプロセスチャンバ42か
ら排出される。消費されたプロセスガスの流量およびチャンバ42内プロセスガ
ス圧力を制御するために、排出システム52内に絞り弁54が装備される。
【0026】 プラズマは、チャンバ42(図示される)のプロセス帯域44、または、プロ
セスチャンバ(図示せず)に隣接する遠隔帯域におけるにおけるガスに電磁エネ
ルギを結合するプラズマ発生器56によってプロセスガスから生成される。遠隔
帯域は、一般に、チャンバ42の上流に位置する遠隔チャンバ(同じく、図示せ
ず)内に所在する。プラズマ発生器56は、例えば、引用によってここに組み込
み済みの米国特許出願第08/648,254号に記載されているように、一例
として、チャンバ42の中心を貫いて伸延し、基板20の平面に垂直な長さ方向
垂直軸と合致する中央軸に関して円対称性を持つインダクタコイルを有するイン
ダクタアンテナ58である。インダクタアンテナ58が天井に近接して配置され
る場合には、チャンバ42の天井は、電磁界に対して透明であり、電気絶縁材料
でもある例えば酸化アルミニウムのような、誘電体材料によって構成される。イ
ンダクタアンテナ58に供給されるRF電圧の周波数振動数は、は一般に約50
KHzから60MHzであり、更に一般的には約13.56MHzである。アン
テナへ供給されるのRF電圧の電力レベルは約100から約5000ワットであ
る。チャンバ42内のプラズマイオンを加速またはこれにエネルギを供給するた
めに、インダクタアンテナ58に加えて、1つ又は複数のプロセス電極(図示せ
ず)を使用出来る。プロセス電極は、一般に、電気的に接地されたチャンバ42
の壁体部分に対して電気的にバイアスされた支持物46の導電部分を含む。電極
は、チャンバ内においてガスを生成するか、又は、ガスにエネルギを供給する容
量性電磁界を形成するために、相互に容量的に結合する。
【0027】 チャンバ42は、更に、チャンバ42の作動期間中にこれを連続的に検出およ
び監視、または、基板20に処理が施されている期間中にチャンバ42内の処理
状態を監視するための1つ又は複数の検出器またはセンサ228を有する。検出
器には、次に示す項目が、限定されることなく、含まれる。即ち、例えば光電子
増倍管のような放射感知デバイスまたは光学検出システム110、例えば、マノ
メータなどの圧力計95のような気体圧力感知デバイス、例えば、熱電対または
RTDのような温度感知デバイス96、チャンバ構成要素へ供給される電流およ
び電圧を測定するための電流計97及び電圧計98、または、チャンバ42内の
処理状態を測定し、測定可能な処理状態に関して変化する例えば電気信号のよう
な出力信号を供給することが可能な他のデバイスである。
【0028】 「処理条件」とは、チャンバ内において処理が実施される前、後、または、実
施中にチャンバ42内で発生するあらゆる事象を意味し、プロセスパラメータ、
及び/又は、プロセス変数を含むこともあり得る。「プロセスパラメータ」とは
、少なくとも部分的に設定可能または少なくとも部分的に制御可能なチャンバ4
2またはその構成要素の属性の一集合で構成されるあらゆるパラメータを意味し
、それらの値がチャンバ42内で実施されつつある処理の特性および特質を決定
し、限定的意味をもつことなく、次に示す項目が含まれる。即ち、電源、順方向
RF電力、RF反射電力、RFマッチ成分(例えば負荷および同調位置)、RF
ピークツーピーク電圧と電流および位相成分、直流バイアス、チャンバ圧力およ
び絞り弁位置、ガス組成および流量、基板温度および組成、チャンバ構成要素ま
たは壁体温度、磁気的制限レベルまたは磁気的位置、等である。「プロセス変数
」とは、処理パラメータおよび処理状態に応じて変化する測定可能な一集合で構
成されるあらゆる変数を意味し、限定的意味をもつ事なく、次の項目が含まれる
。即ち、当該気体内化学成分の放出スペクトルに依存し得るチャンバ内において
エネルギ供給された気体またはプラズマによって放出された放射の波長、例えば
反射された放射の強度または位相のような基板20から反射された放射の属性、
チャンバ42内プラズマの反射された電力レベル、チャンバ42内温度、または
他の処理事象である。これらのリストは完全であること、又は、限定的であるこ
とを意図しない。
【0029】 チャンバ42内検出器によって測定された処理状態は電気信号としてコントロ
ーラ200へ伝送される。本発明の記述を簡素化するために、コントローラ20
0は典型的な単一コントローラデバイスによって説明されるが、コントローラ2
00は相互に接続された複数のコントローラデバイスであっても、又は、チャン
バ42内の異なる構成要素へ接続可能な複数のコントローラデバイスであっても
差し支えなく、従って、本発明は、ここに示す説明的および典型的実施形態に限
定されるべきでないことを理解されたい。
【0030】 一実施形態において、コントローラ200は、図3の構成図に概略的に示され
るようにチャンバ42及びその周辺構成要素の操作に適した集積回路を有する電
気回路を含む電子ハードウェアを有する。一般的に、コントローラ200は、デ
ータ入力を受け取り、アルゴリズムを実行し、有用な出力信号を生成するように
適応させられ、更に、検出器および他のチャンバ構成要素からのデータ信号を検
出し、チャンバ42内の処理状態を監視または制御するために使用可能である。
例えば、コントローラ200は、(i)周辺制御要素を備えたメモリシステムに
相互接続された中央処理装置210(CPU)を有するコンピュータと、(ii
)チャンバ42の特定構成要素を操作する特定用途向け集積回路(ASIC)と
、(iii)適当なサポート回路202を備えた1つ又は複数のコントローライ
ンタフェースボード201とによって構成可能である。一般的な中央CPU21
0には、PowerPCTM、PentlumTM、及び、他のこの種プロセッサが
含まれる。ASICは、例えばチャンバからのデータその他の情報検索または特
定チャンバ構成要素の操作のような特定のタスクに関して設計およびプリプログ
ラムされる。コントローラインタフェースボード201は、例えば、プロセス検
出および監視システム110からの信号121を処理し、中央処理装置(CPU
)210にデータ信号を供給するような、特定の信号処理タスクに使用される。
一般的なサポート回路202には、例えば、双対プロセッサ、クロック回路、キ
ャッシュ、電源、及び、CPU210と交信する他のよく知られたコンポネント
が含まれる。例えば、CPU210は、ランダムアクセスメモリ(RAM)21
1、読取り専用メモリ(ROM)212、及び、当該技術分野において周知の他
の記憶デバイス214と関連して作動することが多い。RAM211は、処理実
施に際して、本発明のソフトウェア実装を記憶するために使用可能である。本発
明のプログラム及びサブルーチンは、一般に、大容量記憶デバイス214に記憶
され、CPU210による実行に際して、RAM211への一時的記憶のために
リコールされる。
【0031】 結果として得られるCPU210の出力は、ディスプレイデバイス218また
は他の通信デバイスをドライブするディスプレイドライバ215にパスされる。
入力デバイス205は、動作を制御するため、又は、コントローラ200内ソフ
トウェアを変更するために、オペレータがコントローラ200内へデータを入力
することを可能にする。例えば、オペレータとコンピュータシステムの間のイン
タフェースは、図2に示すように、CRTモニタ165およびライトペン170
出あり得る。ライトペン170は、ペン170の先端の光センサを用いて、CR
Tモニタ165によって放出された光を検出する。特定のスクリーンまたは機能
を選定するために、オペレータはCRTモニタ165の所定部位に接触し、ペン
170のボタンを押す。ライトペンとCRTモニタ165の間の交信を確認する
ために、触れられた部位の色が変わるか、または、新規メニューまたはスクリー
ンがディスプレイされる。例えばキーボード、マウス、または、位置決め交信デ
バイスのような他のデバイスも、コントローラ200と交信するために使用可能
である。次に述べるように、CPU210も同様に他の制御信号301を生成で
きる。
【0032】 本発明のソフトウェア実装およびコンピュータプログラムコード製品はフロッ
ピーディスクまたはハードドライブなどのメモリデバイスに記憶可能であり、コ
ントローラ200による実行に際してRAMへ呼び込み可能である。コンピュー
タプログラムコードは、例えばアセンブリ言語、C、C++、または、パスカルな
どの従来型コンピュータで読取り可能なプログラミング言語で書くことが可能で
ある。適当なプログラムコードは、従来型テキストエディタを用いて単一ファイ
ルまたは多重ファイルに入れられ、コンピュータシステムのメモリなどのコンピ
ュータが使用可能な媒体に記憶または具体化される。入力されたコードテキスト
が高水準言語であるならば、コードは事前コンパイルされたウィンドウズライブ
ラリルーチンのオブジェクトコードと連結されるコンパイラコードにコンパイル
される。連結され、かつコンパイルされたオブジェクトコードを実行するために
、システムユーザはオブジェクトコードを呼び出し、コンピュータプログラム内
で識別されているタスクを実施するためにコンピュータシステムによってメモリ
にコードをロードさせる。
【0033】 一般に、コンピュータプログラムコードは、タイミング、プロセスガス組成、
チャンバ圧力および温度、チャンバ42内RF電力レベル、電極位置計測、およ
び、プロセスチャンバ42の他のプロセスパラメータを指令する1組または複数
組のコンピュータ命令を含む。また、コンピュータプログラム命令は、プラズマ
発生器に結合られるエネルギの電力レベル、チャンバ42に導入されるガスの流
量レベル及び組成、および、信号およびディスプレイデバイスに関する設定も制
御する。図4に示すように、コンピュータプログラムコードの好ましいバージョ
ンは、例えば、オペレータによるプロセスレシピ(配合表)の入力と選択を可能
にし、選定されたプロセスチャンバ42におけるプロセスレイピ(配合表)の操
作を実行するプロセスセレクタおよびシーケンサプログラムコード175、及び
、プロセスチャンバ42内のチャンバ構成要素を操作し、かつそれらの優先位を
管理するチャンバマネージャプログラムコード180のような複数組のプログラ
ムコード命令を含む。これらのプログラムコードは1組のタスクを実施する個別
のプログラムコードとして図示されているが、これらのプログラムコードは統合
可能であるか、或いは、必要な1組のタスクを提供するために他のプログラムコ
ードのタスクと統合された1つのプログラムコードのタスクであり得ることを理
解されたい。従って、ここで述べるコントローラ200およびプログラムコード
は、ここで述べるか又は図示するようばプログラムコードの特定実施形態、およ
び、本発明の範囲に含まれる等価機能を実施する他の組のプログラムコードまた
はコンピュータ命令に限定されてはならない。
【0034】 操作に際して、ユーザは、1つのプロセスセットおよびプロセスチャンバ番号
を、ビデオインタフェース端末165を介してプロセスセレクタプログラムコー
ド175に入力する。プロセスセットは、チャンバ42内において特定のプロセ
スを実施するために必要なプロセスパラメータで構成され、事前に定義されたセ
ット番号によって識別される。プロセスセレクタプログラムコード175は所要
のプロセスチャンバ、および、特定のプロセスを実施するプロセスチャンバを操
作するために必要なプロセスパラメータの所要セットを識別する。プロセスパラ
メータには、例えば、プロセスガス組成および流量、チャンバ温度および圧力、
マイクロ波またはRFバイアス電力レベルおよび磁界電力レベルなどのプラズマ
パラメータ、冷却ガス圧力、および、チャンバ壁温度などのプロセス条件が含ま
れる。
【0035】 プロセスセレクタプログラムコード175は、プロセスセレクタプログラムコ
ード175によって決定されたプロセスセットに従って異なるプロセスチャンバ
における複数の処理タスクを制御するチャンバマネージャプログラムコード18
0へ特定のプロセスセットパラメータをパスすることによってプロセスセットを
実行する。例えば、チャンバマネージャプログラムコード180は、基板20を
エッチングするため、または、チャンバ42内で基板上に材料を蒸着するための
プログラムコードを含む。チャンバマネージャプログラムコード180は、チャ
ンバ構成要素の操作を制御する種々のチャンバ構成要素プログラムコード命令セ
ットの実行を制御する。チャンバ構成要素制御プログラムコードの例には、支持
物46に対して基板20を取り付けおよび取り外すロボット構成要素を制御する
基板位置決め命令セット、チャンバ42に供給されるプロセスガスの組成および
流量を制御するプロセスガス制御命令セット、絞り弁54の開口サイズを設定す
る圧力制御命令セット、プラズマ発生器56の電力レベルを制御するプラズマ制
御命令セットが含まれる。操作に際して、実行されつつある特定のプロセスセッ
トに従ってチャンバマネージャプログラムコード180はチャンバ構成要素命令
セットを選択的にコールし、チャンバ構成要素命令セットをスケジュールし、各
種チャンバ構成要素の動作を監視し、実行されるべきプロセスセットに関するプ
ロセスパラメータに基づいてどの構成要素が操作を必要とするかを決定し、監視
および決定するステップに応答してチャンバ構成要素命令セットを実行させる。
プラズマ発生器プログラムコード191は、ソースのレベル、または、プラズマ
発生器を操作するために供給されるバイアス電力56を調節するためのプログラ
ムコード命令セットを含む。ガスプログラムコード192は、1つ又は複数のガ
スバルブの開口を調節することによってガス組成およびチャンバを通過する流量
レベルを制御するためのプログラムコード命令セットを含む。図4は単にプログ
ラムコードコンフィギュレーション(構成)の例を示す。
【0036】 装置40は更に、コンピュータシステムと関連して作動し、少なくとも部分的
にコンピュータシステム内に存在するチャンバ内で実施中のプロセスの終点を検
出するための終点検出システム100を含む。一般に、終点検出システム100
は、プロセスチャンバ42内において実施中のプロセスのプロセス変数を検出お
よび監視し、更に、処理段階を決定するために収集された情報を随意に分析する
ために、1つ又は複数の検出器228を含む。終点検出システム100は、プラ
ズマ放射監視システム110およびコンピュータ、好ましくはコントローラ20
0を含む。監視システム110は、例えばプロセスチャンバ42内のエネルギ供
給された気体から放射または放出された光のような放射からの強度データを伝送
可能な従来型ファイバ光ケーブル101によってプロセスチャンバ42に接続さ
れる。例えば、終点決定のための光放射検出については、ここに引用によって組
み込み済みのStanley Wolf等によるProcess Techno
logy(プロセス技術)、Lattice Press(1986)のSil
icon Processing(シリコン処理:VLSI Era.Volu
me1)の第16章において考察されている。終点検出方法の一例は、1997
年5月12日付け提出、共同所有かつ譲渡済みの米国特許出願第08/854,
508「Method and Apparatus for Monitor
ing Process Using Multiple Parameter
s of a Semiconductor Wafer Processin
g System」(半導体ウェーハ処理システムの複数パラメータを用いてプ
ロセスを監視する方法および装置)に開示済みである。
【0037】 一般に光ファイバケーブル101は、図5に示すように、エネルギ供給された
気体またはプラズマの属性をチャンバからモノクロメータ111へ伝達する。ス
テッパモータ112は、モノクロメータ111用波長選択を制御し、較正ランプ
113はモノクロメータを較正する。モノクロメータ111は、光ファイバケー
ブル101によって搬送された光信号を、高電圧電源115によって電力供給さ
れた光電子増倍管114によって増幅される電気信号に変換する。光電子増倍管
114の出力はモノクロメータインタフェースボード116に結合される。モノ
クロメータインタフェースボード116は、基板20上で実施されつつあるプロ
セス、例えば、エッチングプロセスに関する終点決定を監視するための特定波長
の選択を可能にするためにステップモータ112に接続される。ステッパモータ
112は、波長を選択するためにモノクロメータ111内干渉格子の位置を制御
する。また、インタフェースボードは、特定波長においてモノクロメータを較正
するために、較正ランプ113にも結合される。インタフェースボードは、光学
放射検出システム110からの出力信号121を搬送するケーブルに結合される
。その代りに、モノクロメータを基調とするシステムは、例えば1997年2月
13日付けで提出され、引用によってここに組み込み済みの米国特許出願第08
/800,003号に開示されているシステムのような、バンドパス光子検出器
システムによって置き換え可能である。
【0038】 光放射システムデータ121は、コントローラインターフェイスボードを有す
るコントローラ電子装置を介してコンピュータコントローラシステム200に供
給される。コントローラ200は、以下に述べるようにプロセス終点を正確に決
定するために、光放射システムデータ121を分析し、プロセスパラメータ13
1を監視する。終点検出を実行するためのハードウェアは、Applied M
aterials,Inc.(Santa Clara、California
)から入手可能である。光ファイバケーブル101は、部品番号0190−09
134として、モノクロメータは部品番号111―0010−09935として
、コントローラ電子総理は部品番号0240−10475として、コントローラ
200は部品番号0240−32585として入手可能である。
【0039】 エッチング及び終点検出プロセス 下側層をエッチングまたは損傷することなしにゲート酸化物(二酸化ケイ素)
下側層28上のポリシリコン上側層30がエッチングされる典型的エッチングプ
ロセスを参照しながら本発明の原理に従った基板処理および終点検出方法の一例
について説明することとする。基板20は、ロボットアームにより、ロード−ロ
ック移送チャンバ42から、スリットバルブを介してチャンバの処理帯域44へ
移送される。基板20は、静電チャックによって支持物46上に保持され、基板
温度を制御するために、チャック内の小孔を経てヘリウムが供給される。その後
で、プロセスチャンバ42内のプロセスパラメータが、基板20上の層を処理す
るように設定される。この場合のプロセスパラメータは、1つ又は複数のプロセ
スガス組成および流量、ガスエネルガイザの電力レベル、ガス圧、および、基板
温度を含む。また、処理は、例えば各段階が種々異なる処理条件を有するような
複数の段階においても実施可能である。例えば、エッチングプロセスにおいて、
基板20をエッチングするためのエッチング剤ガスを含むプロセスガスの1つ又
は複数の合成物がガスディストリビュータを介してチャンバ42内に導入される
。基板20上の層をエッチングするための適当なエッチング剤気体には、例えば
、HCl、BCl3、HBr、Br2、Cl2、CCl4、SiCl4、SF6、F、
NF3、HF、CF3、CF4、CH3F、CHF3、C222、C246、C2 6 、C3F8、C4F8、C2HF5、C410、CF2Cl2、CFCl3、O2、N2
、He、および、これらの混合物などが含まれる。チャンバ42は、一般に、約
0.1から約400mTorrまでの範囲の圧力に維持される。エッチング用腐
食気体の組成は、高いエッチングレート、及び/又は、下側層に相対的に上側層
をエッチングする高いエッチング選択性比率を提供するように選定される。複数
の層が順々にエッチングされる場合には、各特定の層をエッチングするために、
第1、第2、第3エッチング用腐食気体組成をチャンバ42内に順次導入可能で
ある。
【0040】 プロセスゾーン44におけるプロセスガスは、エネルギ供給され、基板20上
の層をエッチングするために適した第1プロセスプラズマパラメータに維持され
る。図2において、プラズマは、誘導的、かつ/または、容量的にエネルギをチ
ャンバ42の処理帯域42へ結合させるか、又は、遠隔チャンバ(図示せず)の
遠隔ゾーン内、即ちプロセスゾーンから離れた遠隔場所におけるエッチング用腐
食気体へマイクロ波を供給することにより、プラズマ発生器58を用いてエッチ
ング用腐食気体からエネルギ供給される。「エネルギ供給されたプロセスガス」
とは、プロセスガスが活性化されるか、または、エネルギ供給され、その結果、
1つ又は複数の解離された核種、非解離核種、イオン核種、および、中性核種が
、これらの核種が化学的に一層反応的である更に高いエネルギ状態に励起される
ことを意味する。プロセスガスは、チャンバ42を取り囲むインダクタアンテナ
58にRF電源電流を供給し、更に、随意に(オプションとして)、プロセス電
極へRFバイアス電圧を供給するすることによってエネルギ供給されることが好
ましい。エッチング用プラズマまたはエネルギ供給された気体は基板20上の層
をエッチングし、揮発性気体核種を形成する。これらの揮発性気体核種はチャン
バ42から排出される。
【0041】 層の所与の厚さが処理された後で、層の厚さを検出し、チャンバ42内の処理
条件を変えるために、終点検出方法が用いられる。エッチング処理に際して、終
点検出方法は、基板20の処理を制御するため、例えば、層処理を終結されるた
めまたは、特定のエッチングレート又はエッチング選択性比率を提供するように
プロセスガスの組成を変えるために使用可能である。
【0042】 プロセシングチャンバの使用に際して、例えばプラズマエッチングチャンバは
、例えば強度Iの光のような放射を放出し、プロセスチャンバが要求に応じて機
能し、ランプアップ及びランプダウンを排除しているものと仮定すれば、この放
射強度は、適切に作動中のチャンバにおいて良好に画定された一組の限界値内に
留どまらねければならない。この場合、放射強度の測定は当該処理の終点の正確
な決定を提供する。ただし、或る特定の運転条件、例えば、プロセスチャンバ内
およびプロセスチャンバの周辺のプロセスパラメータおよびプロセス変数がそれ
らの一般的な値または正常値から非常に大きく変動する場合には、放射強度はこ
れに応じて変動し、測定システムは紛らわしいデータ読取り値を与えることがあ
り得る。これらの条件には、限定的意味を持つことなく、例えば、電源電力、R
F電力、順方向RF電力、RF反射電力、RFピークツーピーク電圧と電流およ
び位相、RF負荷位置、RF同調位置、チャンバ圧力、ガス流量、ウェーハ背面
を冷却するガス流量、絞り弁位置、波長、露出酸化物部位、磁界強度、エッチン
グレート、直流バイアス、ヘリウム漏洩レート、及び、プロセスチャンバ内およ
びその周辺における他の変数およびパラメータが含まれる。
【0043】 放射強度Iは、これらの条件またはパラメータの関数である。典型的な例を示
すため、及び、説明を明瞭にするために、強度Iは、波長、RF電力、チャンバ
圧力、及び、ガス流れ量の関数であるものとみなしても差し支えなく、I=I(
λ、R、P、F1、F2、F3)で表現可能である。勿論、任意の個数の条件およ
びパラメータについて考察しても差し支えなく、考察するパラメータの個数が多
くなれば当該デバイスの精度が向上するはずである。一定波長λにおいて、この
例に関する1次テイラ方程式は次のようになる Δl(λ)/l(λ)=ARΔR/R+APΔP/P+AF1ΔF1/F1+AF2ΔF2/F2+AF3ΔF3/F3 ここに、ΔX/X(X=I、R、P、F1、F2、F3として)は相対的変化で
あり、Axは応答係数である。実用的用途において、これらのプロセス変数は、
基板処理に際して変動し、強度Iを変動させ、ひいては、これに応じて光放射信
号を変動させる。この変動は間違い終点信号に帰着し、終点に既に到達したとい
う終点検出システム100による間違い表示に帰着することがあり得る。この場
合には、エッチング処理は早期に終結または変更されることがあり、不十分なエ
ッチングに帰着するか、または、終結が遅過ぎるか、基板20上の下側層内まで
望ましくなくかつ制御されないエッチングが行われることに帰着することがあり
得る。同様に、終点検出システムによって制御されつつある蒸着または他の処理
ステップが、プロセスにおける理想的でない段階において終結されるか、又は、
変更されることがあり得る。
【0044】 本発明の一実施形態において、これらの処理条件は、例えば光放射信号のよう
に種々異なるプロセス段階期間中に変動するプラズマから放出された事前定義済
み波長の放射強度に基づく終点検出決定を識別するために用いられる。プロセス
変数および放射放出信号は事前定義済みアルゴリズムによって分析される。放射
信号が終点を指示すると同時にプロセス条件信号に異常変化が発生するならば、
コントローラ200は間違い又は欠陥終点信号またはメッセージを発行するはず
である。プロセス条件信号における異常変化は、指定されたタイムウィンドウ内
のアルゴリズムに事前定義された境界を越える信号変化として定義される。
【0045】 コントローラ200は、プラズマ121の放出強度に関連するデータを受け取
り、例えば従来型センサ228によってプロセス条件131を監視する。例えば
、順方向電力、反射電力、直流バイアス、RFマッチ負荷、同調位置等のRF変
数および信号、チャンバ圧力信号、ガス流量信号、及び/又は絞り弁位置信号が
獲得されて監視される。コントローラ200は、これらの信号を分析するために
用いられるソフトウェアアルゴリズムを収容するコンピュータシステムを含むこ
とが可能である。いつ終点に到達するかを正確に決定するために放出強度信号1
21および全て又は任意個数の監視済みプロセスチャンバ条件信号131がアル
ゴリズムによって分析される。終点は、例えば基板20上の1つの層のエッチン
グ又は蒸着のような処理の完了を表すことが可能であり、または、発生した処理
の特定量を表すことが可能である。例えば、幾らかのエッチング処理において、
下側層を覆うように1つの薄膜層を残すことが望ましい。これらの状況において
は、完全なエッチングが1つの層を貫く以前に終点を合図することが望ましいは
ずである。他の幾らかの場合には、第1処理条件の下において第1の厚さまで1
つの層をエッチング(または、蒸着)し、その後で、第2処理条件の下において
1つの層の残り又は第2の厚さまでエッチング(または、蒸着)することが望ま
しい。第2条件は更に低速で保護的にエッチング(または、蒸着)することを可
能にするか、又は、第1および第2処理条件は、別の一例のように、基板20上
の層の処理期間中に同時にプロセスチャンバを浄化するための浄化ガスを含むこ
とが可能である。
【0046】 全てのデータは、例えば、図6a、6b、7、及び、7aに示すように、事前
定義アルゴリズムによって分析される。例えばプロセスパラメータ様RF成分の
ような特定の処理条件は、基板20上の層の処理期間全体に亙ってリアルタイム
に常時監視される。例えば、測定されたRF成分電力値を表す信号131aは監
視される230a。監視された条件は、次に、受け入れ可能であって、当該チャ
ンバ内で実施中のタイプの処理に関して正常範囲内に在ると推定される事前決定
値231aの事前定義範囲と比較される。事前決定値231aは、当該チャンバ
内で実施される一般的(または、プロセスの統計的に関連する母集団から得られ
た)処理に際して処理条件が正常に変動する値の範囲を監視するか、または、公
称値、即ち、当該処理条件の敷居値に基づく特定の処理条件の正常ノイズ変動に
対応する受け入れ可能な値の範囲を算定することによって経験的に決定可能であ
る。コントローラ200は処理条件を監視し、処理条件が事前決定値の範囲外に
出た(監視されている条件が適切に機能していないことを示す)場合には、コン
トローラ200は誤りまたは警告フラグ232aを発行する。監視は継続し、監
視された条件が事前決定範囲内に所在するまで、フラグは発行されたままである
。監視された条件が許容範囲内に在る場合には、誤りまたは警告フラグは発行さ
れず233a、又は、トグルタイプフラグが使用されているならば、以前に発行
された誤りまたは警告フラグは引っ込められる。図6bは、例えば、プロセスパ
ラメータ様直流バイアスまたはチャンバ圧力または絞り弁の位置のような別の条
件の機能レベルを決定するために同一タイプの処理がどのように実施されるかを
示す。第2処理条件を表す信号131bは監視され230b、当該条件に関する
事前決定値231bの範囲と比較される。図6aと関連して上述したように、第
1処理条件と同様の仕方において誤りフラグまたは誤り信号が発行される233
bか、または、発行されない232b(または、引っ込められる)。第3、第4
、第5等々の条件は同様に監視可能であり、事前決定レベルにおいて機能してい
ないときには、フラグが発行される。各信号131a、131b、等々は関連ア
ルゴリズム231a、231b、等々を有する。アルゴリズムには、デジタルフ
ィルタ及び事象始動メカニズムが含まれる。誤りまたは警告フラグ232a、2
32b、等々は例外変数によって始動される。そうでない場合には、誤りまたは
警告フラグ232a.232b等々はゼロ233a、233b、等々に設定され
る。条件が事前決定値内に在るときには、非誤り信号またはフラグを発行するこ
とによっても処理は作動可能であることに注意されたい。
【0047】 処理監視のの精度は、監視されるために選定される条件の個数と共に向上する
。例えば、処理は、例えばRF成分または直流バイアスのような1つの単一処理
条件を監視することによって監視可能である。ただし、例えば、RF電力および
チャンバ圧力を監視することにより、または、RF電力、チャンバ圧力及びガス
流量を監視することにより、2つ或いは3つの条件などの複数のプロセスパラメ
ータおよび変数を同時に監視する方法が発見された。複数の処理条件を監視する
ことは、複数の処理条件全てに関して有効なチャンバの作動状態(例えば終点)
の決定を提供する。従って、複数の処理条件に基づく1つの作動状態測定は、た
だ1つの処理条件が検出または監視され、他の関連処理条件は検出または監視さ
れない決定より更に有効(または更に正確)である可能性がある。従って、適切
に選定された2つの或いは3つの条件監視プロセスは終点決定をかなり改良し、
間違い終点信号の個数を減少させることが可能である。
【0048】 RF電力が放出強度に及ぼす影響、即ち、Applied Material
s製MxP+酸化物チャンバにおいて実施された酸化物エッチング処理から得ら
れた光放出スペクトルを図14に示す。下側トレースは900WのRF電力に対
応する放射スペクトルであり、RF電力が1000Wに増大されたときに上側ト
レースが得られる。この場合、放射強度の増加はRF電力(プロセスパラメータ
)の変化のみに起因し、真の終点の正確な表示でない。従って、エッチング期間
中におけるRF電力(または他の例外変数)を同時監視することなく、処理終点
インジケータとして放射強度変化のみに依拠すれば、RF変動に起因する放射強
度変化をエッチング終点として間違って解釈することがあり得る。これとは対照
的に、本発明は、放射強度と共にRF電力または他の条件を監視することにより
、間違い終点を避けるために制御事象を識別する方法を提供する。
【0049】 絞り弁位置およびガス流などの他の処理条件もこのアーキテクチャ内に組み込
み可能である。処理条件から得られる全てのデータは、事前定義アルゴリズムま
たは敷居値を用いて分析される。例えば、処理条件は、それら各々の事前定義さ
れた境界値に対してあらゆる変化を検出するように監視される。変化は、これら
の処理条件の各々に関して、検出された境界値からのゼロでないあらゆる変化が
算定可能である。変化は、差としての小さい変化、または、観測された変数とそ
の境界値の間の比率のいずれかとして表すことができる。終点検出以前にRFま
たは室チャンバ圧力信号に異常変化が検出された場合には、終点検出システム1
00は間違い終点を送るはずである。RFまたは圧力信号における異常変化とは
、例えば終点検出に1から5秒先立つ指定されたタイムウィンドウ内のアルゴリ
ズムに事前定義された境界を超過するRFまたは圧力信号における変化として定
義される。適切なタイムウィンドウまたは判定基準は、特定の例外変数または特
定の処理方法によって変化可能である。この種の時間相関判定基準には、制御事
象の後で例外事象が発生する状況が含まれることがあり得る。
【0050】 光放射信号121を用いて複数の処理条件が同時に監視されることが好ましい
。図7に示すように、光放射信号121が監視され220、放出信号121が終
点への到達を表すかどうかを評価するためにアルゴリズム221が用いられる。
終点が識別されなかったならば、監視は継続する。放出信号121が終点を表す
ならば、誤りフラグの存在または欠如が検出される222。誤りフラグが検出さ
れるならば、即ち、例えば、条件信号131aが事前決定レベル内に所在しない
ならば、それによって誤りフラグ232aがトリガされ、間違い終点を示す信号
が発行される225。誤りフラグが検出されないならば、終点信号が発行される
223。コンピュータコントローラ200は、以下に考察されるように、処理条
件に変化を開始させるために終点信号223を使用可能である。その代わりに、
処理は、既に述べたように、非誤りフラグ又は信号の存在に関してチェックする
ことが可能である。この観点から、非誤り信号または非誤りフラグの欠如は、用
語「誤りフラグ」および「誤り信号」に含まれると見なされる。
【0051】 図7aに示す実施形態において、中間ステップ224は、監視されてフラグが
発行された条件の変化が警告または真の誤りを構成するかどうかを質問する。幾
らかの処理条件、例えば、特定のプロセスパラメータが間違い終点の原因であり
、かつこれを表していることは周知であると決定可能である。他の幾らかの条件
は、実際の間違い終点の更に軽度の原因であることが決定可能である。後者の場
合、間違い終点信号225でなくて潜在的間違い終点226を発行することが望
ましい。誤りの定義におけるこの変化は、処理制御が融通性を持つことを可能に
する。例えば、間違い終点信号は処理と停止するために使用可能であるが、潜在
的な間違い終点信号は単に警告を発行し、処理の継続を可能にするために使用し
得る。次に、幾らかのタイプの処理評価が実施可能である。
【0052】 1つ又は複数の条件または変数は制御変数として定義される。図7における信
号121は、制御条件を表し、光放出信号121として図7に示される。図6a
及び6bにおける信号131a、131b、等々は例外条件を表す。1つ又は複
数の制御条件用アルゴリズムは、例えば制御条件の各々またはそれらの組合わせ
の適切な変化に際して、例えば終点検出のような制御事象を始動するように設計
されている。1つ又は複数の例外条件用アルゴリズムは、例外条件または例外条
件の変化が事前定義境界外に所在する場合に限りトリガする(始動する)ように
設計される。事前定義境界内に所在しない暴走が発生するときには、例外事象が
発行される。制御事象が例外事象に付随して発生するならば、誤りまたは警告2
25が出版され、一般に基板20処理を停止させる。
【0053】 データ入手、リアルタイム分析、及び、論理的決定は間違い制御事象の可能性
を減少させ、間違い制御事象の原因となるプロセス変数に関するデータを提供す
る。フラグは、分類および研究されるべきエラー検出器として役立つ。特にフラ
グが発行され易い条件は処理装置42の欠陥部分を表すことがあり得る。これら
フラグ信号の分析は診断のための経費および時間を節約し得る。また、フラグ発
行条件が基板20の処理を停止させ、これに続く基板の評価が適切な或いは事前
決定された終点決定を示す場合には、フラグ発行条件に関するアルゴリズムが変
更され得る。1つの単一フラグであっても検出されるならば、誤りまたは警告が
発行されること225が好ましい。その代りに、誤りまたは警告225は、複数
のフラグが検出された場合に限り発行可能である。
【0054】 一般に、アルゴリズムは、経験的に導出できる。その代りに、アルゴリズムは
規則に準拠し得るか、又は、教示可能であり得る。アルゴリズムに用いられる事
象を始動する論理は、以下に考察するように、ブールロジック、ファジィロジッ
ク、または、重み付けロジックであり得る。本発明の典型的実施形態は、制御条
件として光放出データを使用する。例外条件は、例えば、RF電力、直流バイア
ス、チャンバ圧力、および、ガス流量のような1つ又は複数のRF構成要素であ
ることが好ましい。
【0055】 本発明の別の好ましい一変化形態において、光放出信号121は、処理条件1
31a、131b、等々の1つに代用され得る。換言すれば、監視されるプロセ
スパラメータは、図7に示すような制御条件として使用可能であり、光放出信号
は、図6aに示すように、フラグを発行するために監視され得る。条件が事前決
定レベル内に所在し、しかも、光放出信号がフラグを出さないときには、終点信
号が発行されるはずである223。
【0056】 更に別の好ましい一変化形態において、全ての条件が絶えず監視される必要は
ない。例えば、図8に示すように、光放出信号121は、上記のように監視され
得る220’。終点に到達したことを放出信号121が表示すると221’、条
件信号131aが監視される230a。監視されている処理条件が事前決定範囲
内に在るならば231a、終点が発行される223。処理条件が事前決定範囲内
に所在しないならば、終点が発行される223。コンディション条件状態が所定
のの中にないならば、変動しなさい。誤った終点信号が発行される225’。
【0057】 作動に際して、終点検出システム100は、光放出データ又は他の制御データ
121ならびに処理条件データ131のような放射を監視することにより、処理
システム40の動作を連続的に監視する。コントローラ200は、処理システム
40全体の動作を制御する連続的な出力信号301を提供する。コントローラ2
00は、信号121、131の監視を具体化するコンピュータ読取り可能プログ
ラムコードを備えたコンピュータ読取り可能媒体を有する。コントローラ200
は、既に述べたようにアルゴリズムを収容し、絶えず出力信号301を生成する
。出力信号は、(i)終点に到達していないか、(ii)終点に到達したか、又
は、(iii)誤り終点に到達したかを表示する。終点に到達していなければ、
処理条件は変化せず、基板20上の層の処理は継続する。終点に到達しているな
らば、例えば、下記のステップの少なくとも1つによって、処理動作を制御する
ために出力信号が用いられる:(i)ガスエネルガイザの操作電力レベルを調節
するステップ、(ii)プロセスチャンバ内処理条件を調節するステップ、(i
ii)プロセスガスの流量を変えるステップ、又は、(iv)プロセスチャンバ
42内で実施される処理を終結させるステップ。誤り終点に到達したならば、基
板20の処理が終結されるか、調節されるか、または、評価される。また、コン
ピュータコントローラは、実施中の動作についてオペレータに通知するために、
ディスプレイをドライブするか、或いは、警報信号を提供することも可能である
【0058】 操作に際して、ユーザは、プロセスセット及びプロセスチャンバ番号を、入力
デバイス205を介して、プロセスセレクタプログラムコード内へ入力する。プ
ロセスセットは、チャンバ42内で特定処理を実施するために必要なプロセスパ
ラメータで構成され、事前定義されたセット番号によって識別される。プロセス
セレクタプログラムコードは所要プロセスチャンバ、および、特定の処理を実施
するようにプロセスチャンバを操作するために必要なプロセスパラメータの所要
セットを識別する。プロセスパラメータは、プロセスガス組成および流量、チャ
ンバ温度および圧力などの処理条件、マイクロ波またはRFバイアス出力レベル
および磁場電力レベル、冷却気体ガス圧力などのプラズマパラメータ、および、
既に検討済みのチャンバ壁温度を含む。
【0059】 システムは、監視されるべき処理条をオペレータが選択可能であるように設計
される。従って、オペレータは、制御変数および例外変数を選定可能である。ま
た、オペレータは、使用されるべきアルゴリズムを選定し、当該アルゴリズム内
で用いられる範囲を変更することも可能である。終点に到達すると、コンピュー
タは、ガスエネルガイザプログラムコードを介してガスエネルガイザを制御し、
プロセスガス処理プログラムコードを介してプロセスガス導入を制御し、チャン
バ条件プログラムコードを介して他のチャンバ条件を制御するためのプログラム
コード命令セットを含む制御コードを開始する。
【0060】 図2−4に示す構成の代りに、図9に示すように、終点検出システムは独立言
語ユニットであり得る。独立言語終点検出システム100’は、上述したように
、光放出検出システム110およびコントローラ電子装置を含む。独立言語終点
検出システム100’は、更に、上述のアルゴリズムを収容して実行するコンピ
ュータ200aを含む。終点でないか、終点であるか、または、間違い終点であ
るかを独立言語終点検出システム表示する出力信号301’は、基板処理を制御
するために使用される従来型メインフレームコンピュータ200bに供給される
【0061】 他の一実施形態において、ネットワークアクセスシステム400は、終点検出
システム100のリアルタイム忠実度を維持した状態で、処理条件および終点決
定データへのネットワークアクセスを可能にする。基板から基板への分析、アル
ゴリズム開発、統計的分析、障害追跡、および、問題解決のためには、監視され
た条件の全てのヒストリへのネットワークアクセスが必要であるが、終点検出シ
ステム100の忠実度を犠牲にしないことが重要である。全てのネットワークユ
ーザが、サーバを介してコントローラ200への、特に同時における、直接アク
セスが認可された場合には、処理劣化な可能性がかなり増大するはずである。
【0062】 この問題は、ネットワークユーザ421またはサーバとコントローラ200の
間に防火壁を設けることによって減少または除去可能である。防火壁は、1つ又
は複数のユーザ間、ユーザとコントローラ間、サーバとコントローラ間において
、および、コントローラへ接続されたネットワークを介してデータ流を制御する
単一阻止体またはアクセスポイントを提供する。例えば、一方のネットワークが
インタネットであるか、又は、両方のネットワークがイントラネットであっても
差し支えない。防火壁は、コンピュータ間の全ての通信トラヒックを1つの狭い
単一被制御アクセスポイントを経て通過させ、悪化防止対策が制御されたアクセ
スポイントに集中されることを可能にすることによって、潜在的な処理悪化問題
に焦点を絞ることを可能にする。防火壁は、コントローラとサーバ間のデータ流
量を制御するために特に有用である。
【0063】 一般に、プロセスチャンバ42用コントローラとローカルエリアネットワーク
(LAN)又はワイドエリアネットワーク(WAN)内の幾つかのユーザにサー
ビスを提供するサーバとの間の通信が防火壁によって制御される。実際には、幾
つかの個別LANが、ワイドエリアネットワーク内の1つの会社の異なる区分と
関連する企業ネットワークにおいて防火壁は特に有用である。ローカルネットワ
ークエリアは、サーバコンピュータが高速通信システムを提供するために相互に
近接しているネットワークである。ワイドエリアネットワークは、地理的に広い
領域に亙って所在する少なくとも2つのローカルエリアネットワークが1つ又は
複数の専用接続部を経てリンクするネットワークである。防火壁は、コントロー
ラがプロセスチャンバを能率的に操作し、かつ、リクエスト、または、ネットワ
ーク環境内のサーバ又はユーザからの他の通信によって絶えず中断されることな
しに、時間感応的または時間臨界的データ信号を受け取ることが可能であるよう
に、処理装置のコントローラ及びその資源を関連サーバ及びそのユーザから保護
することを意図したものである。ユーザ、サーバ、及び、コントローラ間をいず
れの方向にも流れる通信データパケットはも防火壁を貫いて通過しなければなら
ない。
【0064】 例えば、防火壁は、特定のネットワーク環境内の個別ユーザ(サーバを介して
)によってコントローラへのアクセスを制限するために使用できる。例えば、或
る会社の研究開発部門が日本に所在し、別の研究開発部門が米国に所在する場合
、防火壁は、日本におけるプロセスエンジニャのコンピュータが米国研究室にお
けるプロセス処理を実行中の処理装置およびコントローラとリアルタイムモード
において交信することを可能にする。これは、処理装置およびそのデータへの認
定済みユーザによるリモートアクセスを可能にすることにより競争上の重要な利
点を提供する。更に、チャンバ内で実施中の特定テストの結果を見ることに関心
のある顧客またはサプライヤは、サーバをダイアルアップし、コントローラの機
能を妨害することなしにデータを受け取ることができるはずである。
【0065】 防火壁は、それは、コントローラとサーバ又は他のユーザとの間の必要でない
か又は重要でない通信を減少または防止するバリヤ(隔壁)として使用すれば特
に有用である。これは、チャンバ内処理条件の制御、プロセスチャンバの構成要
素からのデータ信号の受信、または、信号分析などの必要または時間臨界的タス
クを、不必要な中断または通信への割込みなしにコントローラが実施することを
可能にする。サーバ又はユーザがコントローラに連続的にアクセスすることがも
し許容されたとすれば、コントローラは、コントローラからの連続または高速デ
ータ入手が中断され、効果的に機能しないはずである。
【0066】 防火壁は、一般に、事前決定されるか、または、望ましい通信を選択的に可能
にし、特にコントローラとサーバ間の事前決定されていない通信を不可能にする
コンピュータハードウェアまたはソフトウェアである。可能性のある対立その他
の危険を回避するために、好ましくは、防火壁は、専用コントローラボード又は
コンピュータ、即ち、それによってユーザ通信が防火壁を回避するような経路を
提供可能な実行中の他のユーザがアクセス可能なプログラムを持たない専用機に
おいて実行されなければならない。更に、防火壁は、同一コンピュータシステム
内の他のソフトウェア、プログラムコード、または、命令セットと組合わせ可能
である。また、防火壁は、例えば、組合わせウェブ又はインターネットサーバ及
び防火壁などのソフトウェアアプリケーションの組合わせ体でもあり得る。更に
、例えば電子メール、ウェブサーバ、データベース、等々の関連サービスは、防
火壁用に使用される同一計算プラットホーム上でホストされ得る。
【0067】 一実施形態において、防火壁は、データパケットと関連する様々の場(フィー
ルド)における値を決定し、その後で、データパケットが伝送されるか、または
、値に応じてブロックされることを選択的に可能にする意思決定プログラムに基
づいて値を評価するために、コントローラとサーバ間またはその逆に送られるデ
ータパケットを読取るソフトウェア(命令を含むコンピュータプログラムコード
)を有する。例えば、防火壁は、コントローラとサーバの間の通信のみを可能に
するが、もう一方の方向の通信は可能でなく、更に、ユーザとコントローラの間
の一切の通信を可能にしない一方向通信リンクとして役立てるために、データプ
ロトコルをしようするプログラムコードを含むことがあり得る。また、ソフトウ
ェアは、サーバがコントローラからのデータ信号伝送を受け取り、その後で当該
データ信号をサーバに送る準備が整っているかどうかを確認する命令を含むこと
も可能である。サーバがデータ信号伝送を受け取ると、サーバはデータ信号の安
全受信を肯定確認するか、そうでなければ、コントローラはデータを再送信する
。サーバがデータ伝送信号を受け取る準備が整っているかどうかをコントローラ
が確認する回数は、システムアドミニストレータによって設定可能な事前定義可
変フィールド内に所在する。これは、例えば、サーバが動作不能、ハングアップ
(機能停止)、又は、単にユーザ対応中である場合に、コントローラがデータ信
号をサーバに送ろうと連続的に試みることを防止する。コントローラからサーバ
へ、及び、サーバからの肯定応答として行われる少ない回数の「準備が整った(
レディ)」状態の確認コールは、作動不能なサーバへのデータ送信状態において
コントローラがハングアップ(機能停止)しないことを保証する。
【0068】 例えば、一実施形態において、図11に示すように、コントローラ200と終
点サーバ410の間のデータ転送はデータ転送プロトコルによって実施される。
通信プロトコルのヘッダ構造500は、STXフィールド510(テキスト開始
)、MSGIDフィールド520、長さフィールド530、ファイルネームフィ
ールド540、及び、データヘッダフィールド550を有する。STXフィール
ド510は、コントローラ200からメッセージが入来中であり、終点サーバ4
10がメッセージを受け取る準備を整えるべきであることを終点サーバ410に
警告するために用いられる。MSG_IDフィールド520は、それによってデ
ータが送られつつあるフォーマットを定義する。例えば、590に示すように「
0」はデータが「テストデータ」であることを表示し、「1」はデータが「2進
データ」であることを表示し、「2」はデータが「ASCIIデータ」であるこ
とを表示する。長さフィールド530は、終点サーバ410へ伝送されるべきフ
ァイルの長さを定義するフィールドである。また、長さフィールド530内情報
は、例えば、チェックサムルーチンのようなエラー回復用にめ使用可能である。
ファイルネームフィールド540は、終点サーバ410へ伝送されるべきファイ
ルの名前を定義する。データヘッダフィールド550は、例えば、終点タイミン
グ等の終点サーバ410へ伝送されるべきデータの性質を定義するために用いら
れる。本発明の通信プロトコルのデータ構造560はデータフィールド570お
よびチェックサムフィールド580を有する。データフィールド570はデータ
を運び、チェックサムフィールド580は、データが不適当に送信されるか、ま
たは、サーバによって不適当に受信された場合におけるデータエラー回復を実行
するための情報を運ぶ。
【0069】 他の一実施形態において、防火壁は、ユーザ又は他のサーバによるコントロー
ラへのアクセスを制御するために、アプリケーションレベルプロキシ又はプロキ
シサービス及びパケットフィルタを使用することも可能である。パケット濾過は
、コントローラに対するデータ信号または他の信号の流れを選択的に制御するた
めに防火壁が実施する動作である。データパケットフィルタは、特にコントロー
ラがタスクの実施中または信号の受信中に、ユーザ又はサーバから発信されるデ
ータパケットがコントローラに到達することを防止する。従って、パケットフィ
ルタは、或る特定のデータパケット及び他のデータパケットの経路再指定をブロ
ックする。パケット濾過を確立するために、どのタイプのデータパケット(例え
ば、特定のIPアドレス又はポートに対するパケット)が通過可能であり、どの
タイプのデータパケットがブロックされるかを規定する1組の規則をネットワー
クアドミニストレータが確立する。パケット濾過は、ルータ内、ブリッジ内、又
は、個別ホストコンピュータシステムにおいて発生可能である。パケットフィル
タは、一般に、「デフォルト許容スタンス」即ち、明確に拒否または禁止されて
いない事は許容される方針で構成される。パケットフィルタは、潜在的に有害な
データパケットを禁止するために、トラヒックがどのように見えるかを知らなけ
ればならない。濾過機能は、様々なタイプの潜在的に有害なデータパケットをる
カタログし、無害または良性パケットとこれらを区別するために用いられる。パ
ケットを濾過することは、最も一般的なタイプの割込みその他のユーザリクエス
トを処理し、濾過レベルは、パケット濾過手段内に設定可能である。
【0070】 更に他の一実施形態において、防火壁は、1つの単一パケットに基づくことな
く、このシステムの操作に関して同一ポートで受信され、適宜記録されたパケッ
トの経歴ウィンドウに基づいてパケット濾過を実施するために使用可能な「状態
指向検査」を使用することも可能である。状態指向検査は、パケット濾過を用い
て達成可能な安全レベルを向上させる。データパケットの経歴ウィンドウは、フ
ィルタが有害なパケットを更に正確に識別すること、及び、ほとんど無限な多様
性をもつ可能なデータパケットおよびデータパケットシーケンスを処理するため
に何を探索しつつあるかを決定する知能を作成することを可能にする。
【0071】 更なる一実施形態において、防火壁はプロキシサービスにも依拠する。「プロ
キシ(代理)」はユーザ又はサーバに代わってコントローラを扱う中間システム
において実行するプログラムである。防火壁によって保護されているネットワー
ク上のコントローラと交信しようとするユーザ又はサーバはプロキシを基調とす
る中間システムへの接続を要求する。中間システムは承認済みリクエストをコン
トローラへ中継し、応答をユーザ又はサーバへ中継して戻す。プロキシは、一般
に、接続を確立するために、例えばプロキシを意識したアプリケーション又はユ
ーザ特注手順のような特注ソフトウェアを必要とする。代行するための特注ソフ
トウェアは、ソフトウェアが特定装置用に設計されること、及び、オペレータ実
施特殊手動構成が中間コンピュータシステム上のプロキシと接触するようにソフ
トウェアに指示する手順を有することを必要とする。他の特注手順において、ユ
ーザは、ユーザ又はサーバが接続することをプロキシに告げ、その後で、コント
ローラが接続しようとするプロキシに告げる。
【0072】 操作に際して、遠隔手順コールが防火壁を貫いてコントローラ200まで通過
することを可能にするリクエストがサーバ又はユーザ421から受け取られるか
、又は、コントローラ200は信号または他の情報をサーバへパスする。ユーザ
/サーバリクエストの場合には、ユーザ/サーバが防火壁を貫いてセルを送るこ
とが認可されているかどうかを決定するために当該リケストが処理される。認可
されているならば、ユーザ/サーバの識別が、防火壁と関連して記憶され、遠隔
手順コールが防火壁を貫いてコントローラ200まで通過することが許容される
。そうでない場合には、防火壁は、ユーザ/サーバへの認可を拒否する。
【0073】 その代りに、防火壁はユーザ又はサーバからの全てのリクエストを単に無視し
、単にコントローラとサーバ間の一方向通信リンクとして役立つようにプリプロ
グラムされ得る。これは、ユーザ又はサーバから発信される全ての通信がコント
ローラに到達することを防止し、それによって、妨害されたり、邪魔されたりす
ることのない仕方においてコントローラが作動することを可能にする。
【0074】 逆順序において、情報又はデータ信号などの他の信号をコントローラがサーバ
にパスすることが必要であるときは、当該コントローラは防火壁を貫いて情報を
パスしなければならない。情報を受け取る準備が整っているかどうかを知る目的
でサーバをポーリングするためには、ポーリングプログラムを使用可能であり、
そうであれば、その情報がサーバにパスされる。
【0075】 情報および時間的に臨界的でないデータへのネットワークアクセスを可能にす
るために、終点追跡ファイルおよびログ情報は、図10に示すように、サーバ4
10にとって即座に利用可能である。終点追跡ファイルは、限定的意味をもつこ
となく、例えば制御変数および例外変数の両方に関する一時的データを含む。ロ
グ情報は、限定的意味をもつことなく、制御事象、基板識別、処理条件およびレ
シピ(配合表)、カセット識別、および、スロット番号などを含む。処理および
終点検出に関する他のあらゆる関連情報は、同様に、サーバ410にロードされ
得る。2つのコンピュータの間のデータ転送は直列ポート及び高速直列リンク4
05を介して、115kB/secの速度で実施される。データ転送はリアルタ
イム終点ソフトウェアによって管理される。時間的に臨界的でないタスクのサー
バ410へのオフローディングは、結果として、コントローラ200上で実行さ
れつつある時間的に臨界的なタスクは予測可能な衝撃を及ぼすことになる。この
実施形態におけるコンピュータコントローラは、図2及び4に示すコントローラ
200、又は、図9に示すコンピュータ200a、200bの組合わせ体のどち
らであっても差し支えない。
【0076】 図10のネットワークアクセスシステムを用いて、1つ又は複数のユーザ42
1、422、423、424は、たとえば、Windows NTのような、オ
ペレーティングシステムを介して、終点追跡ファイル又は終点ログファイルに遠
隔的にアクセス可能である。このアクセスは、終点検出システム100の動作を
妨害することなしに発生する。基板20が処理されると直ちに遠隔端末において
再処理または審査するために、終点追跡ファイルおよびログ情報は、終点サーバ
410にとって、即座に利用可能である。コンピュータコントローラ200と終
点サーバ410は同一電源およびバックプレーンを共有することが好ましい。2
つのコンピュータは個別必要条件に対して設計された個別オペレーティングシス
テムを実行する。既に検討したように、データ獲得についてはコンピュータコン
トローラ200が責任を負い、処理制御は防火壁によって時間的に臨界的でない
処理要求から隔離され、かつネットワーク故障から隔離される。終点追跡ファイ
ルは自動的にバックアップされることが好ましい。更に、単一遠隔端末から多重
終点検出システム100にアクセス可能であることが望ましい。
【0077】 本発明を構成する部分の1つである対話の一例を図12に示す。この好ましい
実施形態におけるコントローラ200は、通信経路2701から270nを介して
1つ又は複数のチャンバ421から42nを制御するための汎用コンピュータ20
0b(例えば、メンテナンスコンピュータ、ワークステーション、または、パー
ソナルコンピュータ)として実装される。コンピュータ200bは、中央処理装
置(CPU)即ちプロセッサ210、メモリ211、ROM212、及び、様々
な入力/出力デバイス205を有する。この好ましい一実施形態において、コン
ピュータ200b(モトローラ(Schaumburg、Illinoisから
の680x0直列プロセッサに基づくコンピュータ)はチャンバ421から42n 内における様々な基板処理ステップを監視および制御する。
【0078】 その制御機能を援助するために、コントローラ200bは、通信ポート311
及び通信チャネル又はリンク301’を介して終点検出システム100と交信す
る。好ましい一実施形態において、通信リンク301’はRS−232直列イン
タフェースである。その代りに、例えばイーサネット又はデジタルインタフェー
スなどの他の2方向通信リンク280も使用可能である。終点検出システム系1
00’は、基板処理期間中において例えば終点検出などの制御事象の発生を検出
し、コントローラ200bに通知する。
【0079】 本発明における終点検出システム100’は光学、RF、及び、圧力センサ2
28、多重チャネル高速および高解像度A/Dデータ獲得回路229、及び、汎
用コンピュータ200aを有する。汎用コンピュータ200aは中央処理装置(
CPU)即ちプロセッサ(終点コントローラ)252、メモリデバイス254、
および、様々な入力/出力(I/O)デバイス256を有する。例えば、メモリ
デバイス254はランダムアクセスメモリ(RAM)、及び/又は、読取り専用
メモリ(ROM)を有する。I/Oデバイス256には、例えば、キーボード、
マウス、タッチパッド、ディスプレイ、プリンタ、及び、限定的意味をもつこと
なく、テープドライブ、フロッピードライブ、ハードディスクドライブ、または
、CD ROMドライブを含む記憶デバイスが含まれる。以下に説明するソフト
ウェアアプリケーション又は方法は、記憶デバイスからロードされることが可能
であり、汎用コンピュータ200aのメモリ254内に所在する。従って、以下
に説明するように、本発明の方法はコンピュータ読取り可能媒体に記憶され得る
。その代りに、本発明の方法によって実施される機能は例えば、特定用向け集積
回路(ASIC)のようなハードウェアとして部分的または全体的に実装するこ
とも可能である。
【0080】 従来の終点検出システムと異なり、本終点検出システム110’は更に、例え
ば、終点追跡ファイル又はログファイルからのネットワークアクセスのような、
時間的に非臨界的なタスクを終点コントローラ252からオフロードするための
専用終点サーバである追加プロセッサ410が組み込まれる。
【0081】 更に詳細には、好ましい一実施形態において、終点コントローラ252及び終
点サーバ410は両者共に単一ボードコンピュータ(Texas Micro
Inc.(Houston,Texas)からのPV5000I−IX)であり
、両者が共通電源を共有するように分割受動バックプレーンを用いて単一シャー
シ内に配置される。終点コントローラ252は、以下に検討するようにRS−2
32直列インタフェースであり得る2方向通信経路405を介して、115KB
/secの速度において終点サーバ410と交信する。この実施形態において、
コントローラ252及びサーバ410は、それら自体のメモリデバイス254、
264、及び、I/Oデバイス256、266に個別に接続される。その代りに
、コントローラ252とサーバ410は共通メモリデバイス及びI/Oデバイス
を共有可能である。一般に、異なるオペレーティングシステムは、終点サーバ4
10およびコントローラ252に使用可能である。一実施形態において、「Wi
ndows NT」オペレーティングシステムは、例えば、終点サーバ410に
用いられ、終点コントローラ252には、例えば「DOS」のような、更に簡単
なオペレーティングシステムが用いられる。
【0082】 例えばリアルタイムデータ獲得、監視、分析、及び、制御象の認定のような、
全ての時間臨界的タスクは終点コントローラ252によって取り扱われる。また
、終点コントローラ252は、例えば、獲得されたデータをサーバ410に転送
するような、幾らかの時間的に非臨界的なタスクも取り扱う。データ転送および
記憶は、時間的に非臨界的なタスクのような予測可能な仕方において、時間的に
臨界的なタスクの信頼可能であって時機を得た実施に影響を及ぼすことなしに、
リアルタイム終点ソフトウェアにより管理される。終点追跡ファイル又は終点ロ
グファイルなどの獲得済みデータは、終点コントローラ252及び終点サーバ4
10と関連したメモリデバイスの鏡像ファイル内に経歴データとして記憶される
。例えば、これらのデータは、終点コントローラ252の動作を妨害することな
しに、基板20が処理されると直ちに再処理または見直しするために(例えば、
遠隔端末250からの)リモートアクセス用に終点サーバ410において利用可
能である。
【0083】 終点コントローラ252によって実施される幾らかの例証的な時間的に臨界的
なタスクには次に示すタスクが含まれる、即ち。
【0084】 1.時間感応的相関が保証されるように高精度および高解像度を以てチャンバ
421から42nまでから、処理変数(例えば、光放出強度)およびプロセスパ
ラメータ(RF電力、チャンバ圧力等)に関するデータを獲得するタスクと、 2.データをリアルタイムで監視または評価するタスクと、 3.制御変数に関するデータの評価を介して制御事象を検出するタスクと、 4.検出された制御事象が間違い終点でないことを保証するために当該事象を
識別するタスクと、 5.外部コントローラ200bと交信するタスク。
【0085】 一般に、データ獲得は、アナログ/デジタル(A/D)変換、割込み処理、等
々に関係し、獲得されたリアルタイムデータの量は特定用途に応じて変化する。
データ監視は自動化されたフィードバックに関係する。制御事象の検出は、しば
しば、既に述べたように検出アルゴリズム内に組み込み可能な幾らかの事前定義
された判定基準を用いて獲得済みデータを評価することによって実施される。
【0086】 時間的に臨界的なタスクと時間的に非臨界的なタスクとを分離することによっ
て、終点検出システム100’は、時機を得た応答および基板処理の制御を保証
する。制御事象(例えば、終点検出)のために必要とされる応答時間は、特定の
処理アプリケーションに応じて変化する。例えば、金属レベルにおける過剰エッ
チングは充電と関連した望ましくない効果の原因となり得るが、時間的必要条件
付き応答は、ゲートエッチングと比較するとあまり臨界的でない傾向がある。状
況によっては、約100msecのオーダーの応答時間が必要とされることがあ
り得る。時間的に非臨界的なタスク(例えば、終点データの見直し)を他のプロ
セッサ410へロードし直すことにより、終点コントローラ252で実行中の時
間的に臨界的なタスクに及ぼす影響を制御し、効果的に管理することが可能であ
る。現行実装においては、コントローラ252が全てのリアルタイムタスクおよ
び意思決定に責任を負う。
【0087】 終点サーバ410によって実施される時間的に非臨界的なタスクの幾らかの事
例には、次に示すタスクが含まれる、即ち、 1.終点コントローラ252によって送られたデータを受け取るタスクと、 2.記憶用経歴データを生成するタスクと、 3.遠隔端末からの外部リクエストを取扱うタスク。 外部リクエストはローカルユーザ240又はリモートユーザ250によって送ら
れ、多くの中で特に、障害追跡のためのデータ監視および再検討、統計的処理制
御リポートの生成、ントロールを生成している報道する‖供給提供は、中央デー
タベース又は分散されたデータベースへのバックアップ終点データファイルの供
給、経歴データファイルの遠隔再処理による検出アルゴリズムの最適化が含まれ
る。例えば、外部デバイスとの通信に際して、終点サーバ410は、イーサネッ
トリンク280にアクセスするために通信ポート321を使用する。従って、リ
モートユーザ250は、例えば、終点追跡ファイル又は終点ログファイル等のよ
うな、チャンバプロセスに関連する様々なデータへのアクセスが可能である。デ
ータ監視は、コントローラ252によるリアルタイムタスクとして、又は、コン
トローラ252、及び/又は、サーバ410による時間的に非臨界的なタスクと
して実施可能であることに留意されたい。その上、監視は、自動化された仕方に
おいて、又は、操作要員により目視的に実施され得る。
【0088】 この種のタスク区分の利点は次のように要約される。第1に、非時間臨界的タ
スクを扱うために専用終点サーバ410を組み込むことにより、終点コントロー
ラ252によって実施されるリアルタイム的に臨界的なタスクが犠牲にされるこ
とはない。現行終点検出システム100’に新規な機能性が付加されるので、監
視および制御事象の検出、ならびに、リアルタイム意思決定の主要機能が犠牲に
されることは、信頼性の観点から重要である。
【0089】 例えば、終点検出システム100からの経歴データにアクセスするためにリモ
ートユーザ250からのリクエストを役立てることは、中断の処理、安全性チェ
ックの実行(例えば、パスワードの検査)、次に、例えば検索のような実際のリ
クエストのサポート、及び、リモートユーザ250へのデータの伝送に関係する
。これらの機能性を提供する終点サーバ410を用いて、終点コントローラ25
2は、チャンバ421から42nまでを中断することなく監視することができる。
【0090】 既に述べたように、タスクを分離することの第2の利点は、2つのプロセッサ
252と410の間に防火壁を使用し、それによって、システムの信頼性を向上
させることが可能なことである。すなわち、終点サーバ410が故障した場合に
は、終点検出システム100’はその監視機能および他のタスクを終点コントロ
ーラ252を介して継続する。
【0091】 処理条件に関するアルゴリズム又は敷居値は経験的に、法則に基づいて、また
は、教示によって導出可能であることに留意されたい。その代りに、処理条件か
ら得られるデータは、ニューラルネットワーク257(ファジィ論理又は重み付
け論理)へ随意に供給可能である。例えば、図12は、ニューラルネットワーク
257が終点コントローラ252に組み込まれている一構成を示す。他の構成も
同様に可能である。ニューラルネットワーク実装の一目的は、アルゴリズムまた
は例外変数に関する敷居値を「学習」し、それによって、アルゴリズム又は敷居
値を必要に応じて調節可能にする便宜を得ることにある。
【0092】 ニューラルネットワーク257は、ニューロン(又は、単にノード)及びノー
ド間接続部で構成される計算モデルである。各接続部の強度は、修正可能な重み
と呼ばれる数値によって表現される。同様に、ノードの活動化と呼ばれる各ノー
ドの「点火」は敷居値数値に関連する。或るノードの活動化は、当該ノードに向
けられた接続部およびこれらの接続部の重みを有するノードの活動化に基づく。
特定タスクを実施するようにプログラムされる従来型コンピュータとは対照的に
、殆どのニューラルネットワークは教示または訓練され得る。
【0093】 上で述べたように、間違い終点検出は、過渡的条件に起因して発生し、それに
よって、間違い検出の原因を識別する困難度が増大する。更に、間違い終点検出
は終点検出の潜在的供給源として相互関係を持つはずの変数に起因する。従って
、例外変数からデータをニューラルネットワークへ供給することにより、ニュー
ラルネットワークは、例外変数の敷居値またはアルゴリズムを修正するか、又は
、間違い終点検出の潜在的原因として依然として関連を持つはずの新規例外変数
を識別するように訓練されることが可能である。
【0094】 図13は、条件データ610を入力として受け取るニューラルネットワーク2
57の構成図を示す。一般的なニューラルネットワークモデルは1組の入力パタ
ーン及び1組の出力パターンを有する。ニューラルネットワークの役割は、各入
力パターンを1つの出力パターンと関連付ける機能を実施することである。「エ
ラー後方伝播」のような学習プロセスは、新規入力を形成するように出力を一般
化するために、入力/出力パターンの訓練セットの統計的性質を使用する。
【0095】 エラー逆伝播は、それによってニューラルネットワークが最適重みを学習する
周知の監督された学習プロセスである。エラー逆伝播は、出力ノードの応答を所
要応答と比較し、同一入力がネットワークに再び呈示されれば、当該ネットワー
クの応答が所要応答に更に近接するように当該ネットワークにおける重みを調節
する。
【0096】 図13を参照することとし、エラー逆伝播の学習原理は、入力層630、中間
層、または、いわゆる隠された層640、及び、出力層650を有する多層ニュ
ーラルネットワークに適用される。入力層630における全てのノードnh61
2の出力値は、入力値として、中間層640におけるノードni614の各々に
配分される。中間層640における各ノードの出力値は、入力値として、出力層
650における全てのノードnj616に配分される。各ノードnj616は、事
前決定された関数fによって変換された結合済み重みwjiにより出力ノードnj
に結合されたノードniの出力値の全正味合計である値を生成する。この同一概
念は、入力ノードnh612に対する中間ノードnj614に適用される。
【0097】 従って、ネットワークは、入力nj616の出力値を順次に計算することによ
って、出力層650の出力ノードnj616の出力値を獲得し、ノードに対応す
る各々が出力層650に向かう入力層630を形成する。
【0098】 次に、エラー逆伝播に従った学習プロセスは、入力パターンおよび所要出力t pj の供給に際して出力層650の各ノードの出力値間のエラーの自乗の全合計が
最小化されるように、重みwjiとwihの結合を更新することによって構成される
。可変データを処理するためにエラー逆伝播を用いるニューラルネットワークに
ついて記述されているが、ウェーハ処理チャンバからの可変データを処理するた
めに他のニューラルネットワークが使用可能である。
【0099】 本発明は、その好ましい特定のバージョンについて記述されているが、ただし
、他のバージョンも可能である。例えば、偏光解析法またはレーザ干渉計法のよ
うな他の終点決定技法が使用可能である。その上、終点決定以外の条件が監視さ
れ得る。エッチングチャンバ、スパッタリングチャンバ、イオンインプランテー
ションチャンバ、又は、蒸着チャンバ、又は、他の清掃プロセスとの組合わせに
本処理が適用可能であることは当該技術分野における当業者にとっては明白なは
ずである。従って、添付請求項の趣旨および範囲は、ここに含まれる好ましいバ
ージョンの記述に限定されるべきでない。
【図面の簡単な説明】
本発明のこれら及び他の特徴、態様、および、利点は、本発明の事例を示す以
下の図面、記述、および、添付請求項から、更によく理解されるはずである。
【図1a、1b】 本発明に従ったエッチング以前および以後における基板の一般的構造の概略的
横断側面図である。
【図2】 本発明に従ったチャンバ及び終点検出システムの概略横断側面図である。
【図3】 終点検出装置のコントローラの概略ブロック図である。
【図4】 本発明に従ってコントローラを操作するためのコンピュータプログラム製品の
実例を示すブロック図である。
【図5】 チャンバからのプラズマ放出を検出および監視することが可能な本発明に従っ
た終点検出装置の概略ブロック図である。
【図6a】 本発明に従った処理条件監視アルゴリズムのプロセスステップを示す流れ図で
ある。
【図6b】 本発明に従った第2処理条件監視アルゴリズムのプロセスステップを示す流れ
図である。
【図7】 本発明に従った終点または間違い終点信号発生過程のプロセスステップを示す
流れ図である。
【図7a】 本発明に従った終点または間違い終点または潜在的間違い終点信号発生過程の
プロセスステップを示す流れ図である。
【図8】 終点または間違い終点信号発信プロセスの他の実施形態を示す流れ図である。
【図9】 本発明に従った他の終点検出装置の概略ブロック図である。
【図10】 本発明に従ったネットワークアクセスシステムの概略ブロック図である
【図11】 本発明において有用なデータ転送プロトコルの概略ブロック図である。
【図12】 複数のプロセスチャンバと共に使用するための終点検出システム及びネットワ
ークアクセスシステムの概略ブロック図である。
【図13】 本発明の典型的ニューラルネットワークのブロック図である。
【図14】 酸化物エッチングチャンバ内において光放出スペクトルに及ぼす電力入力の影
響を示すグラフである。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),JP,KR (72)発明者 ルーシャー ポール イー アメリカ合衆国 カリフォルニア州 94087 サニーヴェイル フリッカー ウ ェイ 1365 (72)発明者 ジョハンソン ニルス アメリカ合衆国 カリフォルニア州 95032 ロス ガトス ケネディー ロー ド 16450 (72)発明者 ウェルチ マイケル ディー アメリカ合衆国 カリフォルニア州 94550 リヴァーモア ローマ ストリー ト 940 Fターム(参考) 4K030 JA05 JA09 KA20 KA39 4M106 AA13 DH03 DH55 DJ17 DJ18 DJ20 5F004 AA16 BA20 BB13 BB18 BD04 BD05 BD07 CB16 DA01 DA02 DA03 DA04 DA05 DA06 DA11 DA13 DA16 DA17 DA18 DA20 DA22 DA25 DA26 DA29 DB02 DB04 DB06 DB07 DB09 DB17

Claims (85)

    【特許請求の範囲】
  1. 【請求項1】 プロセスチャンバ内において基板を処理し、前記プロセスチ
    ャンバ内における処理条件を検出する方法であって、 (a)前記プロセスチャンバ内に前記基板を置き、かつ前記基板を処理するス
    テップと、 (b)第1処理条件および第2処理条件を検出するステップとを含み、前記第
    1および第2処理条件が前記基板の処理以前または処理期間中または処理後に発
    生し、 (c)前記第2処理条に対して前記第1処理条件を評価するステップと を含む方法。
  2. 【請求項2】 第2処理条件に基づいて前記第1処理条件の精度または妥当
    性を決定するステップをステップ(c)が含む請求項1に記載の方法。
  3. 【請求項3】 前記第1処理条件が処理変数であり、前記第2処理条件がプ
    ロセスパラメータである請求項1に記載の方法。
  4. 【請求項4】 前記第1または第2処理条件を検出するステップが、前記基
    板の処理期間中に前記第1または第2処理条件を監視するステップを含む請求項
    1に記載の方法。
  5. 【請求項5】 前記第2処理条件が事前決定値に対応するか、又は、事前決
    定値の範囲内に所在するかどうかを決定するステップをステップ(c)が含む請
    求項1に記載の方法。
  6. 【請求項6】 前記処理の終点を含む第1処理条件を検出するステップをス
    テップ(b)が含む請求項1に記載の方法。
  7. 【請求項7】 前記第2処理条件が事前決定値に対応するか、又は、事前決
    定値の範囲内に所在するかどうかを決定するステップをステップ(c)が含む請
    求項6に記載の方法。
  8. 【請求項8】 更に1つ又は複数の(i)前記第2処理条件が前記事前決定
    値に対応するか又は事前決定値の範囲内に所在するときにのみ終点信号を発行す
    るステップ或いは(ii)前記第2処理条件が前記事前決定値に対応しないか又
    は事前決定値の範囲内に所在しないときに間違い終点信号を発行するステップを
    含む請求項7に記載の方法。
  9. 【請求項9】 更に前記第1処理条件または前記第2処理条件に関するデー
    タ信号をコントローラからサーバへ転送するステップを含む請求項8に記載の方
    法。
  10. 【請求項10】 更に防火壁によってサーバからコントローラを隔離するス
    テップを含む請求項9に記載の方法。
  11. 【請求項11】 プロセスチャンバ内において基板を処理し、前記プロセス
    チャンバ内における処理条件を検出する方法であって、 (a)プロセスチャンバ内に基板を置くステップと、 (b)前記基板を処理するステップと、 (c)処理変数を含む第1処理条件を検出するステップと、 (d)プロセスパラメータを含む第2処理条件を検出するステップと、 (e)前記処理の終点に到達するかどうかを決定するために前記第2処理条件
    に対して前記第1処理条件を評価するステップと を含む方法。
  12. 【請求項12】 前記プロセスチャンバから発する放射の属性を監視するス
    テップをステップ(c)が含む請求項11に記載の方法。
  13. 【請求項13】 RF電力または直流バイアスまたはRF整合負荷または同
    調位置またはチャンバ圧力またはガス流量またはスロットル位置をステップ(d
    )が含む請求項11に記載の方法。
  14. 【請求項14】 前記第2処理条件が事前決定値に対応するか又は事前決定
    値の範囲内に所在するかどうかを決定する前記初期ステップをステップ(e)が
    含む請求項11に記載の方法。
  15. 【請求項15】 ステップ(e)が更に1つ又は複数の(i)前記第2処理
    条件が前記事前決定値に対応するか又は事前決定値の範囲内に所在するときにの
    み終点信号を発行するステップ或いは(ii)前記第2処理条件が前記事前決定
    値に対応しないか又は事前決定値の範囲内に所在しないときに間違い終点信号を
    発行するステップを含む請求項15に記載の方法。
  16. 【請求項16】 プロセスチャンバ内において基板を処理する方法であって
    、 (a)前記プロセスチャンバ内に基板を置き、処理を実施するステップと、 (b)処理条件を監視し、前記処理条件が事前決定値に対応しないか又は事前
    決定値の範囲内に所在しないときには誤り信号を発行するステップと、 (c)前記処理の終点に関する他の処理条件を監視するステップと、 (d)誤り信号が発行済みであるかどうかを決定し、誤り信号が発行済みであ
    れば前記処理の前記終点を合図するステップと を含む方法。
  17. 【請求項17】 更にステップ(b)において誤り信号が発行されるときに
    間違い終点信号を発行するステップをステップ(d)が含む請求項16に記載の
    方法。
  18. 【請求項18】 ステップ(b)および(c)において、前記処理期間中に
    前記処理条件が実質的に連続して監視される請求項16に記載の方法。
  19. 【請求項19】 RF成分を監視するステップをステップ(b)が含む請求
    項16に記載の方法。
  20. 【請求項20】 RF電力およびチャンバ圧力を監視するステップをステッ
    プ(b)が含む請求項16に記載の方法。
  21. 【請求項21】 RF電力、チャンバ圧力、ガス流量、および、直流バイア
    スを監視するステップをステップ(b)が含む請求項16に記載の方法。
  22. 【請求項22】 複数の処理条件を監視するステップをステップ(b)が含
    む請求項16に記載の方法。
  23. 【請求項23】 少なくとも3つの処理条件を監視するステップをステップ
    (b)が含む請求項22に記載の方法。
  24. 【請求項24】 基板処理装置であって、 (a)基板を受け取るように形成された支持物および前記基板上において処理
    を実施できる処理構成要素を有するプロセスチャンバと、 (b)前記処理以前または前記処理期間中または前記処理以後に発生する第1
    処理および第2処理条件を検出するための1つ又は複数の検出器と、 (c)前記第2処理条件に対して前記第1処理条件を評価するためのコントロ
    ーラと を有する装置。
  25. 【請求項25】 前記第2処理条件の値に基づいて前記第1処理条件の精度
    または妥当性を決定するように前記コントローラが形成される請求項24に記載
    の装置。
  26. 【請求項26】 プロセスパラメータを含む第2処理条件とを含む第1処理
    条件を検出するように前記コントローラが形成される請求項24に記載の装置。
  27. 【請求項27】 前記第2処理条件が事前決定値に対応するか又は事前決定
    値の範囲内に所在するかどうかを決定するように前記コントローラが形成される
    請求項24に記載の装置。
  28. 【請求項28】 前記コントローラが終点検出器である請求項24に記載の
    装置。
  29. 【請求項29】 前記処理の終結点を含む第1処理条件を検出するように前
    記コントローラが形成される請求項28に記載の装置。
  30. 【請求項30】 前記第2処理条件が事前決定値に対応するか又は事前決定
    値の範囲内に所在するかどうかを決定するように前記コントローラが形成される
    請求項29に記載の装置。
  31. 【請求項31】 (i)前記第2処理条件が前記事前決定値に対応するか又
    は前記事前決定値の前記範囲内に所在するときにのみ終点信号を発行すること又
    は(ii)前記第2処理条件が前記事前決定値に対応しないか又は前記事前決定
    値の前記範囲内に所在しないときに間違い終点信号を発行することの1つ又は複
    数を実施するように前記コントローラが形成される請求項30に記載の装置。
  32. 【請求項32】 更にサーバを有し、第1処理条件または前記第2処理条件
    に関するデータ信号を前記サーバへ転送するように前記コントローラが形成され
    る請求項31に記載の装置。
  33. 【請求項33】 更に前記コントローラと前記サーバの間に防火壁を有する
    請求項32に記載の装置。
  34. 【請求項34】 基板を処理すること及び前記基板の処理終点を決定するこ
    とが可能な装置であって、 (a)基板を受け取るように形成された支持物と前記基板を処理することがで
    きる処理構成要素とを有するプロセスチャンバと、 (b)前記プロセスチャンバ内において実施される処理の第1処理条件を検出
    し、プロセスパラメータを含む第2処理条件を検出し、前記処理の終点に何時到
    達するかを決定するために前記第1および第2処理条件を評価するように形成さ
    れたコントローラと を有する方法。
  35. 【請求項35】 前記プロセスチャンバから発する放射を検出するように前
    記コントローラが形成される請求項34に記載の装置。
  36. 【請求項36】 RF電力または直流バイアスまたはRF整合負荷なたは同
    調位置またはチャンバ圧力またはガス流量またはスロットル位置を監視するよう
    に前記コントローラが形成される請求項34に記載の装置。
  37. 【請求項37】 前記第2処理条件が事前決定値に対応するか又は事前決定
    値の範囲内に存在するかを決定するように前記コントローラが形成される請求項
    34に記載の装置。
  38. 【請求項38】 前記第2処理条件が前記事前決定値に対応するか又は事前
    決定値の前記範囲内に存在することが確認されたときに終点信号を発行するよう
    に前記コントローラが形成される請求項37に記載の装置。
  39. 【請求項39】 基板を処理すること及び前記基板の処理終点を決定するこ
    とが可能な装置であって、 (a)基板を受け取るように形成された支持物と前記基板上において処理を実
    施することができる処理構成要素とを有するプロセスチャンバと、 (b)前記プロセスチャンバ内における処理条件を監視し、前記処理条件が事
    前決定値でないか又は事前決定値の範囲内に存在しないときに誤り信号を発行し
    、誤り信号がない状態において終結点に到達したときに終点信号を発行するよう
    に形成されたコントローラと を有する装置。
  40. 【請求項40】 誤り信号が検出されたときに間違い終点信号を発行するよ
    うに前記コントローラが形成される請求項39に記載記載の装置。
  41. 【請求項41】 前記処理期間中に処理条件が実質的に連続して監視される
    ように前記コントローラが形成される請求項39に記載の装置。
  42. 【請求項42】 RF電力を監視するように前記コントローラが形成される
    請求項39に記載の装置。
  43. 【請求項43】 RF電力およびチャンバ圧力を監視するように前記コント
    ローラが形成される請求項39に記載の装置。
  44. 【請求項44】 RF電力とチャンバ圧力とガス流量と直流バイアスを監視
    するように前記コントローラが形成される請求項39に記載の装置。/
  45. 【請求項45】 複数の処理条件を監視するように前記コントローラが形成
    される請求項39に記載の装置。
  46. 【請求項46】 少なくとも3つの処理条件を監視するように前記コントロ
    ーラが形成される請求項45に記載の装置。
  47. 【請求項47】 基板処理装置であって、 (a)基板処理チャンバと、 (b)前記チャンバから発する放射を検出し、検出された放射に関する第1信
    号を供給し、前記チャンバ内における処理条件を検出し、第2信号を供給するよ
    うに形成された処理監視システムと、 (c)前記第1および第2信号を受け取るように形成された前記コントローラ
    とを有し、前記コントローラが前記第2信号が処理条件の事前決定レベル又は事
    前決定レベルの範囲に対応しないときに誤りフラグを設定する第1アルゴリズム
    と誤りフラグが無い状態における前記第1信号の受信に際して終点信号を発行す
    る第2アルゴリズムと を有する装置。
  48. 【請求項48】 前記第1および第2アルゴリズムをコード命令として実装
    するソフトウェアを含むメモリを備えたコンピュータを前記コントローラが有す
    る請求項47に記載の装置。
  49. 【請求項49】 誤りフラグが存在する状態において間違い終点信号を発行
    する第2アルゴリズムを前記コントローラが有する請求項47に記載の装置。
  50. 【請求項50】 更に前記コントローラからのデータを受け取り、かつディ
    スプレイすることのできるサーバを有する請求項47に記載の装置。
  51. 【請求項51】 更に前記サーバと前記コントローラの間に防火壁を有する
    請求項47に記載の装置。
  52. 【請求項52】 基板処理装置であって、 基板処理チャンバと、 前記基板処理チャンバに接続されたコントローラと、 サーバと、 前記サーバを前記コントローラへ接続する第1通信リンクと を有する装置。
  53. 【請求項53】 時間的に臨界的なタスクを扱うように前記コントローラが
    形成され、時間的に非臨界的なタスクを扱うように前記サーバが形成される請求
    項52に記載の装置。
  54. 【請求項54】 前記プロセスチャンバから処理条件を獲得するか、または
    、基板の処理期間中にプロセスチャンバへ命令を送るステップを含む時間的に臨
    界的なタスクを扱うように前記コントローラが形成される請求項53に記載の装
    置。
  55. 【請求項55】 前記処理条件がプロセスパラメータ又は処理変数を有する
    請求項54に記載の装置。
  56. 【請求項56】 前記コントローラが前記プロセスチャンバから処理変数を
    獲得し、処理条件が事前決定値に対応するか又は事前決定値の範囲内に所在する
    場合に限り前記処理変数を識別する請求項52に記載の装置。
  57. 【請求項57】 前記処理変数が終点検出変数である請求項56に記載の装
    置。
  58. 【請求項58】 更にデータを操作するためのアルゴリズムを実装する前記
    コントローラおよびソフトウェアによって獲得された前記データを記憶するメモ
    リデバイスを有する請求項52に記載の装置。
  59. 【請求項59】 前記コントローラが終点検出システムを有する請求項52
    に記載の装置。
  60. 【請求項60】 前記コントローラが更に前記基板処理チャンバを制御する
    ための他の通信リンクを有する請求項59に記載の装置。
  61. 【請求項61】 前記コントローラが前記基板処理チャンバからデータ信号
    を獲得し、前記データ信号を第1通信リンクを介して前記データ信号を経歴デー
    タとして記憶する前記サーバへ転送する請求項59に記載の装置。
  62. 【請求項62】 更に前記コントローラへ結合されたニューラルネットワー
    クを有する請求項96に記載の装置。
  63. 【請求項63】 少なくとも1つの時間的に非臨界的なタスクを実施するよ
    うに前記ニューラルネットワークが形成される請求項62に記載の装置。
  64. 【請求項64】 前記コントローラ及びサーバが防火壁によって隔離される
    請求項59に記載の装置。
  65. 【請求項65】 プロセスチャンバ内において基板を処理し、前記プロセス
    チャンバへ接続されたコントローラを用いて前記基板の処理を制御する方法であ
    って、 (a)前記プロセスチャンバ内に前記基板を置き、前記基板を処理するステッ
    プと、 (b)前記コントローラを用いて時間的に臨界的なタスクをハンドリングする
    ステップと、 (c)第1通信リンクを介して前記コントローラへ結合されたサーバを用いて
    時間的に非臨界的なタスクをハンドリングするステップと、 を含む方法。
  66. 【請求項66】 時間的に臨界的なタスクをハンドリングする前記ステップ
    が前記プロセスチャンバからデータ信号を獲得するステップを含む請求項65に
    記載の方法。
  67. 【請求項67】 前記データ信号が終点検出信号を含む請求項66に記載の
    方法。
  68. 【請求項68】 ステップ(b)が前記プロセスチャンバの処理条件の値に
    基づいて前記終点検出信号を識別する前記ステップを含む請求項67に記載の方
    法。
  69. 【請求項69】 更に前記プロセスチャンバの処理条件の前記値が事前決定
    値でないならば間違い終点信号を発行する前記ステップを含む請求項68に記載
    の方法。
  70. 【請求項70】 ステップ(a)が更に前記コントローラによって獲得され
    たデータを前記サーバへ転送し、前記データを前記サーバのメモリ内に経歴デー
    タとして記憶する前記ステップを含む請求項65に記載の方法。
  71. 【請求項71】 ステップ(c)が1つ又は複数の (i)前記サーバの前記メモリ内に記憶された経歴データへアクセスするステ
    ップと、 (ii)前記経歴データを用いて前記基板処理チャンバの動作を障害追跡する
    ステップと、 (iii)前記経歴データから処理制御レポートを生成するステップと、 (iv)前記経歴データを用いて終点検出判定基準を最適化するステップと を含む請求項70に記載の方法。
  72. 【請求項72】 コンピュータ読取り可能媒体であって、実行されたとき、
    基板処理装置から第1データ信号を獲得し、前記第1データ信号が前記装置にお
    いて実施される処理の終点に関係し、前記処理の処理条件に対応する第2データ
    信号を獲得し、前記第2データ信号を評価することによって前記終点の発生を識
    別することによりコンピュータに終点発生を識別させるソフトウェアを含む媒体
  73. 【請求項73】 前記第2データ信号が事前決定値に対応するか又は事前決
    定値の範囲内に所在するかどうかを決定することによって前記ソフトウェアが前
    記第2データ信号を評価する請求項72に記載のコンピュータ読取り可能媒体。
  74. 【請求項74】 前記ソフトウェアが更に前記第2データ信号が事前決定値
    に対応しないか又は事前決定値の範囲内に所在しなければ間違い終点信号を発行
    するためのプログラムコードを含む請求項73に記載のコンピュータ読取り可能
    媒体。
  75. 【請求項75】 前記第1データ信号が光放出強度から生成される請求項7
    2に記載のコンピュータ読取り可能媒体。
  76. 【請求項76】 プロセスチャンバ内において実施される処理を監視および
    制御する方法であって、 (a)コントローラを用いて、前記プロセスチャンバ内において実施される前
    記処理の処理条件を監視または制御するステップと、 (b)前記処理条件に関する信号を前記コントローラからサーバへ転送し、前
    記コントローラと前記サーバの間の他の信号の前記流れを制御するステップと を含む方法。
  77. 【請求項77】 前記コントローラと前記サーバの間の信号の前記流れが前
    記処理条件の監視又は制御を妨害することを防止するステップをステップ(b)
    が含む請求項76に記載の方法。
  78. 【請求項78】 ステップ(b)が前記コントローラと前記サーバの間に防
    火壁を提供するステップを含む請求項76に記載の方法。
  79. 【請求項79】 防火壁を提供する前記ステップが前記コントローラと前記
    サーバの間のデータ信号の転送を制御するコンピュータプログラムコードを操作
    する前記ステップを含む請求項78に記載の方法。
  80. 【請求項80】 前記ユーザ又は前記サーバから発信される特定データパケ
    ットが前記コントローラへ到達することを防止するデータパケット濾過命令を前
    記コンピュータプログラムコードが含む請求項78に記載の方法。
  81. 【請求項81】 プロセスチャンバ内において実施される処理を監視および
    制御する装置であって、 (a)前記プロセスチャンバ内において実施される前記処理の処理条件を監視
    または制御するように形成されたコントローラと、 (b)サーバと、 (c)前記コントローラと前記サーバの間の防火壁とを有し、前記コントロー
    ラと前記サーバの間の前記信号移動を制御するように前記防火壁が形成される 装置。
  82. 【請求項82】 前記コントローラと前記サーバの間の前記信号流が前記プ
    ロセスチャンバ内において実施される前記処理の前記監視または前記制御を妨害
    することを防止するように前記防火壁が形成される請求項81に記載の装置。
  83. 【請求項83】 前記防火壁がプログラムコード命令を有する請求項82に
    記載の装置。
  84. 【請求項84】 前記防火壁が前記コントローラと前記サーバの間の信号転
    送を制御するプログラムコード命令を有する請求項81に記載の装置。
  85. 【請求項85】 ユーザまたは前記サーバから発信される特定のデータパケ
    ットが前記コントローラへ到達することを防止するデータパケット濾過命令を前
    記プログラムコード命令が有する請求項81に記載の装置。
JP2000559582A 1998-07-10 1999-07-09 基板製作工程に関する改良された終点検出 Pending JP2003521807A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US9242698P 1998-07-10 1998-07-10
US60/092,426 1998-07-10
US35005299A 1999-07-08 1999-07-08
US09/350052 1999-07-09
PCT/US1999/015648 WO2000003421A2 (en) 1998-07-10 1999-07-09 Improved endpoint detection for substrate fabrication processes

Publications (2)

Publication Number Publication Date
JP2003521807A true JP2003521807A (ja) 2003-07-15
JP2003521807A5 JP2003521807A5 (ja) 2006-08-31

Family

ID=26785660

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000559582A Pending JP2003521807A (ja) 1998-07-10 1999-07-09 基板製作工程に関する改良された終点検出

Country Status (3)

Country Link
JP (1) JP2003521807A (ja)
KR (1) KR100695582B1 (ja)
WO (1) WO2000003421A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006332658A (ja) * 2005-05-20 2006-12-07 Asm Japan Kk プラズマ処理の異常動作を検知するための方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW529085B (en) * 2000-09-22 2003-04-21 Alps Electric Co Ltd Method for evaluating performance of plasma treatment apparatus or performance confirming system of plasma treatment system
WO2003092044A2 (en) * 2002-04-23 2003-11-06 Tokyo Electron Limited Method and apparatus for simplified system configuration
US6825050B2 (en) 2002-06-07 2004-11-30 Lam Research Corporation Integrated stepwise statistical process control in a plasma processing system
JP3959318B2 (ja) 2002-08-22 2007-08-15 東京エレクトロン株式会社 プラズマリーク監視方法,プラズマ処理装置,プラズマ処理方法,およびコンピュータプログラム
US7638441B2 (en) 2007-09-11 2009-12-29 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
US7632549B2 (en) 2008-05-05 2009-12-15 Asm Japan K.K. Method of forming a high transparent carbon film
KR101307247B1 (ko) * 2012-09-26 2013-09-11 가톨릭대학교 산학협력단 보상구조물을 이용한 실리콘웨이퍼 에칭 방법 및 이를 이용한 에너지 하베스터 제조 방법
US9978621B1 (en) 2016-11-14 2018-05-22 Applied Materials, Inc. Selective etch rate monitor
US10896833B2 (en) * 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
CN115537784A (zh) * 2022-10-19 2022-12-30 北京北方华创真空技术有限公司 一种用于化学气相沉积设备的控制方法和系统

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5160402A (en) * 1990-05-24 1992-11-03 Applied Materials, Inc. Multi-channel plasma discharge endpoint detection method
US5270222A (en) * 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5308447A (en) * 1992-06-09 1994-05-03 Luxtron Corporation Endpoint and uniformity determinations in material layer processing through monitoring multiple surface regions across the layer
US5479340A (en) * 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006332658A (ja) * 2005-05-20 2006-12-07 Asm Japan Kk プラズマ処理の異常動作を検知するための方法

Also Published As

Publication number Publication date
WO2000003421A3 (en) 2001-05-31
WO2000003421A2 (en) 2000-01-20
KR100695582B1 (ko) 2007-03-14
WO2000003421A9 (en) 2000-07-20
KR20010083104A (ko) 2001-08-31
WO2000003421A8 (en) 2001-09-20

Similar Documents

Publication Publication Date Title
US6813534B2 (en) Endpoint detection in substrate fabrication processes
JP5636486B2 (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
KR0152355B1 (ko) 플라즈마 처리장치 및 처리방법
US7343217B2 (en) System for monitoring and controlling a semiconductor manufacturing apparatus using prediction model equation
KR101032931B1 (ko) 에칭 처리를 위한 피드포워드, 피드백 웨이퍼 대 웨이퍼 제어 방법
KR100916190B1 (ko) 런투런 제어와 고장 검출의 통합
JP5028473B2 (ja) ウェハ均一性制御を用いた動的サンプリング測定法
US7047095B2 (en) Process control system and process control method
JP2023060043A (ja) 処理装置、装置管理コントローラ、及びプログラム並びに半導体装置の製造方法
Goodlin et al. Simultaneous fault detection and classification for semiconductor manufacturing tools
JP2003521807A (ja) 基板製作工程に関する改良された終点検出
JP2009531866A5 (ja)
US6563300B1 (en) Method and apparatus for fault detection using multiple tool error signals
US7346412B2 (en) Manufacturing method of semiconductor integrated circuit device
JP2007515804A (ja) プラズマ装備のシーズニング方法及びそのための装備
JPH08106992A (ja) プラズマ処理方法およびその装置
US6117348A (en) Real time monitoring of plasma etching process
US7636609B2 (en) Method and apparatus for detecting abnormal characteristic values capable of suppressing detection of normal characteristic values
US6269278B1 (en) Method and apparatus for monitoring plasma processing operations
US7020535B1 (en) Method and apparatus for providing excitation for a process controller
US6254717B1 (en) Method and apparatus for monitoring plasma processing operations
Barna et al. Dry etch processes and sensors
TWI677264B (zh) 基於射頻阻抗模型之故障檢測
Barna et al. Sensor integration into plasma etch reactors of a developmental pilot line
US7337091B1 (en) Method and apparatus for coordinating fault detection settings and process control changes

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060706

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060706

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090611

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090622

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090918

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090930

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091022

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100311

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100922