KR20000015140A - Contact hole formation method of semiconductor devices - Google Patents

Contact hole formation method of semiconductor devices Download PDF

Info

Publication number
KR20000015140A
KR20000015140A KR1019980034883A KR19980034883A KR20000015140A KR 20000015140 A KR20000015140 A KR 20000015140A KR 1019980034883 A KR1019980034883 A KR 1019980034883A KR 19980034883 A KR19980034883 A KR 19980034883A KR 20000015140 A KR20000015140 A KR 20000015140A
Authority
KR
South Korea
Prior art keywords
film
layer
pattern
interlayer insulating
lower wiring
Prior art date
Application number
KR1019980034883A
Other languages
Korean (ko)
Other versions
KR100287178B1 (en
Inventor
김병선
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR1019980034883A priority Critical patent/KR100287178B1/en
Priority to TW088100053A priority patent/TW430924B/en
Priority to JP11206724A priority patent/JP2000077526A/en
Publication of KR20000015140A publication Critical patent/KR20000015140A/en
Application granted granted Critical
Publication of KR100287178B1 publication Critical patent/KR100287178B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

PURPOSE: A method for forming a contact hole is provided to improve a misalignment margin and a contact resistance by using an etching stopping layer. CONSTITUTION: The method comprises the steps of forming a lower wire pattern having a conductive layer (25) and a protection layer (27) on a semiconductor substrate (21); forming a first interlayer insulator having a capping insulator (35) and an oxide (37) on the resultant structure; etching the first interlayer insulator to expose the surface of the protection layer (27); sequentially forming an etch stopping layer (39) and a second interlayer insulator (41), wherein the etching selectivity of the etch stopping layer (39) is higher than that of the second interlayer insulator (41); exposing the etch stopping layer (39) by patterning the second interlayer insulator (41); and etching the exposed etch stopping layer (39), thereby forming a contact hole.

Description

반도체소자의 콘택홀 형성방법Contact hole formation method of semiconductor device

본 발명은 반도체소자의 제조방법에 관한 것으로, 특히 반도체소자에 사용되는 콘택홀을 형성하는 방법에 관한 것이다.The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for forming a contact hole for use in a semiconductor device.

반도체소자의 집적도가 증가함에따라 콘택홀의 크기는 점점 작아지고 있다. 일반적으로, 콘택홀을 형성하는 방법은 하부 도전층이 형성된 반도체기판 전면에 층간절연막을 형성하는 단계와 상기 층간절연막을 패터닝하여 하부 도전층의 소정영역을 노출시키는 단계로 이루어진다. 상기 하부 도전층은 불순물로 도우핑된 영역이거나 배선일 수도 있다. 상기 배선은 모스 트랜지스터의 게이트 전극에 해당할 수도 있다. 이때, 상기 게이트 전극의 폭은 반도체소자의 집적도가 증가할수록 점점 감소한다. 따라서, 고집적 반도체소자에 사용되는 게이트 전극 상에 직접 콘택홀을 형성하기 위한 사진공정을 실시할 때 정렬여유도(alignment margin)가 감소하는 문제점이 있다.As the degree of integration of semiconductor devices increases, the size of contact holes is becoming smaller. In general, a method of forming a contact hole includes forming an interlayer insulating film over an entire surface of a semiconductor substrate on which a lower conductive layer is formed and exposing a predetermined region of the lower conductive layer by patterning the interlayer insulating film. The lower conductive layer may be a region doped with impurities or a wiring. The wiring may correspond to the gate electrode of the MOS transistor. In this case, the width of the gate electrode gradually decreases as the degree of integration of the semiconductor device increases. Therefore, there is a problem in that alignment margin is reduced when performing a photolithography process for directly forming a contact hole on a gate electrode used in a highly integrated semiconductor device.

도 1 및 도 2는 종래기술에 따른 콘택홀을 형성하는 방법을 설명하기 위한 단면도들이다.1 and 2 are cross-sectional views illustrating a method of forming a contact hole according to the prior art.

도 1을 참조하면, 반도체기판(1)의 소정영역 상에 차례로 적층된 게이트 절연막(3) 및 게이트 전극(5)을 형성한다. 상기 게이트 전극(5)을 이온주입 마스크로 사용하여 상기 반도체기판(1) 표면에 불순물 이온을 주입함으로써 저농도 불순물 영역을 형성한다. 상기 저농도 불순물 영역이 형성된 반도체기판 전면에 절연막을 형성하고, 상기 절연막을 이방성 식각하여 상기 게이트 전극(5) 측벽에 스페이서(7)를 형성한다. 상기 스페이서(7) 및 상기 게이트 전극(5)을 이온주입 마스크로 사용하여 상기 반도체기판(1) 표면에 불순물 이온을 주입함으로써 고농도 불순물 영역을 형성한다. 상기 저농도 불순물 영역 및 고농도 불순물 영역은 모스 트랜지스터의 소오스/드레인 영역(9)을 구성한다. 상기 소오스/드레인 영역(9)이 형성된 반도체기판 전면에 층간절연막(11)을 형성한다.Referring to FIG. 1, a gate insulating film 3 and a gate electrode 5 that are sequentially stacked on a predetermined region of a semiconductor substrate 1 are formed. A low concentration impurity region is formed by implanting impurity ions into the surface of the semiconductor substrate 1 using the gate electrode 5 as an ion implantation mask. An insulating film is formed on the entire surface of the semiconductor substrate on which the low concentration impurity region is formed, and the insulating film is anisotropically etched to form spacers 7 on sidewalls of the gate electrode 5. A high concentration impurity region is formed by implanting impurity ions into the surface of the semiconductor substrate 1 using the spacer 7 and the gate electrode 5 as an ion implantation mask. The low concentration impurity region and the high concentration impurity region constitute a source / drain region 9 of the MOS transistor. An interlayer insulating film 11 is formed on the entire surface of the semiconductor substrate on which the source / drain regions 9 are formed.

도 2를 참조하면, 상기 층간절연막(11)을 패터닝하여 상기 게이트 전극(5)을 노출시키는 콘택홀(H)을 형성한다. 이때, 상기 콘택홀(H)을 형성하기 위한 사진공정시 오정렬(mis-alignment)이 발생하면, 도 2에 도시된 바와 같이 상기 콘택홀(H)에 의해 게이트 전극(5) 및 소오스/드레인 영역(9)이 동시에 노출된다. 이에 따라, 후속공정에서 상기 콘택홀(H)을 덮는 도전막(도시하지 않음)을 형성하면, 상기 게이트 전극(5) 및 상기 소오스/드레인 영역(9)이 서로 전기적으로 연결되는 문제점이 발생한다.Referring to FIG. 2, the interlayer insulating layer 11 is patterned to form a contact hole H exposing the gate electrode 5. In this case, when mis-alignment occurs in the photolithography process for forming the contact hole H, as shown in FIG. 2, the gate electrode 5 and the source / drain region are formed by the contact hole H. (9) is exposed at the same time. Accordingly, when a conductive film (not shown) covering the contact hole H is formed in a subsequent process, the gate electrode 5 and the source / drain region 9 are electrically connected to each other. .

상술한 바와 같이 종래기술에 따르면, 게이트 전극 상에 콘택홀을 한정하기 위한 사진공정시 오정렬이 발생하는 경우에 게이트 전극과 소오스/드레인 영역이 서로 전기적으로 연결되는 문제점이 있다.As described above, according to the related art, there is a problem in that the gate electrode and the source / drain regions are electrically connected to each other when a misalignment occurs in the photolithography process for defining the contact hole on the gate electrode.

본 발명의 목적은 사진공정시 오정렬에 대한 공정여유도(process margin)를 증가시킬 수 있는 반도체소자의 콘택홀 형성방법을 제공하는 데 있다.SUMMARY OF THE INVENTION An object of the present invention is to provide a method for forming a contact hole in a semiconductor device capable of increasing a process margin for misalignment during a photolithography process.

본 발명의 다른 목적은 모스 트랜지스터의 게이트 전극 상에 직접 콘택홀을 형성하기 위한 사진공정시 오정렬이 발생할지라도 상기 게이트 전극에 이웃한 소오스/드레인 영역이 노출되는 것을 방지할 수 있는 반도체소자의 제조방법을 제공하는 데 있다.Another object of the present invention is to provide a method for manufacturing a semiconductor device which can prevent the source / drain region adjacent to the gate electrode from being exposed even when misalignment occurs in the photolithography process for directly forming a contact hole on the gate electrode of the MOS transistor. To provide.

도 1 및 도 2는 종래의 콘택홀 형성방법을 설명하기 위한 단면도들이다.1 and 2 are cross-sectional views illustrating a conventional method for forming a contact hole.

도 3 내지 도 8은 본 발명의 일 실시예에 따른 콘택홀 형성방법을 설명하기 위한 단면도들이다.3 to 8 are cross-sectional views illustrating a method for forming a contact hole according to an embodiment of the present invention.

상기 목적을 달성하기 위하여 본 발명은 반도체기판 상부에 하부배선 패턴을 형성하고, 상기 하부배선 패턴이 형성된 반도체기판 전면에 제1 층간절연막을 형성한다. 상기 하부배선 패턴은 도전체막, 예컨대 금속막, 도우핑된 폴리실리콘막, 또는 폴리사이드막으로 형성한다. 또한, 상기 하부배선 패턴은 도전체막 패턴 및 보호막 패턴이 차례로 적층된 이중막 패턴(double layered pattern)으로 형성할 수도 있다. 상기 보호막 패턴은 상기 제1 층간절연막에 대하여 식각 선택비(etch selectivity)를 갖는 절연체막, 예컨대 실리콘질화막 또는 실리콘 옥시나이트라이드막으로 형성하는 것이 바람직하다. 상기 제1 층간절연막은 불순물을 함유하는 산화막 또는 언도우프트 산화막(USG; undoped silicate glass)으로 형성한다. 상기 불순물을 함유하는 산화막은 고온에서 플로우된 BPSG막, PSG막 또는 BSG막으로 형성하는 것이 바람직하고, 상기 언도우프트 산화막은 고온산화막(HTO;high temperature oxide)으로 형성하는 것이 바람직하다. 또한, 상기 제1 층간절연막은 캐핑절연막(capping dielectric layer) 및 불순물을 함유하는 산화막을 차례로 적층시킨 이중 절연체막(double dielectric layer)일 수도 있다. 여기서, 상기 캐핑절연막은 플라즈마 산화막 또는 고온산화막(HTO; high temperature oxide)과 같은 언도우프트 산화막으로 형성하는 것이 바람직하다. 상기 캐핑절연막은 불순물을 함유하는 산화막 내의 불순물이 상기 하부배선 패턴 내부로 침투하는 현상을 방지하고 상기 불순물을 함유하는 산화막을 고온에서 플로우시킬 때 상기 하부배선 패턴이 변형되는 현상을 방지하기 위한 목적으로 형성한다. 또한, 상기 하부배선 패턴의 측벽에 스페이서를 형성할 수도 있다. 이때, 상기 스페이서는 상기 제1 층간절연막에 대하여 식각선택비를 갖는 절연체막, 예컨대 실리콘질화막으로 형성하는 것이 바람직하다. 그러나, 상기 스페이서는 상기 캐핑절연막과 동일한 물질막,즉 플라즈마 산화막 또는 고온산화막과 같은 실리콘산화막으로 형성할 수도 있다.In order to achieve the above object, the present invention forms a lower wiring pattern on the semiconductor substrate and a first interlayer insulating film on the entire surface of the semiconductor substrate on which the lower wiring pattern is formed. The lower wiring pattern is formed of a conductor film, such as a metal film, a doped polysilicon film, or a polyside film. In addition, the lower wiring pattern may be formed as a double layered pattern in which a conductor film pattern and a protective film pattern are sequentially stacked. The protective film pattern may be formed of an insulator film having an etch selectivity with respect to the first interlayer insulating film, such as a silicon nitride film or a silicon oxynitride film. The first interlayer insulating film is formed of an oxide film containing an impurity or an undoped silicate glass (USG). The oxide film containing the impurity is preferably formed of a BPSG film, a PSG film or a BSG film flowed at a high temperature, and the undoped oxide film is preferably formed of a high temperature oxide (HTO). The first interlayer insulating film may be a double dielectric layer in which a capping dielectric layer and an oxide film containing impurities are sequentially stacked. The capping insulation layer may be formed of an undoped oxide layer such as a plasma oxide layer or a high temperature oxide (HTO) layer. The capping insulating layer is intended to prevent a phenomenon in which an impurity in an oxide film containing impurities penetrate into the lower wiring pattern and to prevent the lower wiring pattern from being deformed when the oxide film containing the impurities is flowed at a high temperature. Form. In addition, a spacer may be formed on sidewalls of the lower wiring pattern. In this case, the spacer may be formed of an insulator film having an etch selectivity with respect to the first interlayer insulating film, for example, a silicon nitride film. However, the spacer may be formed of the same material film as the capping insulating film, that is, a silicon oxide film such as a plasma oxide film or a high temperature oxide film.

상기 하부배선 패턴이 노출될 때까지 상기 제1 층간절연막을 전면식각한다. 상기 하부배선 패턴이 노출된 반도체기판 전면에 식각저지막(etch stopper layer) 및 제2 층간절연막을 차례로 형성한다. 상기 제2 층간절연막은 불순물을 함유하는 산화막 또는 언도우프트 산화막으로 형성하거나, 상기 제1 층간절연막과 동일한 물질막으로 형성한다. 상기 식각저지막은 상기 제2 층간절연막에 대하여 식각 선택비를 보이는 절연체막, 예컨대 실리콘질화막으로 형성하는 것이 바람직하다.The first interlayer insulating layer is etched entirely until the lower interconnection pattern is exposed. An etch stopper layer and a second interlayer insulating layer are sequentially formed on the entire surface of the semiconductor substrate to which the lower wiring pattern is exposed. The second interlayer insulating film is formed of an oxide film or an undoped oxide film containing impurities, or is formed of the same material film as the first interlayer insulating film. The etch stop layer is preferably formed of an insulator film having an etch selectivity with respect to the second interlayer insulating film, for example, a silicon nitride film.

상기 제2 층간절연막을 사진/식각 공정으로 패터닝하여 상기 하부배선 패턴 상부의 식각저지막을 노출시킨다. 이어서, 상기 노출된 식각저지막을 식각하여 상기 하부배선 패턴의 소정영역을 노출시키는 콘택홀을 형성한다. 이때, 상기 제2 층간절연막을 패터닝하기 위한 사진공정시 오정렬이 발생하여 상기 하부배선 패턴 주변의 제1 층간절연막이 노출될지라도 상기 노출된 제1 층간절연막은 상기 식각저지막에 대하여 식각선택비를 보이므로 더 이상 식각되지 않는다. 또한, 상기 스페이서가 상기 식각저지막과 동일한 물질막으로 형성된 경우일지라도 상기 콘택홀을 형성하기 위한 식각저지막을 식각할 때 과도식각(over etch) 시간을 적절히 조절함으로써 반도체기판이 노출되지 않도록 조절하기가 용이하다. 상기 하부배선 패턴이 도전막 및 보호막 패턴으로 구성된 경우에는 상기 노출된 식각저지막을 식각한 후에 노출되는 보호막 패턴을 연속적으로 식각하여 상기 도전막 패턴의 소정영역을 노출시킨다.The second interlayer insulating layer is patterned by a photo / etch process to expose an etch stop layer on the lower wiring pattern. Subsequently, the exposed etch stop layer is etched to form a contact hole exposing a predetermined region of the lower wiring pattern. In this case, even when misalignment occurs during the photolithography process for patterning the second interlayer insulating layer, the exposed first interlayer insulating layer may provide an etch selectivity with respect to the etch stop layer even if the first interlayer insulating layer around the lower wiring pattern is exposed. Visible and no longer etched. In addition, even when the spacer is formed of the same material layer as the etch stop layer, the semiconductor substrate may not be exposed by appropriately adjusting the overetch time when etching the etch stop layer for forming the contact hole. It is easy. When the lower interconnection pattern includes a conductive layer and a protective layer pattern, the exposed protective layer pattern is continuously etched after the exposed etch stop layer is etched to expose a predetermined region of the conductive layer pattern.

상기 다른 목적을 달성하기 위하여 본 발명은 반도체기판의 소정영역 상에 차례로 적층된 게이트 절연막 및 게이트 패턴을 형성한다. 상기 게이트 패턴은 도전막만을 패터닝하여 형성하거나, 도전막 및 보호막을 차례로 적층시킨 후 상기 보호막 및 도전막을 연속적으로 패터닝하여 형성한다. 상기 도전막은 도우핑된 폴리실리콘막, 금속막 또는 폴리사이드막으로 형성하고, 상기 보호막 패턴은 후속공정에서 형성되는 제1 층간절연막에 대하여 식각선택비를 갖는 절연체막으로 형성한다. 예를 들면, 상기 보호막 패턴은 산화막으로 형성되는 제1 층간절연막에 대하여 식각선택비를 갖는 실리콘질화막 또는 실리콘 옥시나이트라이드막으로 형성한다. 상기 게이트 패턴 측벽에 스페이서를 형성한다. 상기 스페이서는 상기 보호막 패턴에 대하여 식각선택비를 갖는 물질막, 예컨대 플라즈마 산화막 또는 고온산화막(HTO)으로 형성하거나 상기 보호막 패턴과 동일한 물질막으로 형성할 수도 있다. 상기 게이트 패턴 양 옆의 반도체기판 표면에 소오스/드레인 영역을 형성한다. 상기 소오스/드레인 영역은 엘디디 영역(LDD; lightly doped drain region)을 구비할 수 있다. 상기 스페이서 및 상기 소오스/드레인 영역이 형성된 반도체기판 전면에 제1 층간절연막을 형성한다. 상기 제1 층간절연막은 고온에서 플로우된 BPSG막과 같은 불순물을 함유하는 산화막 또는 평탄화된 언도우프트 산화막(USG; undoped silicate glass)으로 형성한다. 또한, 상기 제1 층간절연막은 캐핑절연막(capping dielectric layer) 및 불순물을 함유하는 산화막을 차례로 적층시킨 이중 절연체막(double dielectric layer)일 수도 있다. 여기서, 상기 캐핑절연막은 언도우프트 산화막, 예컨대 플라즈마 산화막 또는 고온산화막(HTO; high temperature oxide)으로 형성하는 것이 바람직하다. 상기 캐핑절연막은 불순물을 함유하는 산화막 내의 불순물이 상기 게이트 패턴을 구성하는 도전체막 패턴 내부로 침투하는 현상을 방지하고 상기 BPSG막이 고온에서 플로우될 때 상기 게이트 패턴이 이동하여 변형되는 현상을 방지하기 위한 목적으로 형성한다.In order to achieve the above another object, the present invention forms a gate insulating film and a gate pattern sequentially stacked on a predetermined region of a semiconductor substrate. The gate pattern may be formed by patterning only a conductive layer, or by sequentially stacking a conductive layer and a protective layer, and then patterning the protective layer and the conductive layer continuously. The conductive film is formed of a doped polysilicon film, a metal film or a polyside film, and the protective film pattern is formed of an insulator film having an etching selectivity with respect to the first interlayer insulating film formed in a subsequent process. For example, the passivation layer pattern may be formed of a silicon nitride layer or a silicon oxynitride layer having an etching selectivity with respect to the first interlayer insulating layer formed of an oxide layer. Spacers are formed on sidewalls of the gate pattern. The spacer may be formed of a material film having an etching selectivity with respect to the passivation layer pattern, for example, a plasma oxide layer or a high temperature oxide layer (HTO), or may be formed of the same material layer as the passivation layer pattern. Source / drain regions are formed on the surface of the semiconductor substrate on both sides of the gate pattern. The source / drain region may include a lightly doped drain region (LDD). A first interlayer insulating film is formed on the entire surface of the semiconductor substrate on which the spacers and the source / drain regions are formed. The first interlayer insulating film is formed of an oxide film containing an impurity such as a BPSG film flowed at a high temperature, or a planarized undoped silicate glass (USG). The first interlayer insulating film may be a double dielectric layer in which a capping dielectric layer and an oxide film containing impurities are sequentially stacked. The capping insulation layer may be formed of an undoped oxide layer, for example, a plasma oxide layer or a high temperature oxide layer. The capping insulating layer may be configured to prevent the impurities in the oxide film containing impurities from penetrating into the conductive film pattern constituting the gate pattern and to prevent the gate pattern from moving and deforming when the BPSG film flows at a high temperature. Form for the purpose.

상기 제1 층간절연막을 전면식각하여 상기 게이트 패턴을 노출시킨다. 이때, 상기 게이트 패턴이 도전막 패턴 및 보호막 패턴으로 구성된 경우에는 상기 보호막 패턴이 노출된다. 상기 게이트 패턴이 노출된 반도체기판 전면에 식각저지막 및 제2 층간절연막을 차례로 형성한다. 상기 식각저지막은 상기 보호막 패턴과 동일한 물질막, 즉 실리콘질화막으로 형성하는 것이 바람직하다. 상기 제2 층간절연막은 제1 층간절연막과 동일한 물질막으로 형성한다.The first interlayer insulating layer is etched to expose the gate pattern. In this case, when the gate pattern includes a conductive layer pattern and a protective layer pattern, the protective layer pattern is exposed. An etch stop layer and a second interlayer insulating layer are sequentially formed on the entire surface of the semiconductor substrate to which the gate pattern is exposed. The etch stop layer may be formed of the same material layer as the passivation layer pattern, that is, a silicon nitride layer. The second interlayer insulating film is formed of the same material film as the first interlayer insulating film.

상기 제2 층간절연막을 사진/식각 공정으로 패터닝하여 상기 게이트 패턴 상부의 식각저지막을 노출시킨다. 이어서, 상기 노출된 식각저지막을 식각하여 상기 게이트 패턴의 소정영역을 노출시키는 콘택홀을 형성한다. 이때, 상기 게이트 패턴이 도전막 패턴 및 보호막 패턴으로 구성된 경우에는 상기 노출된 식각저지막을 식각한 후에 연속적으로 상기 보호막 패턴을 식각함으로써, 상기 도전막 패턴의 소정영역을 노출시킨다. 여기서, 상기 제2 층간절연막을 패터닝하기 위한 사진공정시 오정렬이 발생한 경우에 도전막 패턴 주변의 제1 층간절연막 및 스페이서가 동시에 노출된다. 그러나, 상기 제1 층간절연막은 상기 식각저지막 및 보호막 패턴에 대하여 높은 식각 선택비를 가지므로 더 이상 식각되지 않는다. 따라서, 상기 콘택홀을 한정하기 위한 사진공정시 오정렬이 발생할지라도 상기 게이트 패턴 양 옆의 소오스/드레인 영역이 노출되는 것을 방지할 수 있다. 또한, 상기 스페이서가 식각저지막과 동일한 물질막으로 형성된 경우에는 상기 식각저지막을 식각하기 위한 공정시 과도식각 시간을 적절히 조절함으로써 상기 스페이서가 과도하게 식각되는 현상을 방지할 수 있다. 이에 따라, 상기 콘택홀을 한정하는 사진공정시 오정렬이 발생할지라도 스페이서 하부의 소오스/드레인 영역이 노출되는 현상을 방지할 수 있다.The second interlayer insulating layer is patterned by a photo / etch process to expose the etch stop layer on the gate pattern. Subsequently, the exposed etch stop layer is etched to form a contact hole exposing a predetermined region of the gate pattern. In this case, when the gate pattern includes a conductive layer pattern and a protective layer pattern, the exposed protective layer pattern is continuously etched after the exposed etch stop layer is etched to expose a predetermined region of the conductive layer pattern. Here, when misalignment occurs in the photolithography process for patterning the second interlayer insulating film, the first interlayer insulating film and the spacer around the conductive film pattern are simultaneously exposed. However, since the first interlayer insulating layer has a high etching selectivity with respect to the etch stop layer and the passivation layer pattern, the first interlayer insulating layer is not etched anymore. Therefore, even if misalignment occurs during the photolithography process for defining the contact hole, the source / drain regions adjacent to both sides of the gate pattern may be prevented from being exposed. In addition, when the spacer is formed of the same material film as the etch stop layer, the excessive etching time may be appropriately adjusted during the process of etching the etch stop layer, thereby preventing excessive etching of the spacer. Accordingly, even when misalignment occurs during the photolithography process of defining the contact hole, the source / drain region under the spacer may be exposed.

이하, 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 여기서, 소개되는 도면들은 모스 트랜지스터의 게이트 전극 상에 콘택홀을 직접 형성하는 경우를 예로 들었으나, 일반적인 배선 상에 콘택홀을 형성하는 경우에도 적용하는 것이 가능하다. 특히, 본 발명은 고집적 반도체소자에 적합한 짧은 채널 모스 트랜지스터의 게이트 전극 상에 직접 콘택홀을 형성하는 경우에 매우 효과적이다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. Here, although the drawings introduced are examples of directly forming a contact hole on a gate electrode of a MOS transistor, the present invention may be applied to a case of forming a contact hole on a general wiring. In particular, the present invention is very effective in forming contact holes directly on the gate electrodes of short channel MOS transistors suitable for highly integrated semiconductor devices.

도 3을 참조하면, 반도체기판(21) 상에 게이트 절연막(23)을 형성하고, 상기 게이트 절연막(23) 상에 도전체막 및 보호막(protection layer)을 차례로 형성한다. 상기 보호막 및 상기 도전체막을 연속적으로 패터닝하여 상기 게이트 절연막(23)의 소정영역 상에 차례로 적층된 도전막 패턴(25) 및 보호막 패턴(27)을 형성한다. 여기서, 상기 도전막 패턴(25)은 게이트 전극 역할을 하며, 상기 도전막 패턴(25) 및 상기 보호막 패턴(27)은 게이트 패턴을 구성한다. 상기 게이트 패턴은 도전막 패턴(25)만으로 형성할 수도 있다. 그러나, 고집적 반도체소자에 적합한 짧은 채널을 갖는 모스 트랜지스터를 형성하기 위해서는 선폭이 0.5㎛ 이하인 미세한 게이트 전극을 형성하여야 한다. 이에 따라, 미세한 게이트 전극을 패터닝하기 위한 사진공정시 난반사를 감소시키기 위하여 반사방지막(anti-reflective layer)이 널리 사용된다. 결과적으로, 상기 보호막은 반사방지막 역할을 하고 후속공정에서 형성되는 제1 층간절연막에 대하여 식각선택비를 갖는 물질막, 예컨대 실리콘질화막 또는 실리콘 옥시나이트라이드막으로 형성하는 것이 바람직하다. 상기 도전막은 도우핑된 폴리실리콘막, 금속막 또는 내화성 금속을 함유하는 폴리사이드막으로 형성한다. 이어서, 상기 게이트 패턴을 이온주입 마스크로 사용하여 상기 반도체기판(21)과 다른 도전형의 불순물 이온을 주입함으로써 게이트 패턴 양 옆의 반도체기판(21) 표면에 엘디디(LDD; lightly doped drain 29) 영역을 형성한다.Referring to FIG. 3, a gate insulating film 23 is formed on a semiconductor substrate 21, and a conductor film and a protection layer are sequentially formed on the gate insulating film 23. The protective film and the conductive film are successively patterned to form a conductive film pattern 25 and a protective film pattern 27 that are sequentially stacked on a predetermined region of the gate insulating film 23. Here, the conductive layer pattern 25 serves as a gate electrode, and the conductive layer pattern 25 and the passivation layer pattern 27 constitute a gate pattern. The gate pattern may be formed of only the conductive layer pattern 25. However, in order to form a MOS transistor having a short channel suitable for a highly integrated semiconductor device, a fine gate electrode having a line width of 0.5 μm or less should be formed. Accordingly, an anti-reflective layer is widely used to reduce diffuse reflection in a photolithography process for patterning a fine gate electrode. As a result, the protective film is preferably formed of a material film, such as a silicon nitride film or a silicon oxynitride film, which serves as an antireflection film and has an etching selectivity with respect to the first interlayer insulating film formed in a subsequent process. The conductive film is formed of a doped polysilicon film, a metal film or a polyside film containing a refractory metal. Subsequently, lightly doped drain 29 (LDD) is formed on the surface of the semiconductor substrate 21 on both sides of the gate pattern by implanting impurity ions different from the semiconductor substrate 21 using the gate pattern as an ion implantation mask. Form an area.

도 4를 참조하면, 상기 엘디디 영역(29)이 형성된 반도체기판 전면에 스페이서용 절연체막, 예컨대 실리콘산화막 또는 실리콘질화막을 형성한다. 상기 스페이서용 절연체막을 이방성 식각하여 상기 게이트 패턴 측벽에 스페이서(31)를 형성한다. 상기 스페이서(31) 및 상기 게이트 패턴을 이온주입 마스크로 사용하여 반도체기판(21)과 다른 도전형의 불순물 이온을 상기 엘디디 영역(29)보다 높은 도우즈로 주입함으로써 상기 게이트 패턴 양 옆의 반도체기판(21) 표면에 고농도 불순물 영역(34)을 형성한다. 이때, 상기 스페이서(31) 하부에 엘디디 영역(23)이 잔존한다. 상기 엘디디 영역(23) 및 상기 고농도 불순물 영역(34)은 소오스/드레인 영역(34)을 구성한다.Referring to FIG. 4, an insulator film for a spacer, such as a silicon oxide film or a silicon nitride film, is formed on the entire surface of the semiconductor substrate on which the LED region 29 is formed. The spacer insulator layer is anisotropically etched to form spacers 31 on the sidewalls of the gate pattern. By using the spacer 31 and the gate pattern as an ion implantation mask, implanting impurity ions of the semiconductor substrate 21 and other conductivity types into a higher dose than the LED region 29 to induce semiconductors on both sides of the gate pattern. A high concentration impurity region 34 is formed on the surface of the substrate 21. At this time, the LED region 23 remains under the spacer 31. The LED region 23 and the high concentration impurity region 34 constitute a source / drain region 34.

도 5를 참조하면, 상기 소오스/드레인 영역(34)이 형성된 반도체기판 전면에 제1 층간절연막을 형성한다. 상기 제1 층간절연막은 캐핑절연막(35) 및 불순물을 함유하는 산화막(37)을 차례로 적층시키어 형성하는 것이 바람직하다. 여기서, 상기 불순물을 함유하는 산화막(37) 대신에 언도우프트 산화막으로 형성할 수도 있다. 또한, 상기 제1 층간절연막은 불순물을 함유하는 산화막(37) 또는 언도우프트 산화막(undoped silicate glass)만으로 형성할 수도 있다. 상기 불순물을 함유하는 산화막은 BPSG막, BSG막 또는 BPSG막을 고온에서 플로우시키어 형성한다. 상기 캐핑절연막(35)은 플라즈마 산화막 또는 고온산화막(HTO; high temperature oxide)으로 형성하는 것이 바람직하다. 상기 캐핑절연막(35)은 상기 불순물이 함유된 산화막 내의 불순물, 즉 인(P) 또는 붕소(B)가 상기 게이트 패턴 내부로 침투하는 현상을 방지하고, 상기 불순물이 함유된 산화막, 예컨대 BPSG막을 800℃ 내지 900℃의 고온에서 플로우시킬 때 상기 게이트 패턴이 이동하여 변형되는 현상을 방지하기 위한 목적으로 형성한다.Referring to FIG. 5, a first interlayer insulating film is formed on an entire surface of the semiconductor substrate on which the source / drain regions 34 are formed. The first interlayer insulating film is preferably formed by laminating a capping insulating film 35 and an oxide film 37 containing impurities in sequence. The undoped oxide film may be formed instead of the oxide film 37 containing the impurity. In addition, the first interlayer insulating film may be formed of only an oxide film 37 or an undoped silicate glass containing impurities. The oxide film containing the impurity is formed by flowing a BPSG film, a BSG film or a BPSG film at a high temperature. The capping insulating layer 35 may be formed of a plasma oxide film or a high temperature oxide (HTO). The capping insulating layer 35 prevents impurities in the oxide film containing impurities, that is, phosphorus (P) or boron (B) from penetrating into the gate pattern, and forms an oxide film containing the impurities, such as a BPSG film, in 800. It is formed to prevent the phenomenon that the gate pattern is moved and deformed when flowing at a high temperature of ℃ to 900 ℃.

도 6을 참조하면, 상기 제1 층간절연막을 전면식각하여 상기 게이트 패턴의 상부면을 노출시킨다. 이때, 상기 게이트 패턴이 도전막(25)만으로 형성된 경우에는 도전막 패턴(25), 즉 게이트 전극의 상부면이 노출되고, 상기 게이트 패턴이 도전막 패턴(25) 및 보호막 패턴(27)으로 구성된 경우에는 보호막 패턴(27)의 상부면이 노출된다. 상기 전면식각은 에치백(etch-back) 공정 또는 화학기계적 연마(CMP; chemical mechanical polishing) 공정으로 실시한다. 상기 게이트 패턴의 상부면이 노출된 반도체기판 전면에 식각저지막(39) 및 제2 층간절연막(41)을 차례로 형성한다. 상기 식각저지막(39)은 제2 층간절연막(41)에 대하여 식각선택비를 갖는 물질막, 예컨대 실리콘질화막으로 형성하는 것이 바람직하다. 상기 제2 층간절연막(41)으로는 평탄화 특성이 우수한 물질막, 예컨대 800℃ 내지 900℃의 고온에서 플로우된 BPSG막으로 형성하는 것이 바람직하다. 또한, 상기 제2 층간절연막(41)은 언도우프트 산화막을 형성한 후에 상기 언도우프트 산화막을 전면식각하여 형성할 수도 있다.Referring to FIG. 6, an upper surface of the gate pattern is exposed by etching the entire first interlayer insulating layer. In this case, when the gate pattern is formed of only the conductive layer 25, the conductive layer pattern 25, that is, the upper surface of the gate electrode is exposed, and the gate pattern is formed of the conductive layer pattern 25 and the passivation layer pattern 27. In this case, the upper surface of the protective layer pattern 27 is exposed. The front surface etching may be performed by an etch-back process or a chemical mechanical polishing (CMP) process. An etch stop layer 39 and a second interlayer dielectric layer 41 are sequentially formed on the entire surface of the semiconductor substrate where the top surface of the gate pattern is exposed. The etch stop layer 39 may be formed of a material layer having an etch selectivity with respect to the second interlayer insulating layer 41, for example, a silicon nitride layer. The second interlayer insulating film 41 may be formed of a material film having excellent planarization characteristics, for example, a BPSG film flowed at a high temperature of 800 ° C to 900 ° C. In addition, the second interlayer insulating layer 41 may be formed by etching the entire undoped oxide layer after forming the undoped oxide layer.

도 7을 참조하면, 상기 제2 층간절연막(41)을 통상의 사진/식각 공정으로 패터닝하여 상기 게이트 패턴 상의 식각저지막(39)을 노출시킨다. 계속해서, 상기 노출된 식각저지막(39)을 식각하여 게이트 패턴의 소정영역을 노출시키는 콘택홀(H')을 형성한다. 이때, 상기 게이트 패턴이 도전막 패턴(25)만으로 형성된 경우에는 상기 콘택홀(H')에 의해 도전막 패턴(25)의 소정영역이 노출되고, 상기 게이트 패턴이 도전막 패턴(25) 및 보호막 패턴(27)으로 구성된 경우에는 상기 콘택홀(H')에 의해 보호막 패턴(27)의 소정영역이 노출된다. 따라서, 상기 게이트 패턴이 도전막 패턴(25) 및 보호막 패턴(27)으로 구성된 경우에는 상기 노출된 보호막 패턴(27)을 연속적으로 식각하여 도전막 패턴(25), 즉 게이트 전극의 소정영역을 노출시킨다.Referring to FIG. 7, the second interlayer insulating layer 41 is patterned by a conventional photo / etch process to expose the etch stop layer 39 on the gate pattern. Subsequently, the exposed etch stop layer 39 is etched to form a contact hole H 'exposing a predetermined region of the gate pattern. In this case, when the gate pattern is formed of only the conductive layer pattern 25, a predetermined region of the conductive layer pattern 25 is exposed by the contact hole H ′, and the gate pattern is formed of the conductive layer pattern 25 and the passivation layer. When the pattern 27 is formed, a predetermined region of the passivation layer pattern 27 is exposed by the contact hole H '. Therefore, when the gate pattern includes the conductive layer pattern 25 and the protective layer pattern 27, the exposed protective layer pattern 27 is continuously etched to expose the conductive layer pattern 25, that is, a predetermined region of the gate electrode. Let's do it.

한편, 상기 콘택홀(H')을 한정하기 위한 사진공정 중에 오정렬(mis-alignment)이 발생하면, 도 7에 도시된 바와 같이 식각저지막(39)을 식각한 후에 게이트 패턴 주변의 제1 층간절연막 및 스페이서(31)가 노출된다. 이때, 상기 제1 층간절연막 및 상기 스페이서(31)는 상기 식각저지막(39)에 대하여 식각선택비를 갖는 물질막, 즉 산화막으로 형성되어 더 이상 식각되지 않는다. 또한, 상기 스페이서(31)가 실리콘질화막으로 형성된 경우일지라도 상기 식각저지막(39)을 식각하는 단계에서 과도식각(over etch) 시간을 적절히 조절함으로써 상기 스페이서(31)가 과도하게 식각되는 것을 방지하기가 용이하다. 결과적으로, 상기 콘택홀(H')을 한정하기 위한 사진공정 중에 오정렬이 발생할지라도 상기 소오스/드레인 영역(34)이 노출되는 것을 방지할 수 있다.On the other hand, if misalignment occurs during the photolithography process for defining the contact hole H ', the first interlayer around the gate pattern after etching the etch stop layer 39 as shown in FIG. The insulating film and the spacer 31 are exposed. In this case, the first interlayer insulating layer and the spacer 31 are formed of a material layer having an etch selectivity with respect to the etch stop layer 39, that is, an oxide layer, and are not etched anymore. In addition, even when the spacer 31 is formed of a silicon nitride film, the spacer 31 is prevented from being excessively etched by appropriately adjusting an overetch time in the etching of the etch stop layer 39. Is easy. As a result, even if misalignment occurs during the photolithography process for defining the contact hole H ', the source / drain region 34 may be prevented from being exposed.

도 8을 참조하면, 상기 콘택홀(H')이 형성된 반도체기판 전면에 도전막을 형성하고, 상기 도전막을 패터닝하여 상기 콘택홀(H')을 덮는 배선(43)을 형성한다. 상기 배선(43)은 콘택홀(H')을 통하여 도전막 패턴(25)과 전기적으로 접속된다.Referring to FIG. 8, a conductive film is formed on the entire surface of the semiconductor substrate on which the contact hole H 'is formed, and the conductive film is patterned to form a wiring 43 covering the contact hole H'. The wiring 43 is electrically connected to the conductive film pattern 25 through the contact hole H '.

본 발명은 상기 실시예에 한정되지 않고 당업자의 수준에서 그 변형 및 개량이 가능하다.The present invention is not limited to the above embodiments, and modifications and improvements are possible at the level of those skilled in the art.

상술한 본 발명의 실시예에 따르면, 게이트 전극 상에 직접 콘택홀을 형성할 때 오정렬이 발생할지라도 게이트 전극과 인접한 소오스/드레인 영역이 노출되는 것을 방지할 수 있다. 따라서, 오정렬에 대한 공정 여유도를 개선시킬 수 있다. 또한, 게이트 전극의 폭이 매우 좁은 경우에 게이트 전극의 폭보다 더 큰 직경을 갖는 콘택홀을 형성할 수 있으므로 오정렬에 관계 없이 콘택 저항을 개선시킬 수 있다. 따라서, 미세한 선폭을 요구하는 고집적 반도체소자에 적합한 콘택 공정을 구현할 수 있다.According to the embodiment of the present invention described above, even when misalignment occurs when forming a contact hole directly on the gate electrode, it is possible to prevent the source / drain region adjacent to the gate electrode from being exposed. Therefore, the process margin for misalignment can be improved. In addition, when the width of the gate electrode is very narrow, it is possible to form a contact hole having a diameter larger than the width of the gate electrode, thereby improving contact resistance regardless of misalignment. Therefore, a contact process suitable for a highly integrated semiconductor device requiring a fine line width can be realized.

Claims (16)

반도체기판 상에 하부배선 패턴을 형성하는 단계;Forming a lower wiring pattern on the semiconductor substrate; 상기 하부배선 패턴이 형성된 반도체기판 전면에 제1 층간절연막을 형성하는 단계;Forming a first interlayer insulating film on an entire surface of the semiconductor substrate on which the lower wiring pattern is formed; 상기 하부배선 패턴의 상부면이 노출될 때까지 상기 제1 층간절연막을 전면식각하는 단계;Etching the entire surface of the first interlayer insulating layer until the upper surface of the lower wiring pattern is exposed; 상기 하부배선 패턴의 상부면이 노출된 반도체기판 전면에 식각저지막 및 제2 층간절연막을 차례로 형성하는 단계;Sequentially forming an etch stop layer and a second interlayer insulating layer on the entire surface of the semiconductor substrate where the upper surface of the lower wiring pattern is exposed; 상기 제2 층간절연막을 패터닝하여 상기 하부배선 패턴 상부의 식각저지막을 노출시키는 단계; 및Patterning the second interlayer insulating layer to expose an etch stop layer on the lower interconnection pattern; And 상기 노출된 식각저지막을 식각하여 상기 하부배선 패턴의 소정영역을 노출시키는 단계를 포함하는 반도체소자의 콘택홀 형성방법.And etching the exposed etch stop layer to expose a predetermined region of the lower interconnection pattern. 제1항에 있어서, 상기 하부배선 패턴은 도전막으로 형성하는 것을 특징으로 하는 반도체소자의 콘택홀 형성방법.The method of claim 1, wherein the lower wiring pattern is formed of a conductive film. 제2항에 있어서, 상기 도전막은 도우핑된 폴리실리콘막, 금속막 및 폴리사이드막으로 이루어진 일 군중 선택된 어느 하나인 것을 특징으로 하는 반도체소자의 콘택홀 형성방법.3. The method of claim 2, wherein the conductive film is any one selected from a doped polysilicon film, a metal film, and a polyside film. 제1항에 있어서, 상기 하부배선 패턴은 도전막 패턴 및 보호막 패턴이 차례로 적층된 이중막 패턴(double layered pattern)인 것을 특징으로 하는 반도체소자의 콘택홀 형성방법.The method of claim 1, wherein the lower wiring pattern is a double layered pattern in which a conductive layer pattern and a protective layer pattern are sequentially stacked. 제4항에 있어서, 상기 하부배선 패턴의 소정영역을 노출시키는 단계 후에,The method of claim 4, wherein after exposing a predetermined area of the lower wiring pattern, 상기 보호막 패턴을 식각하여 상기 도전막 패턴의 소정영역을 노출시키는 단계를 더 구비하는 것을 특징으로 하는 반도체소자의 콘택홀 형성방법.And etching the passivation layer pattern to expose a predetermined region of the conductive layer pattern. 제4항에 있어서, 상기 도전막은 금속막, 도우핑된 폴리실리콘막 및 폴리사이드막으로 이루어진 일 군중 어느 하나인 것을 특징으로 하는 반도체소자의 콘택홀 형성방법.5. The method of claim 4, wherein the conductive film is any one group consisting of a metal film, a doped polysilicon film, and a polyside film. 제4항에 있어서, 상기 보호막 패턴은 상기 제1 층간절연막에 대하여 식각선택비를 갖는 절연체막으로 형성하는 것을 특징으로 하는 반도체소자의 콘택홀 형성방법.The method of claim 4, wherein the protective layer pattern is formed of an insulator film having an etch selectivity with respect to the first interlayer insulating layer. 제7항에 있어서, 상기 절연체막은 실리콘질화막 및 실리콘 옥시나이트라이드막(silicon oxynitride layer)중 어느 하나인 것을 특징으로 하는 반도체소자의 콘택홀 형성방법.8. The method of claim 7, wherein the insulator film is any one of a silicon nitride film and a silicon oxynitride layer. 제1항에 있어서, 상기 하부배선 패턴 측벽에 스페이서를 형성하는 단계를 더 구비하는 것을 특징으로 하는 반도체소자의 콘택홀 형성방법.The method of claim 1, further comprising forming spacers on sidewalls of the lower wiring pattern. 제9항에 있어서, 상기 스페이서는 실리콘산화막 및 실리콘질화막중 어느 하나로 형성하는 것을 특징으로 하는 반도체소자의 콘택홀 형성방법.10. The method of claim 9, wherein the spacer is formed of any one of a silicon oxide film and a silicon nitride film. 제1항에 있어서, 상기 제1 층간절연막은 불순물을 함유하는 산화막 및 언도우프트 산화막중 어느 하나로 형성하는 것을 특징으로 하는 반도체소자의 콘택홀 형성방법.2. The method of claim 1, wherein the first interlayer insulating film is formed of any one of an oxide film and an undoped oxide film containing impurities. 제1항에 있어서, 상기 제1 층간절연막은 캐핑절연막 및 불순물을 함유하는 산화막을 차례로 적층시키어 형성하는 것을 특징으로 하는 반도체소자의 콘택홀 형성방법.The method of claim 1, wherein the first interlayer insulating film is formed by sequentially stacking a capping insulating film and an oxide film containing impurities. 제12항에 있어서, 상기 캐핑절연막은 플라즈마 산화막 및 고온산화막중 어느 하나인 것을 특징으로 하는 반도체소자의 콘택홀 형성방법.The method of claim 12, wherein the capping insulating layer is any one of a plasma oxide film and a high temperature oxide film. 제1항에 있어서, 상기 식각저지막은 상기 제2 층간절연막에 대하여 식각선택비를 갖는 절연체막인 것을 특징으로 하는 반도체소자의 콘택홀 형성방법.The method of claim 1, wherein the etch stop layer is an insulator film having an etch selectivity with respect to the second interlayer insulating film. 제14항에 있어서, 상기 제2 층간절연막에 대하여 식각선택비를 갖는 상기 절연체막은 실리콘질화막인 것을 특징으로 하는 반도체소자의 콘택홀 형성방법.15. The method of claim 14, wherein the insulator film having an etch selectivity with respect to the second interlayer insulating film is a silicon nitride film. 제1항에 있어서, 상기 제2 층간절연막은 상기 제1 층간절연막과 동일한 물질막으로 형성하는 것을 특징으로 하는 반도체소자의 콘택홀 형성방법.The method of claim 1, wherein the second interlayer dielectric layer is formed of the same material layer as the first interlayer dielectric layer.
KR1019980034883A 1998-08-27 1998-08-27 Method for forming contact hole of semiconductor device KR100287178B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1019980034883A KR100287178B1 (en) 1998-08-27 1998-08-27 Method for forming contact hole of semiconductor device
TW088100053A TW430924B (en) 1998-08-27 1999-01-05 Method for forming contact hole in semiconductor device
JP11206724A JP2000077526A (en) 1998-08-27 1999-07-21 Contact hole formation method for semiconductor element

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019980034883A KR100287178B1 (en) 1998-08-27 1998-08-27 Method for forming contact hole of semiconductor device

Publications (2)

Publication Number Publication Date
KR20000015140A true KR20000015140A (en) 2000-03-15
KR100287178B1 KR100287178B1 (en) 2001-04-16

Family

ID=19548507

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980034883A KR100287178B1 (en) 1998-08-27 1998-08-27 Method for forming contact hole of semiconductor device

Country Status (3)

Country Link
JP (1) JP2000077526A (en)
KR (1) KR100287178B1 (en)
TW (1) TW430924B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5519724B2 (en) * 2001-07-17 2014-06-11 ルネサスエレクトロニクス株式会社 Semiconductor device

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59169151A (en) * 1983-03-17 1984-09-25 Toshiba Corp Manufacture of semiconductor device
US4767724A (en) * 1986-03-27 1988-08-30 General Electric Company Unframed via interconnection with dielectric etch stop
JP2905314B2 (en) * 1991-07-08 1999-06-14 シャープ株式会社 Method for manufacturing semiconductor device
JP3781136B2 (en) * 1996-06-17 2006-05-31 富士通株式会社 Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
TW430924B (en) 2001-04-21
JP2000077526A (en) 2000-03-14
KR100287178B1 (en) 2001-04-16

Similar Documents

Publication Publication Date Title
US6194301B1 (en) Method of fabricating an integrated circuit of logic and memory using damascene gate structure
JPH10214894A (en) Semiconductor device and its manufacture
KR20030000074A (en) Semiconductor device having shared contact and fabrication method thereof
US6784054B2 (en) Method of manufacturing semiconductor device
US6661066B2 (en) Semiconductor device including inversely tapered gate electrode and manufacturing method thereof
US6242311B1 (en) Method of fabricating a semiconductor device with silicided gates and peripheral region
US6699793B2 (en) Semiconductor device having multi-layered spacer and method of manufacturing the same
US8487397B2 (en) Method for forming self-aligned contact
KR100444306B1 (en) Manufacturing method for semiconductor device
US7649218B2 (en) Lateral MOS transistor and method for manufacturing thereof
KR19980020347A (en) Wiring structure and manufacturing method of semiconductor device
KR100287178B1 (en) Method for forming contact hole of semiconductor device
KR20010061785A (en) Method of fabricating semiconductor device for preventing interconnection line from being shorted to metal contact
KR100481984B1 (en) Semiconductor device and its manufacturing method
KR100606953B1 (en) Method for Forming Of Semi-conductor Device
KR100589498B1 (en) Method of manufacturing semiconductor device
KR20020048618A (en) Semiconductor device with self aligned silicide layer and method for forming the same
US6391764B1 (en) Method for fabricating semiconductor device
US7259070B2 (en) Semiconductor devices and methods for fabricating the same
KR100618807B1 (en) Method for manufacturing a semiconductor device having dual gate poly structure available self-aligned contact and gate layers structure thereof
KR0165359B1 (en) Spacer for electrode protection and its formation method of semiconductor device
KR100565432B1 (en) Transistor Of Semiconductor Device And Method Of Fabricating The Same
KR20010011651A (en) A method of forming a contact in semiconductor device
KR100327663B1 (en) Forming method for inter layer oxide of semiconductor device
KR100262012B1 (en) A method of fabricating semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090102

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee