KR19990085772A - Shallow Trench Isolation Method for Semiconductor Devices - Google Patents

Shallow Trench Isolation Method for Semiconductor Devices Download PDF

Info

Publication number
KR19990085772A
KR19990085772A KR1019980018389A KR19980018389A KR19990085772A KR 19990085772 A KR19990085772 A KR 19990085772A KR 1019980018389 A KR1019980018389 A KR 1019980018389A KR 19980018389 A KR19980018389 A KR 19980018389A KR 19990085772 A KR19990085772 A KR 19990085772A
Authority
KR
South Korea
Prior art keywords
insulating film
film
etch stop
trench
insulating
Prior art date
Application number
KR1019980018389A
Other languages
Korean (ko)
Other versions
KR100532381B1 (en
Inventor
김진호
윤종밀
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR1019980018389A priority Critical patent/KR100532381B1/en
Publication of KR19990085772A publication Critical patent/KR19990085772A/en
Application granted granted Critical
Publication of KR100532381B1 publication Critical patent/KR100532381B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Abstract

본 발명은 소자 분리막의 가장자리가 리세스되는 현상을 방지하는 반도체장치의 쉘로우 트렌치 소자분리(shallow trench isolation) 방법을 개시한다. 이는 반도체기판 상에 제 1 절연막 및 식각 정지막을 차례로 형성하는 제 1 단계, 상기 식각 정지막/제 1 절연막의 소정 부분을 식각하여 식각 정지막 패턴/제 1 절연막 패턴을 형성하는 제 2 단계, 상기 식각 정지막 패턴/제 1 절연막 패턴을 마스크로하여 상기 반도체기판을 소정 깊이로 식각함으로써 트렌치를 형성하는 제 3 단계, 상기 트렌치의 측벽 및 바닥에 측벽 산화막을 형성하는 제 4 단계, 상기 측벽 산화막이 형성된 결과물에 제 2 절연막을 형성하는 제 5 단계, 상기 제 2 절연막이 형성된 결과물 전면에 제 3 절연막을 증착하여 상기 트렌치를 매립하는 제 6 단계, 상기 식각 정지막 패턴이 노출될 때까지 상기 제 2 및 제 3 절연막을 제거하는 제 7 단계, 및 상기 식각 정지막 패턴을 식각함으로써 상기 트렌치 내에 소자 분리막을 형성하는 제 8 단계를 포함한다.The present invention discloses a shallow trench isolation method of a semiconductor device which prevents the edge of the device isolation layer from being recessed. This is a first step of sequentially forming a first insulating film and an etch stop film on the semiconductor substrate, a second step of forming a etch stop film pattern / first insulating film pattern by etching a predetermined portion of the etch stop film / first insulating film, A third step of forming a trench by etching the semiconductor substrate to a predetermined depth using an etch stop layer pattern / first insulating layer pattern as a mask, a fourth step of forming a sidewall oxide film on sidewalls and a bottom of the trench, and the sidewall oxide film A fifth step of forming a second insulating film in the formed product, a sixth step of filling a trench by depositing a third insulating film on the entire surface of the resultant product on which the second insulating film is formed, and the second until the etch stop layer pattern is exposed And a seventh step of removing a third insulating film, and an eighth step of forming an isolation layer in the trench by etching the etch stop layer pattern. Include.

Description

반도체 장치의 쉘로우 트렌치 소자 분리방법Shallow Trench Isolation Method for Semiconductor Devices

본 발명은 반도체장치의 제조방법에 관한 것으로, 특히 소자분리막의 가장자리가 리세스(recess)되는 현상을 방지하는 반도체 장치의 쉘로우 트렌치 소자분리 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a shallow trench device isolation method of a semiconductor device which prevents the edge of the device isolation layer from being recessed.

최근 반도체장치가 고집적화됨에 따라 트랜지스터와 같은 개별소자들을 서로 격리시키기 위한 소자분리 영역의 면적을 감소시키기 위한 연구가 활발해지고 있다. 소자분리 영역의 면적을 감소시키기 위한 대표적인 방법으로는 반도체기판의 소정영역을 식각하여 쉘로우 트렌치 소자분리 (STI;shallow trench isolation) 영역을 형성하고, 상기 트렌치 영역을 절연막으로 채우는 쉘로우 트렌치 소자분리 방법이 널리 채택되고 있다.Recently, as semiconductor devices have been highly integrated, researches for reducing the area of device isolation regions for isolating individual devices such as transistors from each other are being actively conducted. Representative methods for reducing the area of the isolation region include a shallow trench isolation region by etching a predetermined region of the semiconductor substrate to form a shallow trench isolation region and filling the trench region with an insulating layer. It is widely adopted.

도 1 내지 도 6은 종래 기술에 의한 반도체 장치의 쉘로우 트렌치 소자 분리 방법을 설명하기 위한 단면도들이다.1 to 6 are cross-sectional views illustrating a shallow trench element isolation method of a semiconductor device according to the prior art.

도면 참조번호 11은 반도체기판을, 13은 제 1 절연막을, 13a는 제 1 절연막 패턴을, 15는 식각 정지막을, 15a는 식각 정지막 패턴을, 16은 트렌치를, 17은 측벽 산화막을, 19는 제 2 절연막을, 19a는 제 2 절연막 패턴을, 그리고 19b는 소자분리막을 각각 나타낸다.Reference numeral 11 denotes a semiconductor substrate, 13 a first insulating film, 13a a first insulating film pattern, 15 an etch stop film, 15a an etch stop film, 16 a trench, 17 a sidewall oxide film, 19 Is a second insulating film, 19a is a second insulating film pattern, and 19b is an isolation film.

상기 도 1을 참조하면, 반도체기판(11) 상에 제 1 절연막(13) 및 식각 정지막(15)를 차례로 형성한다.Referring to FIG. 1, the first insulating layer 13 and the etch stop layer 15 are sequentially formed on the semiconductor substrate 11.

상기 도 2를 참조하면, 사진 식각 방법을 이용하여 상기 식각 정지막(15)/제 1 절연막(13)의 소정 부분을 식각함으로써 식각 정지막 패턴(15a)/제 1 절연막 패턴(13a)을 형성한다.Referring to FIG. 2, an etching stop layer pattern 15a / first insulating layer pattern 13a is formed by etching a predetermined portion of the etch stop layer 15 / first insulating layer 13 using a photolithography method. do.

이어서 상기 식각 정지막 패턴(15a)/제 1 절연막 패턴(13a)을 마스크로하여 상기 반도체기판(11)을 소정 깊이로 이방성 식각함으로써 트렌치(16)를 형성하는 공정, 상기 트렌치(16)가 형성된 결과물을 열산화하여 상기 트렌치(16)의 측벽 및 바닥에 측벽 산화막(17)을 형성하는 공정, 및 상기 측벽 산화막(17)이 형성된 결과물 전면에 상기 트렌치(16)가 충분히 매립되도록 제 2 절연막(19), 예컨대 매립 특성이 우수한 산화막을 형성한다.Subsequently, the trench 16 is formed by anisotropically etching the semiconductor substrate 11 to a predetermined depth using the etch stop layer pattern 15a and the first insulating layer pattern 13a as a mask. Forming a sidewall oxide film 17 on the sidewalls and the bottom of the trench 16 by thermally oxidizing the resultant, and a second insulating film so that the trench 16 is sufficiently buried in the entire surface of the resultant surface on which the sidewall oxide film 17 is formed. 19) For example, an oxide film having excellent embedding characteristics is formed.

상기 도 3을 참조하면, 상기 식각 정지막 패턴(15a)이 노출될 때까지 상기 제 2 절연막(19)을 화학기계적 연마(CMP) 공정으로 전면식각하여 상기 트렌치(16) 내에 제 2 절연막 패턴(19a)을 형성한다.Referring to FIG. 3, the second insulating layer 19 is etched by chemical mechanical polishing (CMP) until the etch stop layer pattern 15a is exposed to form a second insulating layer pattern (in the trench 16). 19a).

이때 상기 제 2 절연막 패턴(19a)은 화학기계적 연마(CMP) 공정의 에지 효과(edge effect)에 그 가장자리면이 그 중심면보다 낮아지는 리세스(recess) 현상이 발생한다.At this time, the second insulating layer pattern 19a may have a recess phenomenon in which the edge surface thereof is lower than the center surface of the edge effect of the chemical mechanical polishing (CMP) process.

상기 도 4를 참조하면, 상기 식각 정지막 패턴(15a)을 습식 식각한다.Referring to FIG. 4, the etch stop layer pattern 15a is wet etched.

상기 도 5를 참조하면, 상기 제 1 절연막 패턴(13a)으로 식각하고 상기 결과물을 세정(cleaning)함으로써 상기 트렌치(16)에 소자 분리막(19b)이 형성된다.Referring to FIG. 5, an isolation layer 19b is formed in the trench 16 by etching the first insulating layer pattern 13a and cleaning the resultant.

이때 상기 소자 분리막(19b)은 상기 도 3의 공정에서보다 그 가장 자리가 더욱더 리세스되어 참조부호 "A"에서와 같이 트렌치(16)의 상부측벽, 즉 활성영역의 가장자리 측벽을 노출시킨다.At this time, the device isolation layer 19b is recessed even more than in the process of FIG. 3 to expose the upper side wall of the trench 16, that is, the edge sidewall of the active region, as shown by reference numeral "A".

상기 도 6을 참조하면, 활성영역의 가장자리 측벽을 노출시키는 소자분리막(19b)이 형성된 결과물 전면에 게이트 산화막(도시하지 않음) 및 도전막을 차례로 형성하고, 상기 도전막(21)을 패터닝하여 활성영역을 덮는 게이트 전극(21)을 형성한다.Referring to FIG. 6, a gate oxide film (not shown) and a conductive film are sequentially formed on the entire surface of the resultant device on which the device isolation film 19b exposing the edge sidewalls of the active region is formed, and the conductive film 21 is patterned to form an active region. A gate electrode 21 is formed to cover the gap.

이때, 게이트 전극(21)은 상기 소자 분리막(19b)과 활성영역의 가장자리 측벽(A)을 감싸게된다.In this case, the gate electrode 21 surrounds the device isolation layer 19b and the edge sidewall A of the active region.

상기 게이트 전극(21)이 감싸는 가장자리 측벽(A)은 그 수직 방향과 측 방향으로 전계를 받아 문턱 전압이 낮아지고 서브문턱 누설전류(subtreshold leakage current)가 증가하고 펀칭 마진(punching margin)이 감소된다.The edge sidewall A, which is enclosed by the gate electrode 21, receives an electric field in the vertical and lateral directions thereof, thereby lowering the threshold voltage, increasing the sub-threshold leakage current, and decreasing the punching margin. .

특히 모스 트랜지스터의 폭(width)이 작아질수록 문턱 전압이 낮아져 트랜지스터의 펀칭이나 누설 전류를 유발할 뿐만 아니라, 트랜지스터가 활성 영역과 소자 분리막의 경계면에서 채널이 먼저 형성되어 턴온된 후 활성 영역에 채널이 형성되어 턴온되는 험프(hump) 현상이 발생한다.In particular, as the width of the MOS transistor becomes smaller, the threshold voltage is lowered, causing not only the punching or leakage current of the transistor, but also the transistor is formed first at the interface between the active region and the device isolation layer, and then the channel is formed in the active region. Hump phenomenon occurs that is formed and turned on.

그리고 만약 소자 분리막(19b) 형성시 상기 측벽 산화막(17)이 식각되는 것을 방지하기 위해 세정 공정을 충분히 하지 않는다면, 잔류 오염 물질에 의해 소자 특성이 변경되는 또다른 문제점이 발생한다.In addition, if the cleaning process is not performed sufficiently to prevent the sidewall oxide layer 17 from being etched when the device isolation layer 19b is formed, another problem may occur in that device characteristics are changed by residual contaminants.

본 발명의 목적은 트렌치에 형성되는 소자 분리막의 가장자리가 리세스되는 현상을 방지하는 반도체 장치의 쉘로우 트렌치 소자분리(shallow trench isolation) 방법을 제공하는 데 있다.SUMMARY OF THE INVENTION An object of the present invention is to provide a shallow trench isolation method of a semiconductor device which prevents the edge of the device isolation film formed in the trench from being recessed.

도 1 내지 도 6은 종래 기술에 의한 반도체 장치의 쉘로우 트렌치 소자 분리 방법을 설명하기 위한 단면도들이다.1 to 6 are cross-sectional views illustrating a shallow trench element isolation method of a semiconductor device according to the prior art.

도 7 내지 도 11은 본 발명에 의한 반도체 장치의 쉘로우 트렌치 소자분리 방법을 설명하기 위한 단면도들이다.7 to 11 are cross-sectional views illustrating a shallow trench device isolation method of a semiconductor device according to the present invention.

상기 목적을 달성하기 위하여 본 발명은 반도체기판 상에 제 1 절연막 및 식각 정지막을 차례로 형성한다. 상기 식각 정지막/제 1 절연막의 소정 부분을 식각하여 식각 정지막 패턴/제 1 절연막 패턴을 형성한다. 상기 식각 정지막 패턴/제 1 절연막 패턴을 마스크로하여 상기 반도체기판을 소정 깊이로 식각함으로써 트렌치를 형성한다. 상기 트렌치의 측벽 및 바닥에 측벽 산화막을 형성한다. 상기 측벽 산화막이 형성된 결과물에 제 2 절연막을 형성한다. 상기 제 2 절연막이 형성된 결과물 전면에 제 3 절연막을 증착하여 상기 트렌치를 매립한다. 상기 식각 정지막 패턴이 노출될 때까지 상기 제 2 및 제 3 절연막을 제거한다. 상기 식각 정지막 패턴을 식각함으로써 상기 트렌치 내에 소자 분리막을 형성한다.In order to achieve the above object, the present invention sequentially forms a first insulating film and an etch stop film on a semiconductor substrate. A predetermined portion of the etch stop layer / first insulating layer is etched to form an etch stop layer pattern / first insulating layer pattern. A trench is formed by etching the semiconductor substrate to a predetermined depth using the etch stop layer pattern / first insulating layer pattern as a mask. A sidewall oxide film is formed on sidewalls and bottoms of the trenches. A second insulating film is formed on the resultant formed sidewall oxide film. The trench is filled by depositing a third insulating film on the entire surface of the resultant product in which the second insulating film is formed. The second and third insulating layers are removed until the etch stop layer pattern is exposed. The device isolation layer is formed in the trench by etching the etch stop layer pattern.

상기 제 1 절연막은 산화막인 것이 바람직하다.It is preferable that a said 1st insulating film is an oxide film.

상기 식각 정지막은 산화막과의 선택비가 우수한 절연막인 것이 바람직하다.The etch stop film is preferably an insulating film having an excellent selectivity with respect to the oxide film.

상기 제 2 절연막은 습식 식각제(wet etchant)와의 선택비가 우수한 절연막인 것이 바람직하다.The second insulating film is preferably an insulating film having an excellent selectivity with respect to the wet etchant.

상기 제 6 단계후 상기 결과물을 열처리하여 상기 제 2 및 제 3 절연막을 경화하는 단계를 추가하는 것이 바람직하다.It is preferable to add the step of curing the second and third insulating film by heat-treating the resultant after the sixth step.

그리고 상기 제 8 단계후 상기 결과물을 세정하는 단계를 추가하는 것이 바람직하다.And after the eighth step, it is preferable to add the step of washing the result.

따라서, 본 발명에 의한 반도체장치의 쉘로우 트렌치 소자분리 방법에 의하면, 트렌치 매립을 위한 절연막을 형성하기 전에 트렌치 표면에 세정시 사용되는 식각제(cleaning etchant)에 대해 선택비가 좋은 절연막을 적층함으로써 소자 분리막의 가장자리가 리세스되는 현상을 방지한다.Therefore, according to the shallow trench device isolation method of the semiconductor device according to the present invention, the device isolation film is formed by stacking an insulating film having a good selectivity with respect to a cleaning etchant used for cleaning on the trench surface before forming the insulating film for trench filling. Prevent the phenomenon that the edges of the recesses are recessed.

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 7 내지 도 11은 본 발명에 의한 반도체 장치의 쉘로우 트렌치 소자분리 방법을 설명하기 위한 단면도들이다.7 to 11 are cross-sectional views illustrating a shallow trench device isolation method of a semiconductor device according to the present invention.

도면 참조번호 51은 반도체기판을, 53은 제 1 절연막을, 53a는 제 1 절연막 패턴을, 55는 식각 정지막을, 55a는 식각 정지막 패턴을, 56은 트렌치를, 57은 측벽 산화막을, 59는 제 2 절연막을, 61은 제 3 절연막을, 63은 제 3 절연막을, 63은 제 3 절연막 패턴을, 그리고 61b는 소자분리막을 각각 나타낸다.Reference numeral 51 is a semiconductor substrate, 53 is a first insulating film, 53a is a first insulating film pattern, 55 is an etch stop film, 55a is an etch stop film, 56 is a trench, 57 is a sidewall oxide film, 59 Is a second insulating film, 61 is a third insulating film, 63 is a third insulating film, 63 is a third insulating film pattern, and 61b is an isolation layer.

상기 도 7을 참조하면, 반도체기판(51), 예컨대 실리콘기판 상에 제 1 절연막(53) 및 식각 정지막(55)을 차례로 형성한다.Referring to FIG. 7, the first insulating layer 53 and the etch stop layer 55 are sequentially formed on the semiconductor substrate 51, for example, the silicon substrate.

상기 제 1 절연막(53)은 산화막이고 상기 식각 정지막(55)은 산화막과의 선택비가 우수한 절연막, 예컨대 질화막인 것이 바람직하다.It is preferable that the first insulating film 53 is an oxide film and the etch stop film 55 is an insulating film having an excellent selectivity with respect to the oxide film, for example, a nitride film.

상기 도 8을 참조하면, 사진 식각 방법을 이용하여 상기 식각 정지막(55)/제 1 절연막(53)의 소정 부분을 식각함으로써 식각 정지막 패턴(55a)/제 1 절연막 패턴(53a)을 형성하고, 상기 식각 정지막 패턴(55a)/제 1 절연막 패턴(53a)을 마스크로하여 상기 반도체기판(51)을 소정 깊이로 이방성 식각함으로써 좁고 긴 트렌치(56)를 형성한다.Referring to FIG. 8, an etch stop layer pattern 55a / first insulating layer pattern 53a is formed by etching a predetermined portion of the etch stop layer 55 / first insulating layer 53 by using a photolithography method. A narrow and long trench 56 is formed by anisotropically etching the semiconductor substrate 51 to a predetermined depth using the etch stop layer pattern 55a / the first insulating layer pattern 53a as a mask.

이어서 상기 트렌치(56)의 측벽 및 바닥에 측벽 산화막(57)을 형성하는 공정, 상기 측벽 산화막(57)이 형성된 결과물 표면에 습식 식각제(wet etchant)와의 선택비가 우수한 절연 물질, 예컨대 P-TEOS(Tetra Ethyl Ortho Silicate)을 증착하여 제 2 절연막(59)을 형성하는 공정, 상기 제 2 절연막(59)이 형성된 결과물 전면에 상기 트렌치(56)가 충분히 매립되도록 매립 특성이 우수한 절연 물질, 예컨대 O3-TEOS 또는 USG(Undoped Silicate Glass)를 사용하여 제 3 절연막(61)을 형성하는 공정, 및 상기 결과물을 열처리하여 상기 제 2 및 제 3 절연막(59,61)을 경화시키는 공정을 차례로 진행한다.Subsequently, a step of forming a sidewall oxide layer 57 on the sidewalls and the bottom of the trench 56, an insulating material having a good selectivity with a wet etchant on the surface of the resultant layer on which the sidewall oxide layer 57 is formed, such as P-TEOS. Forming a second insulating film 59 by depositing Tetra Ethyl Ortho Silicate, an insulating material having excellent filling characteristics such that the trench 56 is sufficiently buried in the entire surface of the resultant product on which the second insulating film 59 is formed. The process of forming the third insulating film 61 using 3- TEOS or USG (Undoped Silicate Glass), and the process of heat-treating the resultant to cure the second and third insulating films 59 and 61 are sequentially performed. .

상기 측벽 산화막(57)은 상기 트렌치(56) 형성시 상기 반도체기판(51) 표면의 손상(damage)을 제거하는 역할을한다.The sidewall oxide layer 57 removes damage on the surface of the semiconductor substrate 51 when the trench 56 is formed.

그리고 상기 제 2 절연막(59)은 후속 공정, 즉 세정 공정에서 상기 측벽 산화막(57)이 식각되지 않게하여 소자 분리막의 가장자리가 리세스되는 현상을 방지하기 위해 형성된다.The second insulating layer 59 is formed to prevent the sidewall oxide layer 57 from being etched in a subsequent process, that is, a cleaning process, to prevent the edge of the device isolation layer from being recessed.

상기 도 9를 참조하면, 상기 식각 정지막 패턴(55a)이 노출될 때까지 상기 제 2 및 제 3 절연막(59,61)을 화학기계적 연마(CMP) 공정으로 전면식각하여 상기 트렌치(56) 내에 제 3 절연막 패턴(61a)/제 2 절연막 패턴(59a)/측벽 산화막(57)을 형성한다.Referring to FIG. 9, the second and third insulating layers 59 and 61 may be fully etched by a chemical mechanical polishing (CMP) process until the etch stop layer pattern 55a is exposed. A third insulating film pattern 61a / second insulating film pattern 59a / side wall oxide film 57 is formed.

상기 도 10을 참조하면, 상기 식각 정지막 패턴(55a)을 습식 식각한다.Referring to FIG. 10, the etch stop layer pattern 55a is wet etched.

상기 도 11을 참조하면, 상기 제 1 절연막 패턴(53a)으로 식각하고 상기 결과물을 세정(cleaning)함으로써 상기 트렌치(56)내에 소자 분리막(61b)이 형성된다.Referring to FIG. 11, an isolation layer 61b is formed in the trench 56 by etching the first insulating layer pattern 53a and cleaning the resultant.

이때 상기 제 2 절연막(59b)는 여전히 상기 측벽 산화막(57) 상부에 소정 두께로 남겨지므로 상기 소자 분리막(61b)의 가장자리는 식각되지 않고 보호되므로 종래와 같은 리세스(recess) 현상은 나타나지 않는다.In this case, since the second insulating layer 59b is still left at a predetermined thickness on the sidewall oxide layer 57, the edge of the device isolation layer 61b is protected without being etched, so that a conventional recess phenomenon does not appear.

본 발명은 도면에 도시된 일 실시예를 참고로 설명되었으나 이는 예시적인 것에 불과하며, 본 기술 분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 발명의 진정한 기술적 보호 범위는 첨부된 등록청구범위의 기술적 사상에 의해 정해져야 할 것이다.Although the present invention has been described with reference to one embodiment shown in the drawings, this is merely exemplary, and those skilled in the art will understand that various modifications and equivalent other embodiments are possible therefrom. Therefore, the true technical protection scope of the present invention will be defined by the technical spirit of the appended claims.

이상, 설명된 바와 같이 본 발명에 의한 반도체 장치의 쉘로우 트렌치 소자분리(shallow trench isolation) 방법에 따르면, 트렌치 매립을 위한 절연막을 형성하기 전에 트렌치 표면에 세정시 사용되는 식각제(cleaning etchant)에 대해 선택비가 좋은 절연막을 적층하여 소자 분리막의 가장자리가 리세스되는 현상이 방지됨으로써 문턱 전압 감소, 서브문턱 전압 누설 전류 증가, 및 험프(HUMP)등과 같은 문제점이 발생하지 않는다.As described above, according to the shallow trench isolation method of the semiconductor device according to the present invention, an etching agent used for cleaning the trench surface before forming the insulating film for filling the trench is used for cleaning etchant. By stacking an insulating film having a good selectivity, the edge of the device isolation layer is prevented from being recessed so that problems such as a decrease in a threshold voltage, an increase in a subthreshold voltage leakage current, and a hump do not occur.

Claims (6)

반도체기판 상에 제 1 절연막 및 식각 정지막을 차례로 형성하는 제 1 단계;A first step of sequentially forming a first insulating film and an etch stop film on the semiconductor substrate; 상기 식각 정지막/제 1 절연막의 소정 부분을 식각하여 식각 정지막 패턴/제 1 절연막 패턴을 형성하는 제 2 단계;Etching a predetermined portion of the etch stop layer / first insulating layer to form an etch stop layer pattern / first insulating layer pattern; 상기 식각 정지막 패턴/제 1 절연막 패턴을 마스크로하여 상기 반도체기판을 소정 깊이로 식각함으로써 트렌치를 형성하는 제 3 단계;Forming a trench by etching the semiconductor substrate to a predetermined depth using the etching stop layer pattern / first insulating layer pattern as a mask; 상기 트렌치의 측벽 및 바닥에 측벽 산화막을 형성하는 제 4 단계;Forming a sidewall oxide film on sidewalls and bottoms of the trenches; 상기 측벽 산화막이 형성된 결과물에 제 2 절연막을 형성하는 제 5 단계;A fifth step of forming a second insulating film on a resultant in which the sidewall oxide film is formed; 상기 제 2 절연막이 형성된 결과물 전면에 제 3 절연막을 증착하여 상기 트렌치를 매립하는 제 6 단계;A sixth step of filling the trench by depositing a third insulating film on the entire surface of the resultant product in which the second insulating film is formed; 상기 식각 정지막 패턴이 노출될 때까지 상기 제 2 및 제 3 절연막을 제거하는 제 7 단계; 및A seventh step of removing the second and third insulating films until the etch stop layer pattern is exposed; And 상기 식각 정지막 패턴을 식각함으로써 상기 트렌치 내에 소자 분리막을 형성하는 제 8 단계를 포함하는 것을 특징으로하는 반도체장치의 쉘로우 트렌치 소자분리(shallow trench isolation) 방법.And forming an isolation layer in the trench by etching the etch stop layer pattern. 제1항에 있어서, 상기 제 1 절연막은The method of claim 1, wherein the first insulating film 산화막인 것을 특징으로 하는 반도체장치의 쉘로우 트렌치 소자분리 방법.A shallow trench element isolation method for a semiconductor device comprising an oxide film. 제1항에 있어서, 상기 식각 정지막은The method of claim 1, wherein the etch stop film 산화막과의 선택비가 우수한 절연막인 것을 특징으로하는 반도체장치의 쉘로우 트렌치 소자분리 방법.A shallow trench element isolation method for a semiconductor device, comprising: an insulating film having an excellent selectivity with respect to an oxide film. 제1항에 있어서, 상기 제 2 절연막은The method of claim 1, wherein the second insulating film 습식 식각제(wet etchant)와의 선택비가 우수한 절연막인 것을 특징으로하는 반도체장치의 쉘로우 트렌치 소자분리 방법.A shallow trench device isolation method for a semiconductor device, comprising: an insulating film having an excellent selectivity with a wet etchant. 제1항에 있어서, 상기 제 6 단계후The method of claim 1, wherein after the sixth step 상기 결과물을 열처리하여 상기 제 2 및 제 3 절연막을 경화하는 단계를 추가하는 것을 특징으로 하는 반도체장치의 트렌치 소자분리 방법.And heat-treating the resultant to cure the second and third insulating films. 제1항에 있어서, 상기 제 8 단계 후The method of claim 1, wherein after the eighth step 상기 결과물을 세정하는 단계를 추가하는 것을 특징으로하는 반도체장치의 쉘로우 트렌치 소자분리 방법.Shallow trench device isolation method of the semiconductor device characterized in that it further comprises the step of cleaning the result.
KR1019980018389A 1998-05-21 1998-05-21 Shallow trench isolation method for semiconductor evice KR100532381B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1019980018389A KR100532381B1 (en) 1998-05-21 1998-05-21 Shallow trench isolation method for semiconductor evice

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019980018389A KR100532381B1 (en) 1998-05-21 1998-05-21 Shallow trench isolation method for semiconductor evice

Publications (2)

Publication Number Publication Date
KR19990085772A true KR19990085772A (en) 1999-12-15
KR100532381B1 KR100532381B1 (en) 2006-02-28

Family

ID=37179111

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980018389A KR100532381B1 (en) 1998-05-21 1998-05-21 Shallow trench isolation method for semiconductor evice

Country Status (1)

Country Link
KR (1) KR100532381B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100353832B1 (en) * 2000-12-14 2002-09-28 주식회사 하이닉스반도체 Method for forming isolation layer in semiconductor device
KR100670746B1 (en) * 2000-06-30 2007-01-17 주식회사 하이닉스반도체 Method for isolation in semiconductor device

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0157875B1 (en) * 1994-11-03 1999-02-01 문정환 Manufacture of semiconductor device
KR0147868B1 (en) * 1994-11-18 1998-11-02 문정환 Element isolation of semiconductor device
KR0165454B1 (en) * 1995-10-25 1999-02-01 김광호 Method for trench isolation
KR19980026090A (en) * 1996-10-07 1998-07-15 김광호 Trench device isolation method for semiconductor devices
KR19990018371A (en) * 1997-08-27 1999-03-15 윤종용 Trench element isolation
KR100243302B1 (en) * 1997-12-05 2000-03-02 윤종용 Trench isolation method of semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100670746B1 (en) * 2000-06-30 2007-01-17 주식회사 하이닉스반도체 Method for isolation in semiconductor device
KR100353832B1 (en) * 2000-12-14 2002-09-28 주식회사 하이닉스반도체 Method for forming isolation layer in semiconductor device

Also Published As

Publication number Publication date
KR100532381B1 (en) 2006-02-28

Similar Documents

Publication Publication Date Title
US7611950B2 (en) Method for forming shallow trench isolation in semiconductor device
KR100407567B1 (en) Trench Isolation Process Without Dent
US20030209760A1 (en) Semiconductor integrated circuit and method of fabricating the same
KR100674896B1 (en) Trench isolation method of semiconductor device
KR100532381B1 (en) Shallow trench isolation method for semiconductor evice
KR100929636B1 (en) Pin transistor manufacturing method
US7078314B1 (en) Memory device having improved periphery and core isolation
US11527409B2 (en) Contact slots forming method applying photoresists
KR20060007692A (en) Method for manufacturing storage node contact of semiconductor device
KR100524916B1 (en) Trench isolation method of semiconductor integrated circuit
KR100561974B1 (en) A Manufacturing Method of Semiconductor Element
KR20010073704A (en) Method for trench isolation in semiconductor device without void
KR20050106306A (en) Method of fabricating a finfet having rounded active corners
KR100232521B1 (en) Method of semiconductor device with trench isolation structure
KR100459928B1 (en) Method of manufacture semiconductor device
KR100629694B1 (en) Method for manufacturing semiconductor device
KR100579962B1 (en) Method For Manufacturing Semiconductor Devices
KR101059810B1 (en) Method of manufacturing semiconductor device
KR101006510B1 (en) Method for forming isolation layer of semiconductor device
KR20010110007A (en) Trench isolation method of semiconductor device
KR100645836B1 (en) Method for forming gate electrode in semiconductor device
KR20070001740A (en) Method of fabricating trench isolation for semiconductor device
KR20050063338A (en) Method for manufacturing isolation of semiconductor device
KR19980083841A (en) Method of forming device isolation film having voids
KR20020054666A (en) A method for forming a field oxide of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20091113

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee