KR102683234B1 - 7nm 미만 cmos 제조에서 비소 가스방출 제어를 위한 uv 방사선 시스템 및 방법 - Google Patents

7nm 미만 cmos 제조에서 비소 가스방출 제어를 위한 uv 방사선 시스템 및 방법 Download PDF

Info

Publication number
KR102683234B1
KR102683234B1 KR1020197010825A KR20197010825A KR102683234B1 KR 102683234 B1 KR102683234 B1 KR 102683234B1 KR 1020197010825 A KR1020197010825 A KR 1020197010825A KR 20197010825 A KR20197010825 A KR 20197010825A KR 102683234 B1 KR102683234 B1 KR 102683234B1
Authority
KR
South Korea
Prior art keywords
substrate
transfer chamber
lamp module
chamber
containing gas
Prior art date
Application number
KR1020197010825A
Other languages
English (en)
Other versions
KR20190043179A (ko
Inventor
천 얀
신위 바오
후아 청
슈버트 에스. 추
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190043179A publication Critical patent/KR20190043179A/ko
Application granted granted Critical
Publication of KR102683234B1 publication Critical patent/KR102683234B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/0231Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to electromagnetic radiation, e.g. UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

본원에 개시된 구현들은, 에피택셜 프로세스 이후에 유해한 가스들의 기판 가스방출을 제어하기 위한 방법들에 관한 것이다. 일 구현에서, 방법은, 에피택셜 층을 포함하는 기판을 이송 챔버 내에 제공하는 단계 ― 이송 챔버는, 이송 챔버의 최상부 천장에 인접하여 배치된 자외선(UV) 램프 모듈을 가짐 ―, 산소 함유 가스를 이송 챔버의 가스 라인을 통해 이송 챔버 내로 유동시키는 단계, 비반응성 가스를 이송 챔버의 가스 라인을 통해 이송 챔버 내로 유동시키는 단계, 기판의 표면 상의 잔류물들 또는 종들을 산화시켜 가스방출 배리어 층을 기판의 표면 상에 형성하기 위해 UV 램프 모듈을 활성화하는 단계, 이송 챔버 내로의 산소 함유 가스 및 질소 함유 가스의 유동을 중단시키는 단계, 이송 챔버를 펌핑하는 단계, 및 UV 램프 모듈을 비활성화하는 단계를 포함한다.

Description

7NM 미만 CMOS 제조에서 비소 가스방출 제어를 위한 UV 방사선 시스템 및 방법
본 개시내용의 구현들은 일반적으로, 집적 회로들의 제조에 관한 것이다. 더 구체적으로, 본원에 개시된 구현들은 기판 가스방출(outgassing)을 제어하기 위한 장치들 및 방법들에 관한 것이다.
이온 주입은 전계 효과 또는 양극성 트랜지스터 제조에 필요한 p-n 접합들을 형성하기 위해 반도체 기판들에 화학적 불순물들을 도입하기 위한 방법이다. 그러한 불순물들은 P형 도펀트들, 예컨대, 붕소, 알루미늄, 갈륨, 베릴륨, 마그네슘, 및 아연, 및 N형 도펀트들, 예컨대, 인, 비소, 안티모니, 비스무트, 셀레늄, 및 텔루륨을 포함한다. 화학적 불순물들의 이온 주입은 주입의 범위에 걸쳐 반도체 기판의 결정화도를 붕괴시킨다. 낮은 에너지들에서, 기판에 비교적 적은 손상이 발생한다. 그러나, 주입된 도펀트들은 기판의 전기적 활성 부위들 상에 놓이지 않을 것이다. 그러므로, 기판의 결정화도를 복원하고 주입된 도펀트들을 전기적 활성 결정 부위들 상으로 유도하기 위해 기판의 어닐링이 요구된다.
예를 들어, RTP 챔버에서의 기판의 처리 동안, 기판은 기판에 주입된 불순물들에서 가스방출하는 경향이 있을 수 있다. 이러한 가스방출된 불순물들은 도펀트 물질, 도펀트 물질로부터 유도된 물질, 또는 어닐링 프로세스, 예컨대, 규소의 승화 동안 기판을 탈출할 수 있는 임의의 다른 물질일 수 있다. 가스방출된 불순물들은 챔버의 반사기 플레이트 상에 그리고 더 차가운 벽들 상에 증착될 수 있다. 이러한 증착은 온도 고온계 판독들과 간섭하고 기판 상의 방사선 분포 영역들과 간섭할 수 있으며, 이는 차례로, 기판이 어닐링되는 온도에 영향을 미친다. 가스방출된 불순물들의 증착은 또한, 기판 상에 원치 않는 입자들을 야기할 수 있고, 또한, 기판 상에 슬립 라인들을 생성할 수 있다. 증착물의 화학적 조성에 따라, 챔버는 습식 세정 프로세스를 위해 오프라인 상태가 된다.
게다가, 가장 큰 난제들 중 하나는, 비소 도핑된 규소 프로세스들(Si:As) 이후의 기판들로부터의 비소 가스방출에 관한 것이다. 그러한 비소 도핑된 규소 프로세스들에서 기판들로부터의 비소 가스방출은, III-V 에피택셜 성장 프로세스 및/또는 식각 세정 프로세스(예를 들어, CMOS, FinFET, TFET 프로세스) 이후의 기판들로부터의 비소 가스방출보다 더 높다. III-V 에피택셜 성장 프로세스 및/또는 식각 세정 프로세스들에 대해 개발된 이전의 주기 퍼지 접근법들은 Si:As 처리된 기판들에 대해 효과적이지 않다. 종래의 알려진 III-V 방법들, 장치에 대해 시험이 수행되었고, 결과들은, 펌프/퍼지의 10회 주기들 이후에 가스방출 수준들이 변경되지 않음을 나타내는데, 이는 비소 가스방출이 약 2.0 ppb로 여전히 검출되었기 때문이다.
비소 독성 때문에, 비소 잔류물들에 대해 전형적으로, 절대 제로 10억분율(ppb)의 가스방출이 요구된다. 기판들의 후속 취급 및 처리 동안 비소 가스방출로부터의 독성을 최소화하기 위해, Si:As 처리된 기판들에 대한 기판 가스방출을 제어하기 위한 개선된 방법 및 장치가 필요하다.
본원에 개시된 구현들은, 에피택셜 프로세스 이후에 유해한 가스들의 기판 가스방출을 제어하기 위한 방법들에 관한 것이다. 일 구현에서, 방법은, 에피택셜 층을 포함하는 기판을 이송 챔버 내에 제공하는 단계 ― 이송 챔버는, 이송 챔버의 최상부 천장에 인접하여 배치된 자외선(UV) 램프 모듈을 가짐 ―, 산소 함유 가스를 이송 챔버의 가스 라인을 통해 이송 챔버 내로 유동시키는 단계, 비반응성 가스를 이송 챔버의 가스 라인을 통해 이송 챔버 내로 유동시키는 단계, 기판의 표면 상의 잔류물들 또는 종들을 산화시켜 가스방출 배리어 층을 기판의 표면 상에 형성하기 위해 UV 램프 모듈을 활성화하는 단계, 이송 챔버 내로의 산소 함유 가스 및 질소 함유 가스의 유동을 중단시키는 단계, 이송 챔버를 펌핑하는 단계, 및 UV 램프 모듈을 비활성화하는 단계를 포함한다.
다른 구현에서, 방법은, 에피택셜 층을 포함하는 기판을, 복수의 UV 램프들을 갖는 이송 챔버 내에 제공하는 단계, 산소 함유 가스 및 비반응성 가스를 이송 챔버의 가스 라인을 통해 이송 챔버 내로 유동시키는 단계, 기판의 표면을 산화시켜 가스방출 배리어 층을 기판의 표면 상에 형성하기 위해 UV 램프 모듈을 활성화하는 단계, 산소 함유 가스 및 질소 함유 가스의 유동을 중단시키는 단계, 이송 챔버를 펌핑하는 단계, 및 UV 램프 모듈을 비활성화하는 단계를 포함한다.
또 다른 구현에서, 기판들을 이송하기 위한 이송 챔버가 제공된다. 이송 챔버는, 이송 챔버 내에 처리 체적을 한정하는, 최상부 천장 및 챔버 벽, 처리 체적 내에 배치된 기판 지지부, 최상부 천장에 배치된 석영 윈도우, 석영 윈도우 위에 배치된 UV 램프 모듈 ― UV 램프는 복수의 UV 램프들을 포함하고, UV 램프들 각각은, UV 방사선을 기판 지지부로 지향시키기 위해, UV 램프 위에 배치된 반구형 반사기를 가짐 ―, UV 램프 모듈 위에 배치된 냉각 팬, 배기 포트를 통해 챔버 벽에 결합된 진공 펌프, 및 가스 라인을 통해 챔버 벽과 유체 연통하는 가스 공급원을 포함한다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 본 개시내용의 더 구체적인 설명이 구현들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 본 개시내용은 동등한 효과의 다른 구현들을 허용할 수 있으므로, 첨부 도면들은 본 개시내용의 전형적인 구현들만을 예시하며, 그러므로 그의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 기판의 가스방출을 제어하기 위한 방법의 개략적인 흐름도이다.
도 2는 본 개시내용의 구현들에 따른 이송 챔버의 간략화된 측단면도를 개략적으로 예시한다.
도 3은 본 개시내용의 일 구현에 따른 UV 램프 모듈의 일부의 개략적인 단면도를 예시한다.
도 4는 본 개시내용의 다른 구현에 따른 UV 램프 모듈의 일부의 평면도를 예시한다.
이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통된 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다. 일 구현에 개시된 요소들이 특정 언급 없이 다른 구현들에서 유익하게 활용될 수 있다는 점이 고려된다.
도 1은 기판의 가스방출을 제어하기 위한 방법(100)의 개략적인 흐름도이다. 방법(100)은 가스방출을 감소시키기 위한 작동들을 제공한다. 기판 가스방출은 일반적으로, 기판으로부터의 또는 기판의 표면으로부터의 가스 또는 증기 생성물의 방출에 관한 것이다. 가스방출을 제어하는 것은, 하류 처리를 위해 기판을 이송하기 이전에 기판으로부터 잔류 가스방출된 물질들, 예를 들어, 비소를 감소시키고/거나 제거하는 것에 관한 것이다.
본원에 설명된 바와 같은 "기판" 또는 "기판 표면"은 일반적으로, 처리가 수행되는 임의의 기판 표면을 지칭한다. 예를 들어, 기판 표면은, 응용에 따라, 규소, 산화규소, 도핑된 규소, 규소 게르마늄, 게르마늄, 비화갈륨, 유리, 사파이어, 및 임의의 다른 물질들, 예컨대, 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 또는 반전도성 물질들을 포함할 수 있다. 기판 또는 기판 표면은 또한, 유전체 물질들, 예컨대, 이산화규소, 질화규소, 유기 실리케이트들, 및 탄소 도핑된 산화규소 또는 질화규소 물질들을 포함할 수 있다. "기판"이라는 용어는 "웨이퍼"라는 용어를 더 포함할 수 있다. 기판 자체는 임의의 특정 크기 또는 형상으로 제한되지 않는다. 본원에 설명된 구현들은 일반적으로, 둥근 기판에 관련하여 만들어지지만, 다른 형상들, 예컨대, 다각형, 정사각형, 직사각형, 만곡형, 또는 다른 방식의 비-원형 작업물들이, 본원에 설명된 구현들에 따라 활용될 수 있다.
작동(110)에서, 기판은, 기판의 표면으로부터 자연 산화물들을 제거하기 위해 세정 프로세스가 수행되는 세정 챔버 내로 전달된다. 적합한 세정 프로세스들은 스퍼터 식각 프로세스들, 플라즈마 기반 산화물 식각 프로세스들, 또는 이들의 조합들을 포함한다. 예시적인 플라즈마 기반 산화물 식각 프로세스들은 유도 결합 플라즈마 프로세스들을 포함한다. 일 구현에서, 세정 프로세스는 플라즈마 기반 산화물 식각 프로세스이다. 플라즈마 기반 산화물 식각 프로세스는, 기판에 바이어스를 인가하면서, 무거운 불활성 전구체 및 불소 함유 전구체의 플라즈마 유출물들에 대한 기판의 동시 노출을 수반할 수 있다. 플라즈마 기반 산화물 식각 프로세스는 용량 결합 플라즈마 프로세스 또는 유도 결합 플라즈마 프로세스일 수 있다. 플라즈마는 인-시튜로 또는 원격으로 형성될 수 있다.
일 구현에서, 플라즈마 기반 산화물 식각 프로세스는 세정 챔버의 처리 영역 내로의 삼플루오린화질소(NF3)의 유동을 도입하는 것을 포함한다. 플루오린의 다른 공급원들은 삼플루오린화질소를 증가시키거나 대체할 수 있다. 일반적으로, 플루오린 함유 전구체가 처리 영역 내로 유동될 수 있고, 플루오린 함유 전구체는, 이원자 플루오린(F2), 단원자 플루오린(F), 삼플루오린화질소(NF3), 오플루오린화질소(NF5), 육플루오린화황(SF6), 이플루오린화크세논(XeF2), 사플루오린화탄소(CF4), 옥타플루오로시클로부탄(C4F8), 트리플루오로메탄(CHF3), 플루오린화수소(HF), 및 이들의 조합들로 구성된 군으로부터 선택된 적어도 하나의 전구체를 포함한다.
플라즈마 기반 산화물 식각 프로세스는, 처리 영역 내로 비교적 무거운 불활성 전구체의 유동을 도입하는 것을 더 포함하고, 비교적 무거운 불활성 전구체는 처리 영역에서 삼플루오린화질소와 함께 플라즈마로 동시에 여기된다. 불활성 전구체는 무거운 불활성 전구체일 수 있다. 본원에 설명된 바와 같이, 무거운 불활성 전구체들은 아르곤(Ar), 크립톤(Kr), 크세논(Xe) 및 이들의 조합들을 포함한다.
작동(120)에서, 일단 산화물들이 기판의 표면으로부터 제거되면, 기판은 에피택셜 층을 기판의 표면 상에 증착시키기 위해, 제1 이송 챔버를 통해 에피택셜 증착 챔버로 이송된다. 임의의 적합한 에피택셜 증착 프로세스가 에피택셜 증착 챔버에서 수행될 수 있다. 작동(110)의 세정 프로세스로 인해 기판의 표면은 오염 물질이 없기 때문에, 기판의 표면 상에 후속하여 형성된 에피택셜 층의 품질이 개선된다. 에피택셜 증착은 선택적 에피택셜 증착 프로세스일 수 있다. 에피택셜 층은, 도핑된 또는 도핑되지 않은 IV족 함유 물질, 예컨대, Si, Ge, Si:P, SiGe, SiC, SiAs, SiGe:B, Si:CP, 임의의 적합한 반도체 물질들 또는 화합물 반도체 물질들, 예컨대, III-V 족 반도체 화합물 물질들일 수 있다. 일 구현에서, 에피택셜 층은 n형 도핑된 규소 층, 예를 들어, 비소로 도핑된 규소 층(Si:As) 또는 인으로 도핑된 규소 층(Si:P)이다. 다른 구현에서, 에피택셜 층은 n형 도핑된 게르마늄 층, 예를 들어, 비소로 도핑된 게르마늄 층이다.
일 구현에서, 에피택셜 층은 고온 화학 기상 증착(CVD) 프로세스를 사용하여 증착된다. 이러한 열 CVD 프로세스에서, 처리 가스들, 예컨대, 디클로로실란, 실란, 디실란, 저메인, 인 함유 가스, 비소 함유 가스, 염화수소, 또는 이들의 조합들이, 에피택셜 층을 증착시키는 데에 사용된다.
선택적으로, III-V 족 식각 프로세스는 에피택셜 증착 프로세스 이후에 그리고 아래에서 논의될 제2 이송 챔버로의 이송 이전에 수행될 수 있다. 대안적으로, 에피택셜 증착 프로세스는, 응용에 따라, III-V 족 식각 프로세스로 대체될 수 있다.
작동(130)에서, 일단 에피택셜 층이 기판의 표면 상에 형성되면, 기판은 기판을 냉각시키기 위해 주위 질소 환경의 제2 이송 챔버로 이송된다. 주위 질소 환경은, 약 60 Torr 내지 약 120 Torr, 예를 들어, 약 80 Torr의 이송 압력으로 유지되는 압력을 갖는 제2 이송 챔버 내에 질소 함유 가스를 도입함으로써 달성될 수 있다. 적합한 질소 함유 가스는 암모니아(NH3), 질소(N2), 히드라진(N2H4) 및 이들의 혼합물들을 포함할 수 있지만, 이들로 제한되지 않는다. 일부 실시예들에서, 질소 함유 가스는, NH3 및 N2를 포함하는 가스 혼합물 또는 NH3 및 H2를 포함하는 가스 혼합물을 포함할 수 있다. 특정 실시예들에서, 히드라진(N2H4)은 N2 및 H2를 갖는 가스 혼합물에서 NH3와 조합하여 또는 그 대신에 사용될 수 있다. 일부 실시예들에서, 질소 함유 가스는 산화질소(NO), 아산화질소(N2O), 또는 이산화질소(NO2)를 사용할 수 있다. 질소 함유 가스의 유동은, 원하는 질소 농도 수준이 일단 도달되면 비활성화될 수 있거나, 후속 UV 활성화 프로세스(130A) 동안에 유동 활성 상태가 유지될 수 있다.
도 2에 관하여 아래에서 더 상세히 논의될 바와 같이, 제2 이송 챔버는, 에피택셜 프로세스 이후에 가스방출을 제어하기 위해, 챔버의 최상부 천장에 인접하여 배치된 자외선(UV) 램프 모듈을 갖는 개선된 냉각 챔버이다. 작동(130)은 도 2에 도시된 이송 챔버(200)에 의해 또는 임의의 다른 이송 챔버 기능에 의해 이송 챔버(200)와 유사하게 또는 동일하게 수행될 수 있다는 것을 이해해야 한다.
작동(130)은 일반적으로, UV 활성화 프로세스(130A) 및 펌프 프로세스(130B)를 포함한다. UV 활성화 프로세스(130A) 및 펌프 프로세스(130B)는, 기판의 표면 상에 느슨하게 결합된 원치 않는 종들을 제거하면서 가스방출 배리어 층을 형성하기 위해 교번하여 수행될 수 있다. 예를 들어, 비소로 도핑된 규소 층(Si:As)이 에피택셜 프로세스 동안 기판의 표면 상에 형성되는 경우, 비소는 가스방출되고 기판의 표면 상에 포획될 수 있다. 이러한 비소 함유 종들은, 비소의 가스방출을 방지하기 위한 배리어 층으로서 역할을 하는 산화비소들을 형성하기 위해 UV 활성화 프로세스(130A)에 의해 산화된다. 동시에, 그러한 느슨하게 결합된 비소 함유 종들은 부분적으로 탈착되고 기판 표면의 산화로 인해 높은 증기압 부산물들로 변환되며, 이러한 부산물들은 그 다음, 펌프 프로세스(130B) 동안 제2 이송 챔버 밖으로 펌핑된다. UV 활성화 프로세스(130A) 및 펌프 프로세스(130B)는 유독 종들, 예를 들어, 비소의 가스방출이 검출불가능할 때까지 반복될 수 있다.
UV 활성화 프로세스(130A) 동안, UV 램프들 또는 전구들이 활성화되고, 산소 함유 가스 및 비반응성 가스(예를 들어, 질소 함유 가스)가 제2 이송 챔버 내에 도입된다. UV 램프들은, 제2 이송 챔버로의 산소 함유 가스 및 질소 함유 가스의 유동 이전에, 동안에, 또는 이후에 활성화될 수 있다. UV 방사선은, 가스방출 배리어 층을 기판의 표면 상에 형성하기 위해 비소 함유 종들뿐만 아니라 규소 또는 III-V 표면(존재하는 경우)을 산화시키는 O2 또는 O 라디칼들로 산소 함유 가스를 해리한다. UV 방사선은 또한, 원치 않는 종들과 기판의 표면 사이의 느슨한 결합들을 파괴하고/거나 비소 종들 또는 잔류물들과 반응하여, 그들을, 빠르게 증발될 수 있고 펌프 프로세스(130B) 동안 제2 이송 챔버로부터 제거될 수 있는 유기 부산물들로 변환시킨다. "UV 방사선"은, 일반적으로 100 nm 내지 400 nm 범위의 파장을 갖는 방사선을 의미한다.
일부 구현들에서, UV 활성화 프로세스(130A) 동안 기판은 비반응성 가스의 유동이 중단되고 산소 함유 가스에만 노출된다.
적합한 산소 함유 가스는 산소(O2), 오존(O3) 가스, 아산화질소(N2O), 일산화질소(NO), 일산화탄소(CO), 이산화탄소(CO2), 수증기(H2O), 또는 이들의 임의의 조합을 포함할 수 있다. UV 활성화 프로세스(130A) 동안 도입된 질소 함유 가스는, 이전 단계에서 주위 질소 환경을 생성하는 데에 사용된 질소 함유 가스와 동일할 수 있거나 동일하지 않을 수 있다.
UV 램프들의 파장은 산소 함유 가스를 활성화하거나 해리하기 위해 선택될 수 있다. 예를 들어, 산소 함유 가스는 약 10 nm 내지 약 500 nm의 범위, 예를 들어, 약 190 nm 내지 365 nm, 예컨대, 193 nm, 예를 들어, 248 nm, 예를 들어, 266 nm, 예를 들어, 290 nm, 예를 들어, 355 nm, 예를 들어, 365 nm, 또는 예를 들어, 420 nm의 UV 방사선에 노출될 수 있다. UV 활성화 프로세스(130A) 동안 산소가 사용되는 경우, 산소가 184.9 nm 파장을 흡수하기 때문에 약 190 nm 내지 약 266 nm의 파장이 사용될 수 있다. UV 활성화 프로세스(130A) 동안 오존이 사용되는 경우, 오존이 253.7 nm 파장을 흡수하기 때문에 266 nm 내지 약 290 nm의 파장이 사용될 수 있다. 일부 구현들에서, UV 램프들은 가스들, 종들 또는 잔류물들의 해리를 증진시키기 위해 2개의 상이한 파장들을 방출할 수 있다. 예를 들어, UV 램프들의 제1 세트는 약 240 nm의 제1 UV 방사선을 방출하도록 구성되고, UV 램프들의 제2 세트는 약 355 nm의 제2 UV 방사선을 방출하도록 구성된다. UV 방사선은 0.05 내지 5 W/㎠의 강도로 전달될 수 있다.
UV 활성화 프로세스(130A)는 약 5초 내지 약 45초, 예를 들어, 약 10초 내지 약 30초 동안 수행될 수 있다. 챔버 압력은 약 80 Torr의 이송 압력으로 유지될 수 있다.
펌프 프로세스(130B) 동안, 산소 함유 가스 및 질소 함유 가스의 유동이 비활성화되고, 산소 함유 가스 및 질소 함유 가스는 제2 이송 챔버로부터 펌핑된다. UV 램프들은 활성 상태가 유지되거나 비활성화될 수 있다. 비반응성 가스, 예컨대, 질소 함유 가스는 펌핑 이전에 이송 챔버를 퍼지하는 데에 사용될 수 있다. 일부 구현들에서, UV 램프들은 펌프 프로세스(130B) 동안에 활성 상태가 유지된다. 챔버 압력은 이송 압력(예를 들어, 80 Torr)으로부터 약 0.5 Torr 내지 약 20 Torr, 예를 들어, 약 1 Torr의 더 낮은 압력으로 감소된다. 펌프 프로세스(130B)는 약 10초 내지 약 40초, 예컨대, 약 15초 내지 약 30초, 예를 들어, 약 20초 동안 수행될 수 있다.
일단 산소 함유 가스 및 질소 함유 가스가 제2 이송 챔버로부터 펌핑되면, 위에서 설명된 UV 활성화 프로세스(130A)가 반복될 수 있다. 예를 들어, 산소 함유 가스 및 질소 함유 가스의 유동이 재개되고, UV 램프들 또는 전구들이 (이전에 비활성화된 경우) 활성화되어, 산소 함유 가스를, 가스방출 배리어 층을 기판의 표면 상에 형성하기 위해 비소 함유 종들을 다시 산화시키는 O2 또는 O 라디칼들로 해리하면서, 원치 않는 종들과 기판의 표면 사이의 느슨한 결합들을 파괴하고/거나 비소 종들 또는 잔류물들과 반응하여 그들을, 빠르게 증발될 수 있고 펌프 프로세스(130B) 동안 제2 이송 챔버로부터 제거될 수 있는 유기 부산물들로 변환시킨다. 챔버 압력은 다시 약 60 Torr 내지 약 120 Torr, 예를 들어, 약 80 Torr까지 상승된다. UV 활성화 프로세스(130A)는 약 5초 내지 약 45초, 예를 들어, 약 10초 내지 약 30초 동안 수행될 수 있다. 일부 구현들에서, 산소 함유 가스 및 질소 함유 가스는, 이전의 UV 활성화 프로세스에서 사용된 산소 함유 가스 및 질소 함유 가스와 상이할 수 있다.
그 이후에, 위에서 설명된 펌프 프로세스(130B)가 반복될 수 있다. 예를 들어, UV 램프들이 활성화되거나 활성화되는 것 없이, 산소 함유 가스 및 질소 함유 가스의 유동이 비활성화되고, 산소 함유 가스 및 질소 함유 가스는 제2 이송 챔버로부터 펌핑된다. 챔버 압력은 이송 압력(예를 들어, 80 Torr)으로부터 약 0.5 Torr 내지 약 20 Torr, 예를 들어, 약 1 Torr의 더 낮은 압력으로 다시 감소된다. 펌프 프로세스(130B)는 약 10초 내지 약 40초, 예컨대, 약 15초 내지 약 30초, 예를 들어, 약 20초 동안 수행될 수 있다.
UV 활성화 프로세스(130A) 및 펌프 프로세스(130B)는 유독 종들, 예를 들어, 비소의 가스방출이 검출불가능할 때까지, 약 2회 주기들, 3회 주기들, 4회 주기들, 5회 주기들, 6회 주기들, 7회 주기들, 8회 주기들, 9회 주기들, 10회 주기들, 또는 임의의 원하는 횟수만큼 반복될 수 있다. 일 구현에서, UV 활성화 프로세스(130A) 및 펌프 프로세스(130B)는 약 2회 내지 5회 주기들, 약 5회 내지 10회 주기들, 약 10회 내지 15회 주기들, 또는 약 15회 내지 20회 주기들 동안 반복된다. 작동(130) 후에 어떠한 가스방출 잔류물들도 관찰되지 않았다.
작동(140)에서, 원치 않는 종들이 기판 표면으로부터 일단 제거되면(즉, 유독 종들의 검출가능한 가스방출이 없음), UV 램프들이 비활성화되고 산소 함유 가스의 유동이 비활성화된다. 질소 함유 가스는 계속 유동될 수 있거나, (이전에 비활성화된 경우) 재개될 수 있거나, 비반응성 가스, 예컨대, 질소 가스는 제2 이송 챔버의 압력이 이송 압력(예를 들어, 80 Torr)에 도달할 때까지 제2 이송 챔버 내로 유동될 수 있다. 일 구현에서, 질소 함유 가스는 약 20초 이하, 예를 들어, 약 15초 이하, 예를 들어, 12초 이하, 예컨대, 약 5초 내지 약 10초 동안 제2 이송 챔버 내로 유동된다. 다른 비반응성 가스가 또한, 질소 함유 가스에 추가적으로 또는 대안적으로 사용될 수 있다.
원하는 이송 압력이 제2 이송 챔버 내에서 일단 도달되면, 기판은 기판 접근 챔버로 이송될 수 있다. 기판 접근 챔버는 로드 록 챔버 및/또는 FOUP(전방 개방 통합 포드)일 수 있다. 일 구현에서, 작동(130)에서 가스방출이 감소된 이후에, 기판은 추가의 하류 처리를 위해 FOUP로 이송된다.
도 2는 본 개시내용의 구현들에 따른 이송 챔버(200)의 간략화된 측단면도를 개략적으로 예시한다. 이송 챔버는, 작동(130), 예컨대, 도 1과 관련하여 위에서 논의된, UV 활성화 프로세스(130A) 및 펌프 프로세스(130B)를 수행하는 데에 사용될 수 있다. 이송 챔버(200)는 금속성 물질, 예컨대, 알루미늄으로 만들어질 수 있는 챔버 벽(210)을 포함한다. 챔버 벽(210)은 챔버 내에 처리 체적을 한정한다. 석영 윈도우(230)는 챔버 벽(210)의 최상부 천장(232)에 클램핑된다. 석영 윈도우(230)는 합성 석영으로 만들어질 수 있는데, 이는 UV 광에 대한 합성 석영의 높은 투과 때문이다. 진공 밀봉을 제공하기 위해, 연속적인 O-링(235)이 석영 윈도우(230)와 챔버 벽(210) 사이에 배치될 수 있다. UV 램프 모듈(280)은, UV 램프 모듈(280)과 석영 윈도우(230) 사이에 갭을 갖거나 갖지 않고서, 석영 윈도우(230) 위에 배치될 수 있다. 진공 펌프(260)는 밸브(265)에 의해 폐쇄될 수 있는 배기 포트를 통해 이송 챔버(200)에 연결된다. 진공 펌프(260)는 이송 챔버(200)를, 위에서 논의된 펌프 프로세스(230B)에 적합한 특정 진공 수준으로 배기한다. 도 1과 관련하여 위에서 논의된 바와 같이 산소 함유 가스 공급원 및 질소 함유 가스 공급원을 포함할 수 있는 가스 공급원(270)은, 가스 밸브(275)에 의해 폐쇄될 수 있는 가스 라인(272)을 통해 이송 챔버(200)에 연결된다.
단일 가스 라인(272)이 도시되어 있지만, 2개 이상의 가스 라인들이, 동일한 또는 상이한 가스들의 유동을 위해 적응될 수 있다는 것이 고려된다. 일부 구현들에서, 2개의 가스 라인들은 이송 챔버(200)의 최상부 천장(232)에 배치될 수 있다. 추가적으로 또는 대안적으로, 하나 이상의 가스 라인이 이송 챔버(200)의 측벽에 배치될 수 있다. 가스 라인들 각각은, 작동(130)에서 위에서 논의된 바와 같이 하나 이상의 처리 가스를 유동시키도록 구성될 수 있다.
석영 윈도우(230)는 프로세스들, 예컨대, 작동(130)에서 위에서 논의된 UV 활성화 프로세스(130A)를 수행하기 위해 가스, 예컨대, 산소 함유 가스 및 질소 함유 가스가 이송 챔버(200) 내로 유동되는 동안, UV 램프 모듈(280)로부터의 UV 광이 석영 윈도우(230)를 통해 투과되는, 이송 챔버(200)의 최상부 천장(232) 상에 장착되도록 구성된다.
복수의 기판들, 예를 들어, 2개의 기판들(250a, 250b)은 기판 지지부(156)로부터 상방으로 연장되는 복수의 기판 지지 핀들(255a, 255b)에 의해 각각 들어 올려지고 지지될 수 있다. 기판 지지부(256)의 온도는 유입구(257)로부터 기판 지지부(256)를 통해 유출구(258)로 냉각 유체 또는 냉각 가스를 순환시킴으로써 조정될 수 있다.
작동 시에, 기판, 예를 들어, 기판들(150a, 150b)은 각각, 챔버 벽(210)의 로딩 포트(220)를 통해 이송되고 기판 지지 핀들(255a, 255b) 상에 배치된다. 이송 챔버(200)는, 기판들이 이송 챔버(200) 내에 로딩되기 전에, 이송 챔버에 도달하기 위해 진공 펌프(260)에 의해 배기될 수 있다. UV 활성화 프로세스(130A) 동안, UV 램프 모듈(280)이 활성화되고, 가스 공급원(270)으로부터의 산소 함유 가스 및 질소 함유 가스는 가스 라인(272)을 통해 이송 챔버(200) 내에 도입된다. UV 램프 모듈(280)은, 이송 챔버(200)로의 산소 함유 가스 및 질소 함유 가스의 유동 이전에, 동안에, 또는 이후에 활성화될 수 있다. UV 램프 모듈(280)은, 약 10초 내지 약 30초 동안, 240 nm의 파장 및 0.05 내지 5 W/㎠의 강도의 UV 방사선을 이용하여 석영 윈도우(230)를 통해 기판들(250a, 250b)을 조사한다. 산소 함유 가스는 UV 방사선을 흡수하고, 원치 않는 잔류물, 예를 들어, 비소 함유 종들과 반응하는 O 또는 O2 라디칼들로 분해되어, 기판의 표면 상에 산화비소들을 형성한다. 이전에 논의된 바와 같이, 산화비소들은 가스방출 제어를 위한 배리어로서 역할을 한다. 원치 않는 잔류물들 또는 종들 중 일부는, 빠르게 증발될 수 있는 유기 부산물들로 변환된다. 동시에, O 또는 O2 라디칼들은 또한, 원치 않는 종들과 기판의 표면 사이의 느슨한 결합들을 파괴하고, 이로써, 기판(250a, 250b)의 표면 상에 흡수되거나 포획된 비소 함유 종들(AsHx, TBA)을 제거한다. 반응 생성물들은 가스성이며, 도 1과 관련하여 위에서 논의된 펌프 프로세스(130B)로서, 진공 펌프(260)에 의해 이송 챔버(200)로부터 배기될 수 있다.
UV 램프 모듈(280)은 산화 프로세스의 효율을 증진시키기 위해 상이한 구성들을 가질 수 있다. 도 3은 본 개시내용의 일 구현에 따른 UV 램프 모듈(300)의 일부의 개략적인 단면도를 예시한다. UV 램프 모듈(300)은 UV 램프 모듈(280) 대신에 사용될 수 있다. UV 램프 모듈(300)은 일반적으로, 복수의 UV 램프들(385)을 그 안에 유지하기 위한 하우징(360)을 포함한다. UV 램프들(385)은 서로 평행하게 배열될 수 있고, 기판, 예컨대, 이송 챔버(200)에 있는 기판들(250A, 250B) 위에서 균일한 UV 방사선 강도를 달성하기 위해 석영 윈도우(230)(도 2)의 실질적으로 전체 영역을 커버하도록 크기가 결정될 수 있다. UV 램프들(385)은 석영 윈도우(230)를 오버레이하도록 크기가 결정된 동일하거나 상이한 길이들을 가질 수 있다. 일 구현에서, UV 램프들(385)은, 맞대어 배치되거나 서로로부터 오프셋된 2개의 열들로 배열된다. 그러한 경우에, UV 램프들의 제1 열 및 UV 램프들의 제2 열은 동일 평면 상에 구성될 수 있다. UV 램프들(385)은 정사각형 설계를 가질 수 있지만, 다른 형상, 예컨대, 둥근 형상이 또한 고려된다.
단일 중공 반구형 반사기(390)는 각각의 UV 램프(385)를 둘러싼다. 각각의 UV 램프(385)는 관 형상, 이중 관 형상 또는 다른 적합한 형상을 가질 수 있다. 반사기들(390)은 UV 램프들(385) 위에 배열되고 UV 램프들(385)로부터의 UV 방사선은 석영 윈도우(230)를 통해 이송 챔버(200) 내로 직접 전달될 수 있다. 각각의 반사기(390)의 구형 또는 오목한 표면(391)은 UV 방사선의 균일성 및 강도를 증진시키기 위해 UV 방사선을 하방으로 반사한다. 반사기들(390)은 필요한 기계적 강도를 제공하기 위해 약 1 mm 내지 약 5 mm의 일정한 두께를 가질 수 있다. 반구형 반사기(390)가 도시되지만, 다른 형상들, 예컨대, 타원형 또는 뒤집힌 V 형상이 또한 고려된다.
원하는 경우, 반사기들(390)은 반사기(390)의 하측(즉, UV 램프(385)를 향함) 상에 제공된 반사성 코팅 층 또는 층 적층체를 가질 수 있다. 반사성 코팅 층 또는 층 적층체는 UV 방사선을 기판들로 반사 또는 지향시키도록 설계된다. 일 구현에서, 반사성 코팅 층은, 상이한 굴절률의 적어도 2개의 물질들을 갖는 다중-층 코팅이며, 이는 조합하여, 전자기 스펙트럼의 UV 범위의 방사선을 반사한다. 다중-층 코팅에 적합한 물질들은, 알루미늄, 탄탈럼, 티타늄, 규소, 니오븀, 하프늄, 세륨, 지르코늄, 이트륨, 에르븀, 유로퓸, 가돌리늄, 인듐, 마그네슘, 비스무트, 토륨, 및 이들의 조합들 및 유사하게 적합한 희토류 금속들의 산화물들 또는 질화물들 중 적어도 하나를 포함할 수 있다. 일 구현에서, 다중-층 코팅은 상기 산화물들 또는 질화물들 중 적어도 2개의 조합을 포함한다.
냉각 팬(370)은 하우징(360)의 상부 표면 상에 장착될 수 있다. 전력이 공급되면, 냉각 팬(370)은 하우징(360) 내의 반사기들(390)을 냉각시키기 위해, 냉각 팬(370)의 바닥부에 위치된 개구부(도시되지 않음)를 통해, 최상부로부터 공기를 끌어올 것이다. 반사기들(390)의 냉각은 UV 램프들(385)도 또한 냉각시킨다.
도 4는 본 개시내용의 다른 구현에 따른 UV 램프 모듈(400)의 일부의 평면도를 예시한다. UV 램프 모듈(400)은 UV 램프 모듈(280) 대신에 사용될 수 있다. 이 구현에서, 복수의 UV 램프들(485)은 하우징(460) 내에 배치되거나 수납된다. UV 램프들(485)은 관 형상, 이중 관 형상 또는 다른 적합한 형상을 가질 수 있다. UV 램프들(485)은 하우징(460)의 중심 축(410)으로부터 (예를 들어, 바퀴의 스포크와 같이) 방사상 외측으로 연장된다. UV 램프들(485)은 기판들(250A, 250B)(도 2)의 균일한 조사를 제공하기 위해 하우징(460)의 외측 둘레 주위에 균등하게 이격될 수 있다.
도시되지 않았지만, 단일 중공 반구형 반사기, 예컨대, 위에서 논의된 반사기(390)는 UV 방사선을 기판들로 반사 또는 지향시키기 위해 각각의 UV 램프(485)를 둘러싸는 데에 사용될 수 있다.
UV 램프들(385) 및 UV 램프들(485)은, 전체 기판 표면이 균일하게 조사되는 방식으로 UV 방사선이 방출되는 한편, 최상부로부터 바닥부까지 그리고 측으로부터 측까지 이송 챔버(200) 내의 처리 가스들의 모든 분자들이 UV 방사선 플럭스로 포화되도록 배열된다.
시험이 완료되었고, 결과들은, 산소 함유 가스에 대한 노출 후에, 기판 상의 및/또는 기판의 표면 상의, 또한 III-V 족 표면 상의 잔류 비소 관련 종들이 산화되는 것을 나타낸다. 비소 잔류물들은, 높은 증기압을 갖고 빠르게 증발되는, 안정된 산화물들 또는 부산물들 중 어느 하나로 분해된다. 또한, 산화 후에, 비반응성 가스 펌프/퍼지 주기가 완료되고, 따라서, 가스방출을 제로 ppb로 끌어내린다. 결과들은, 산화 및 펌프 주기들 후에, 가스방출이 제로 ppb로 감소되었고, 따라서, 어떠한 가스방출 잔류물들도 남기지 않고 처리량을 추가로 개선했다는 것을 나타낸다.
요약하면, 본원에 개시된 구현들은, Si:As 프로세스 이후에 또는 III-V 족 에피택셜 성장 프로세스 이후에 및/또는 III-V 족 식각 프로세스가 기판 상에서 수행된 후에, 그리고 추가적인 처리 이전에, 유해한 가스들이 기판의 표면으로부터 제거되도록 기판 가스방출을 제어하기 위한 방법들 및 장치들에 관한 것이다. 본 개시내용의 이점들 중 일부는, 비소 관련 종들을 산화시키기 위해 UV 능력을 갖는 개선된 이송 챔버에 산소 함유 가스를 유동시키는 것을 포함한다. 그 다음, 이송 챔버로부터 펌핑되기 전에 이송 챔버를 퍼지하기 위해 비반응성 가스가 이송 챔버 내로 유입된다. 산화 및 펌프 프로세스들은 유독 종들, 예를 들어, 비소의 가스방출이 검출불가능할 때까지 반복될 수 있다. 이로써, 유해한 가스들 및 가스방출 잔류물들은 추가의 처리가 수행될 수 있도록 감소되고/거나 기판으로부터 제거된다.
전술한 내용은 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 그의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판을 처리하는 방법으로서,
    a) 에피택셜 증착 챔버에 기판을 위치시키는 단계;
    b) 상기 에피택셜 증착 챔버에서 상기 기판 상에 에피택셜 층을 증착하는 단계 ― 상기 에피택셜 층은 제1 도펀트를 포함함 ―;
    c) 에피택셜 층을 갖는 상기 기판을 이송 챔버 내로 이송하는 단계 ― 상기 이송 챔버는 상기 이송 챔버의 최상부 천장에 인접하여 배치된 자외선(UV) 램프 모듈을 가짐 ―;
    d) 산소 함유 가스를 상기 이송 챔버의 가스 라인을 통해 상기 이송 챔버 내로 유동시키는 단계;
    e) 비반응성 가스를 상기 이송 챔버의 가스 라인을 통해 상기 이송 챔버 내로 유동시키는 단계;
    f) 가스방출 배리어 층을 상기 기판의 표면 상에 형성하고 상기 제1 도펀트를 포함하는 가스성 부산물을 형성하기 위해 상기 UV 램프 모듈을 활성화하는 단계 ― 상기 가스방출 배리어 층은 상기 제1 도펀트를 포함하는 산화물을 포함함 ―;
    g) 상기 이송 챔버 내로의 상기 산소 함유 가스 및 상기 비반응성 가스의 유동을 중단시키는 단계;
    h) 상기 이송 챔버에서의 산화 이후 생성된 가스들을 밖으로 펌핑하고 압력을 감소시키는 단계; 및
    i) 상기 UV 램프 모듈을 비활성화하는 단계를 포함하는, 기판을 처리하는 방법.
  2. 제1항에 있어서,
    단계 i)를 수행하기 전에, 상기 제1 도펀트의 타겟 가스방출 수준에 도달할 때까지 단계 d) 내지 h)를 반복하는 단계를 더 포함하는, 기판을 처리하는 방법.
  3. 제1항에 있어서,
    상기 이송 챔버 내로의 상기 산소 함유 가스 및 상기 비반응성 가스의 유동을 중단시키는 단계와, 상기 상기 이송 챔버에서의 산화 이후 생성된 가스들을 밖으로 펌핑하고 압력을 감소시키는 단계 사이에, 상기 비반응성 가스를 상기 이송 챔버 내로 유동시키는 단계를 더 포함하는, 기판을 처리하는 방법.
  4. 제1항에 있어서,
    상기 UV 램프 모듈을 비활성화한 후에, 상기 비반응성 가스를 상기 이송 챔버 내로 유동시키는 단계 ― 챔버 압력은 약 80 Torr로 유지됨 ― 를 더 포함하는, 기판을 처리하는 방법.
  5. 삭제
  6. 제1항에 있어서,
    상기 기판의 표면 상의 잔류물들 또는 종들을 산화시켜 상기 가스방출 배리어 층을 상기 기판의 표면 상에 형성하기 위해 상기 UV 램프 모듈을 활성화하는 단계 동안 챔버 압력은 약 80 Torr로 유지되는, 기판을 처리하는 방법.
  7. 제1항에 있어서,
    상기 이송 챔버를 펌핑하는 단계 동안, 챔버 압력은 약 1 Torr로 유지되고, 상기 이송 챔버를 펌핑하는 단계는 약 20초 동안 수행되는, 기판을 처리하는 방법.
  8. 제1항에 있어서,
    상기 UV 램프 모듈은 100 nm 내지 400 nm의 범위의 파장을 갖는 방사선을 방출하고, 상기 가스방출 배리어 층을 상기 기판의 표면 상에 형성하기 위해 상기 UV 램프 모듈을 활성화하는 단계는 약 10초 내지 약 30초 동안 수행되는, 기판을 처리하는 방법.
  9. 제1항에 있어서,
    상기 UV 램프 모듈은, 서로 평행하게 배열된 복수의 UV 램프들을 포함하는, 기판을 처리하는 방법.
  10. 제9항에 있어서,
    상기 UV 램프들 각각은 UV 방사선을 상기 기판으로 지향시키도록 상기 UV 램프 위에 배치된 반구형 반사기를 갖는, 기판을 처리하는 방법.
  11. 제1항에 있어서,
    상기 UV 램프 모듈은, 하우징 내에 배치된 복수의 UV 램프들을 포함하고, 상기 복수의 UV 램프들은 상기 하우징의 중심 축으로부터 방사상 외측으로 연장되는, 기판을 처리하는 방법.
  12. 기판을 처리하는 방법으로서,
    a) 에피택셜 증착 챔버에 기판을 위치시키는 단계;
    b) 상기 에피택셜 증착 챔버에서 상기 기판 상에 에피택셜 층을 증착하는 단계;
    c) 에피택셜 층을 갖는 상기 기판을 복수의 UV 램프들을 포함하는 UV 램프 모듈을 갖는 이송 챔버 내로 이송하는 단계;
    d) 산소 함유 가스 및 비반응성 가스를 상기 이송 챔버의 가스 라인을 통해 상기 이송 챔버 내로 유동시키는 단계;
    e) 상기 기판의 표면을 산화시켜 가스방출 배리어 층을 상기 기판의 표면 상에 형성하기 위해 상기 UV 램프 모듈을 활성화하는 단계 ― 상기 가스방출 배리어 층은 제1 도펀트를 포함하는 산화물을 포함함 ―;
    f) 상기 산소 함유 가스 및 상기 비반응성 가스의 유동을 중단시키는 단계;
    g) 상기 이송 챔버에서의 산화 이후 생성된 가스들을 밖으로 펌핑하고 압력을 감소시키는 단계;
    h) 상기 제1 도펀트의 타겟 가스방출 수준에 도달할 때까지 단계 d) 내지 g)를 반복하는 단계; 및
    i) 상기 UV 램프 모듈을 비활성화하는 단계를 포함하는, 기판을 처리하는 방법.
  13. 삭제
  14. 삭제
  15. 삭제
KR1020197010825A 2016-09-16 2017-01-27 7nm 미만 cmos 제조에서 비소 가스방출 제어를 위한 uv 방사선 시스템 및 방법 KR102683234B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662395931P 2016-09-16 2016-09-16
US62/395,931 2016-09-16
PCT/US2017/015276 WO2018052474A2 (en) 2016-09-16 2017-01-27 Uv radiation system and method for arsenic outgassing control in sub 7nm cmos fabrication

Publications (2)

Publication Number Publication Date
KR20190043179A KR20190043179A (ko) 2019-04-25
KR102683234B1 true KR102683234B1 (ko) 2024-07-10

Family

ID=61619649

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197010825A KR102683234B1 (ko) 2016-09-16 2017-01-27 7nm 미만 cmos 제조에서 비소 가스방출 제어를 위한 uv 방사선 시스템 및 방법

Country Status (7)

Country Link
US (1) US10332739B2 (ko)
EP (1) EP3513424B1 (ko)
JP (1) JP6739631B2 (ko)
KR (1) KR102683234B1 (ko)
CN (1) CN109643638B (ko)
TW (1) TWI753939B (ko)
WO (1) WO2018052474A2 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10797133B2 (en) * 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US20240234627A1 (en) * 2023-01-09 2024-07-11 Applied Materials, Inc. Chambers, methods, and apparatus for generating atomic radicals using uv light

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002535833A (ja) * 1999-01-12 2002-10-22 スミトモ・シティックス・シリコン・インコーポレーテッド 表面パッシベーション・システムおよび方法
US20110146705A1 (en) * 2009-12-17 2011-06-23 Lam Research Corporation Uv lamp assembly of degas chamber having rotary shutters
US20110198509A1 (en) * 2008-10-17 2011-08-18 Michael Gostein Ultraviolet light exposure chamber for photovoltaic modules
US20150249024A1 (en) * 2013-03-05 2015-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method and equipment for removing photoresist residue afer dry etch

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3211998B2 (ja) * 1993-05-31 2001-09-25 ソニー株式会社 半導体装置製造方法
JP3297857B2 (ja) * 1995-12-27 2002-07-02 東京エレクトロン株式会社 クラスタツール装置
US6274464B2 (en) * 1998-02-06 2001-08-14 Texas Instruments Incorporated Epitaxial cleaning process using HCL and N-type dopant gas to reduce defect density and auto doping effects
US6168961B1 (en) * 1998-05-21 2001-01-02 Memc Electronic Materials, Inc. Process for the preparation of epitaxial wafers for resistivity measurements
JP3452897B2 (ja) * 2000-12-13 2003-10-06 三星電子株式会社 不純物検出装置および不純物検出方法
US6413844B1 (en) * 2001-01-10 2002-07-02 Asm International N.V. Safe arsenic gas phase doping
US8454750B1 (en) * 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
KR100827476B1 (ko) * 2006-08-29 2008-05-06 동부일렉트로닉스 주식회사 반도체 소자를 제조하기 위한 디가스 챔버 및 이를 이용한디가스 공정
CN101971298A (zh) * 2007-11-02 2011-02-09 佳能安内华股份有限公司 表面处理设备和表面处理方法
US8283644B2 (en) * 2008-01-08 2012-10-09 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US7935940B1 (en) 2008-01-08 2011-05-03 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
GB2478269A (en) * 2009-12-18 2011-09-07 Surrey Nanosystems Ltd Nanomaterials growth system and method
JP2012114234A (ja) * 2010-11-24 2012-06-14 Ulvac Japan Ltd 紫外線照射処理装置及びLow−k膜の紫外線キュア方法
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
WO2013162848A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Method for uv based silylation chamber clean
US20140116335A1 (en) 2012-10-31 2014-05-01 Asm Ip Holding B.V. UV Irradiation Apparatus with Cleaning Mechanism and Method for Cleaning UV Irradiation Apparatus
JP2013191889A (ja) * 2013-06-21 2013-09-26 Shin Etsu Handotai Co Ltd シリコンエピタキシャルウェーハ
TW201517133A (zh) 2013-10-07 2015-05-01 Applied Materials Inc 使用熱佈植與奈秒退火致使銦鋁鎵氮化物材料系統中摻雜劑的高活化

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002535833A (ja) * 1999-01-12 2002-10-22 スミトモ・シティックス・シリコン・インコーポレーテッド 表面パッシベーション・システムおよび方法
US20110198509A1 (en) * 2008-10-17 2011-08-18 Michael Gostein Ultraviolet light exposure chamber for photovoltaic modules
US20110146705A1 (en) * 2009-12-17 2011-06-23 Lam Research Corporation Uv lamp assembly of degas chamber having rotary shutters
US20150249024A1 (en) * 2013-03-05 2015-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method and equipment for removing photoresist residue afer dry etch

Also Published As

Publication number Publication date
KR20190043179A (ko) 2019-04-25
WO2018052474A2 (en) 2018-03-22
TW201822246A (zh) 2018-06-16
WO2018052474A3 (en) 2018-07-26
EP3513424A2 (en) 2019-07-24
TWI753939B (zh) 2022-02-01
CN109643638A (zh) 2019-04-16
EP3513424A4 (en) 2020-05-27
US20180082835A1 (en) 2018-03-22
JP6739631B2 (ja) 2020-08-12
EP3513424B1 (en) 2023-07-26
US10332739B2 (en) 2019-06-25
JP2019533899A (ja) 2019-11-21
CN109643638B (zh) 2023-09-05

Similar Documents

Publication Publication Date Title
US10043667B2 (en) Integrated method for wafer outgassing reduction
US10615034B2 (en) Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
US7968441B2 (en) Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US10056264B2 (en) Atomic layer etching of GaN and other III-V materials
US20100273291A1 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20110304078A1 (en) Methods for removing byproducts from load lock chambers
US20070196011A1 (en) Integrated vacuum metrology for cluster tool
US20120220116A1 (en) Dry Chemical Cleaning For Semiconductor Processing
US10438796B2 (en) Method for removing native oxide and residue from a III-V group containing surface
US20140302254A1 (en) Plasma cleaning method
KR102683234B1 (ko) 7nm 미만 cmos 제조에서 비소 가스방출 제어를 위한 uv 방사선 시스템 및 방법
EP1237177A2 (en) Apparatus and method for etching semiconductor wafers
US20110171758A1 (en) Reclamation of scrap materials for led manufacturing
Choi et al. Comparison of the removal efficiency for organic contaminants on silicon wafers stored in plastic boxes between UV/O3 and ECR oxygen plasma cleaning methods
US9093269B2 (en) In-situ pre-clean prior to epitaxy
JP5508701B2 (ja) 半導体処理装置及び処理方法
US20190301011A1 (en) Low temperature in-situ cleaning method for epi-chambers
US20170352557A1 (en) Method for wafer outgassing control
US10840405B2 (en) Inductively coupled plasma for hydrogenation of type II superlattices
US11548804B2 (en) Method and apparatus for processing oxygen-containing workpiece
TWI745387B (zh) 用於砷相關處理的除氣腔室
JP2018512725A (ja) 基板安定化方法及び該方法を実施するための装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right