CN109643638A - 用于在低于7纳米cmos制造中控制砷脱气的紫外线辐射系统与方法 - Google Patents

用于在低于7纳米cmos制造中控制砷脱气的紫外线辐射系统与方法 Download PDF

Info

Publication number
CN109643638A
CN109643638A CN201780050599.1A CN201780050599A CN109643638A CN 109643638 A CN109643638 A CN 109643638A CN 201780050599 A CN201780050599 A CN 201780050599A CN 109643638 A CN109643638 A CN 109643638A
Authority
CN
China
Prior art keywords
transfer chamber
substrate
gas
lamp
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780050599.1A
Other languages
English (en)
Other versions
CN109643638B (zh
Inventor
春·燕
鲍新宇
仲华
舒伯特·S·楚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN109643638A publication Critical patent/CN109643638A/zh
Application granted granted Critical
Publication of CN109643638B publication Critical patent/CN109643638B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/0231Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to electromagnetic radiation, e.g. UV light
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本文公开的实施方式涉及用于在外延处理之后,控制有害气体的基板脱气的方法。在一个实施方式中,方法包含将包含外延层的基板提供入移送腔室,其中移送腔室具有设置为邻接移送腔室的顶板的紫外线(UV)灯模块,使含氧气体经过移送腔室的气体线流入移送腔室,使不反应气体经过移送腔室的气体线流入移送腔室,启用UV灯模块以将在基板的表面上的残留物或物质氧化以在基板的表面上形成脱气阻挡层,使含氧气体与含氮气体停止流入移送腔室,泵送移送腔室,以及停用UV灯模块。

Description

用于在低于7纳米CMOS制造中控制砷脱气的紫外线辐射系统 与方法
技术领域
本公开内容的实施方式一般而言涉及集成电路的制造。更特定而言,本文公开的实施方式涉及用于控制基板脱气(outgassing)的设备与方法。
背景技术
离子注入(ion implementation)为将化学杂质引入半导体基板的方法,以形成制造场效应晶体管或双极性晶体管所必需的p-n结。这种杂质包含P型掺杂剂(诸如硼、铝、镓、铍、镁和锌)以及N型掺杂剂(诸如磷、砷、锑、铋、硒和碲)。化学杂质的离子注入在注入范围上破坏半导体基板的结晶度。在低能量下,对基板的伤害相当低。然而,所注入的掺杂剂将不会停止在基板中的电活性位置上。因此,需要退火基板,以恢复基板的结晶度并将所注入的掺杂剂驱动至电活性晶体位置上。
在于(例如)RTP腔室中处理基板的期间内,基板可倾向脱气注入到基板中的杂质。被脱气的这些杂质,可为掺杂剂材料、从掺杂剂材料导出的材料、或任何其他在退火处理期间内可脱离基板的材料(诸如硅的升华物)。被脱气的杂质可沉积在较冷的壁以及腔室的反射器板上。此沉积可干扰温度高温计读数以及基板上的辐射分布场,这反过来影响了基板的退火温度。被脱气的杂质的沉积也可在基板上产生不想要的粒子,且也可在基板上产生滑线(slip line)。根据沉积物的化学组成物,使腔室离开生产线以进行湿式清洁处理。
再者,一种最大的挑战涉及在掺杂砷的硅处理(Si:As)之后从基板将砷脱气。这种掺杂砷的硅处理中的基板砷脱气,高于III-V族外延生长处理和/或蚀刻清洁处理(例如CMOS、FinFET、TFET处理)之后的基板砷脱气。先前为了III-V族外延生长处理和/或蚀刻清洁处理所开发的循环净化作法,对于Si:As处理基板而言并不有效。已对先前已知的III-V族方法、设备执行测试,且结果指示在十次泵/净化循环之后脱气水平并未改变,而仍检测到约2.0(ppb;十亿分之一)的砷脱气。
由于砷的毒性,对于砷残留物通常需要绝对零ppb的脱气。为了在随后的基板处置与处理期间内将来自砷脱气的毒性最小化,需要改良用于控制对于Si:As处理基板的基板脱气的方法与设备。
发明内容
本文公开的实施方式涉及用于在外延处理之后,控制有害气体的基板脱气的方法。在一个实施方式中,方法包含将包含外延层的基板提供入移送腔室,其中移送腔室具有设置为邻接移送腔室顶板的紫外线(UV)灯模块,使含氧气体经过移送腔室的气体线流入移送腔室,使不反应气体经过移送腔室的气体线流入移送腔室,启用UV灯模块以将在基板的表面上的残留物或物质氧化以在基板的表面上形成脱气阻挡层,使含氧气体与含氮气体停止流入移送腔室,泵送移送腔室,以及停用UV灯模块。
在另一实施方式中,方法包含将包含外延层的基板提供入移送腔室,移送腔室具有多个UV灯,使含氧气体与不反应气体经过移送腔室的气体线流入移送腔室,启用UV灯模块以将基板的表面氧化以在基板的表面上形成脱气阻挡层,使含氧气体与含氮气体停止流入,泵送移送腔室,以及停用UV灯模块。
在又一实施方式中,提供一种用于移送基板的移送腔室。移送腔室包含:顶板以及腔室壁,顶板与腔室壁限定处理空间于其中;基板支撑件,基板支撑件设置于处理空间内;石英窗口,石英窗口设置于顶板;UV灯模块,UV灯模块设置在石英窗口上方,其中UV灯包含多个UV灯,且UV灯的每一者具有半球状反射器,半球状反射器设置于UV灯上方,以将UV辐射引导至基板支撑件;冷却风扇,冷却风扇设置于UV灯模块上方;真空泵,真空泵经过排气通口耦接至腔室壁;以及气体源,气体源经过气体线与腔室壁流体连通。
附图说明
可参考多个实施方式以更特定地说明以上简要总结的本公开内容,以更详细了解本公开内容的上述特征,附图图标说明了其中一些具体实施方式。然而应注意到,公开仅图示说明本公开内容的典型实施方式,且因此不应被视为限制本公开内容的范围,因为公开内容可允许其他等效的实施方式。
图1为用于控制基板脱气的方法的示意流程图。
图2示意图示说明根据本公开内容的实施方式的移送腔室的简化侧面截面图。
图3图示说明根据本公开内容的一个实施方式的UV灯模块的部分的截面示意图。
图4图示说明根据本公开内容的另一实施方式的UV灯模块的部分的俯视图。
为了协助了解,已尽可能使用相同的附图标记标定附图中共有的相同元件。已思及到,公开于一个实施方式中的要素,可无需进一步的叙述即可被有益地并入其他实施方式中。
具体实施方式
图1为用于控制基板脱气的方法100的示意流程图。方法100提供用于减少脱气的操作。基板脱气一般而言涉及释放来自基板(或来自基板表面)的气体或蒸汽产物。控制脱气涉及在移送基板以进行下游处理之前,减少和/或消除来自基板的残留脱气材料(例如砷)。
本文所说明的“基板”或“基板表面”,一般而言代表在其上执行处理的任何基板表面。例如,基板表面可包含硅、氧化硅、掺杂硅、硅锗、锗、砷化镓、玻璃、蓝宝石以及任何其他材料,诸如金属、金属氮化物、金属合金和其他导电或半导电材料,这取决于应用。基板或基板表面也可包含介电材料,诸如二氧化硅、氮化硅、有机硅酸盐和碳掺杂的氧化硅或氮化物材料。用词“基板”可进一步包含用词“晶片”。基板自身不限于任何特定的尺寸或形状。虽然本文所述实施方式一般而言参照圆形基板,但可根据本文所述实施方式利用其他形状,诸如多边形、方形、矩形、弯曲或其他非圆形工件。
在操作110,将基板递送入清洁腔室,在清洁腔室中执行清洁处理,以从基板表面去除天然氧化物。适合的清洁处理,包含溅射蚀刻处理、等离子体式氧化物蚀刻处理、或以上的结合者。示例性的等离子体式氧化物蚀刻处理包含电感耦合等离子体处理。在一个实施方式中,清洁处理为等离子体式氧化物蚀刻处理。等离子体式氧化物蚀刻处理可涉及将基板同时暴露于含氟前驱物和重惰性前驱物的等离子体流出物,同时向基板施加偏压。等离子体式氧化物蚀刻处理可为电容耦合等离子体处理,或电感耦合等离子体处理。等离子体可被原位形成或远程形成。
在一个实施方式中,等离子体式氧化物蚀刻处理包含将三氟化氮(NF3)流引入清洁腔室的处理区域中。氟的其他来源可增强或替代三氟化氮。通常,含氟前驱物可流入处理区域,且含氟前驱物包含从下列前驱物所构成的群组中选定的至少一种前驱物(以及这些前驱物的结合):双原子氟(F2);单原子氟(F);三氟化氮(NF3);五氟化氮(NF5);六氟化硫(SF6);二氧化氙(XeF2);四氟化碳(CF4);八氟环丁烷(C4F8);三氟甲烷(CHF3);氟化氢(HF)。
等离子体式氧化物蚀刻处理进一步包含将相对重惰性的前驱物流引入处理区域,在处理区域中前驱物与三氟化氮被一起同时激发于等离子体中。惰性前驱物可为重惰性前驱物。如本文所述,重惰性前驱物包含氩(Ar)、氪(Kr)、氙(Xe)及以上的结合。
在操作120,一旦氧化物已被从基板表面去除,基板被移送经过第一移送腔室至外延沉积腔室,以将外延层沉积至基板表面上。可在外延沉积腔室中执行任何适合的外延沉积处理。因为基板表面由于操作110的清洁处理而没有污染物,提升了随后形成在基板表面上的外延层的质量。外延沉积可为选择性外延沉积处理。外延层可为掺杂或未掺杂的含Ⅳ族材料,诸如Si、Ge、Si:P、SiGe、SiC、SiAs、SiGe:B、Si:CP、任何合适的半导体材料或化合物半导体材料,诸如III-V族半导体化合物材料。在一个实施方式中,外延层为n型掺杂硅层,例如由砷掺杂的硅层(Si:As)或由磷掺杂的硅层(Si:P)。在另一实施方式中,外延层为n型掺杂锗层,例如由砷掺杂的锗层。
在一个实施方式中,使用高温化学气相沉积(CVD)处理来沉积外延层。在此热CVD处理中,使用二氯硅烷、硅烷、乙硅烷、锗烷、含磷气体、含砷气体、氯化氢或以上的结合的处理气体来沉积外延层。
可选的,在外延沉积处理之后且在移送至第二移送腔室(说明于下文)之前,可执行III-V族蚀刻处理。或者,取决于应用,可由III-V族蚀刻处理替换外延沉积处理。
在操作130,一旦外延层已形成在基板表面上,则基板被移送至在环境氮环境中的第二移送腔室,以冷却基板。可由将含氮气体引入第二移送腔室来实现环境氮环境,第二移送腔室的压力维持在约60托(Torr)至约120托的移送压力,例如约80托。适合的含氮气体可包含(但不限于)氨(NH3)、氮(N2)、肼(N2H4)及以上的混合物。在一些具体实施方式中,含氮气体可包含包括NH3与N2的气体混合物,或包括NH3与H2的气体混合物。在一些具体实施方式中,可使用肼(N2H4)代替(或结合)NH3以在气体混合物中与N2及H2混合。在一些具体实施方式中,含氮气体可使用一氧化氮(NO)、一氧化二氮(N2O)或二氧化氮(NO2)。一旦到达所需的氮浓度水平,则可停止含氮气体流,或保持开启含氮气体流以进行随后的紫外线(UV)激活处理130A。
如将于下文针对图2进一步详细讨论的,第二移送腔室为改良的冷却腔室,具有紫外线(UV)灯模块设置为邻接腔室的顶板,以在外延处理之后控制脱气。应理解到,操作130可由图2图示的移送腔室200执行,或可由功能类似或均等于移送腔室200的任何其他移送腔室执行。
操作130一般而言包含UV激活处理130A与泵处理130B。UV激活处理130A与泵处理130B可被交替执行以形成脱气阻挡层,同时移去除松散粘合在基板表面上的不想要的物质。例如,若于外延处理期间内在基板表面上形成了掺杂砷的硅层(Si:As),则砷可被脱气且被困在基板表面上。这些含砷物质被由UV激活处理130A氧化以形成砷氧化物,作为阻挡层以防止砷的脱气。同时,由于基板表面的氧化,松散粘合的含砷物质被部分地解吸(desorb)并转换成高蒸汽压副产物,随后在泵处理130B期间内,副产物被泵出第二移送腔室。UV激活处理130A与泵处理130B可被重复,直到毒性物质(例如砷)的脱气不能被检测到为止。
在UV激活处理130A期间内,启动UV灯或灯泡,并将含氧气体与不反应气体(例如含氮气体)引入第二移送腔室。可在含氧气体与含氮气体流入第二移送腔室之前、期间内、或之后启动UV灯。UV辐射将含氧气体解离成O2或氧自由基,O2或氧自由基氧化含砷物质以及硅(或III-V族表面)(若存在),以在基板表面上形成脱气阻挡层。UV辐射还截断不想要的物质与基板表面之间的松散粘合,和/或与砷物质或残留物反应以将他们转换成有机副产物,在泵处理130B期间内可将副产物快速蒸发并移出第二移送腔室。“UV辐射”表示波长一般而言位于100nm至400nm范围内的辐射。
在一些实施方式中,不反应气体流停止,且在UV激活处理130A期间内基板仅暴露至含氧气体。
合适的含氧气体可包括氧气(O2)、臭氧(O3)气体、一氧化二氮(N2O)、一氧化氮(NO)、一氧化碳(CO)、二氧化碳(CO2)、水蒸汽(H2O)、或以上的任何组合。在UV激活处理130A期间内引入的含氮气体,可或可不相同于在先前步骤中用于产生环境氮环境的含氮气体。
UV灯的波长可被选定为激活或解离含氧气体。例如,含氧气体可暴露至在约10nm与约500nm之间的范围内的UV辐射,例如在约190nm与365nm之间,诸如193nm,例如248nm,例如266nm,例如290nm,例如355nm,例如365nm,例如420nm。若在UV激活处理130A期间内使用氧,则可使用约190nm与约266nm之间的波长,因为氧吸收184.9nm波长。若在UV激活处理130A期间内使用臭氧,则可使用约266nm与约290nm之间的波长,因为臭氧吸收253.7nm波长。在一些实施方式中,UV灯可发射两种不同波长,以增强气体、物质或残留物的解离。例如,第一组UV灯经配置以发射约240nm的第一UV辐射,且第二组UV灯经配置以发射约355nm的第二UV辐射。UV辐射可被递送于约0.05与5W/cm2之间的强度。
UV激活处理130A可被执行约5秒至约45秒,例如约10秒至约30秒。腔室压力可被维持在约80托的移送压力。
在泵处理130B期间内,停止含氧气体与含氮气体流,并将含氧气体与含氮气体泵出第二移送腔室。UV灯可保持开启或被停用。可使用不反应气体(诸如含氮气体)以在泵送之前净化移送腔室。在一些实施方式中,在泵处理130B期间内UV灯保持开启。腔室压力被从移送压力(例如80托)减少至约0.5托至约20托的较低压力,例如约1托。泵处理130B可被执行约10秒至约40秒,诸如约15秒至约30秒,例如约20秒。
一旦含氧气体与含氮气体已被泵出第二移送腔室,则可重复前述的UV激活处理130A。例如,恢复含氧气体与含氮气体流,并启动UV灯或灯泡(若先前被停用)以将含氧气体解离成O2或氧自由基以再次氧化含砷物质,以在基板表面上形成脱气阻挡层,同时截断不想要的物质与基板表面之间的松散粘合,和/或与砷物质或残留物反应以将砷物质或残留物转换成有机副产物,可在泵处理130B期间内将副产物快速蒸发并移出第二移送腔室。腔室压力被升回约60托至约120托,例如约80托。UV激活处理130A可被执行约5秒至约45秒,例如约10秒至约30秒。在一些实施方式中,含氧气体与含氮气体可不同于先前UV激活处理中使用的含氧气体与含氮气体。
此后,可重复前述的泵处理130B。例如,停止含氧气体与含氮气体流,且启用或停用UV灯,并将含氧气体与含氮气体泵出第二移送腔室。腔室压力再次被从移送压力(例如80托)减少至约0.5托至约20托的较低压力,例如约1托。泵处理130B可被执行约10秒至约40秒,诸如约15秒至约30秒,例如约20秒。
UV激活处理130A与泵处理130B可被重复约2次循环、3次循环、4次循环、5次循环、6次循环、7次循环、8次循环、9次循环、10次循环、或任何所需的次数,直到毒性物质(例如砷)的脱气不能被检测到为止。在一个实施方式中,UV激活处理130A与泵处理130B被重复约2至5次循环、约5至10次循环、约10至15次循环、或约15至20次循环。在操作130之后,未观测到脱气残留物。
在操作140,一旦已将不想要的物质从基板表面去除(即没有可检测到的毒性物质脱气),则停用UV灯并停止含氧气体流。含氮气体可持续流动或可被恢复(若先前被停止),或可使不反应气体(诸如氮气)流入第二移送腔室,直到第二移送腔室的压力到达移送压力(例如80托)为止。在一个实施方式中,含氮气体被流入第二移送腔室约20秒或更少,例如约15秒或更少,例如约12秒或更少,诸如约5秒至约10秒。作为含氮气体的替代或附加,也可使用其他不反应气体。
一旦第二移送腔室内到达所需的移送压力,则可将基板移送至基板进出腔室。基板进出腔室可为装载锁定腔室(load-lock chamber)和/或前开式标准舱(front openingunified pod,FOUP)。在一个实施方式中,在已于操作130减少脱气之后,将基板移送至FOUP以进行进一步的下游处理。
图2示意图示说明根据本公开内容的实施方式的移送腔室200的简化侧面截面图。可使用移送腔室执行操作130,诸如上文针对图1所讨论的UV激活处理130A与泵处理130B。移送腔室200包含腔室壁210,可由金属材料(诸如铝)制成腔室壁210。腔室壁210在腔室壁210中限定处理空间。石英窗口230被夹持至腔室壁210的顶壁232。因为合成石英具有高UV光传输率,可由合成石英制成石英窗口230。可在石英窗口230与腔室壁210之间设置连续O形环235,以提供真空密封。可在石英窗口230上设置UV灯模块280,UV灯模块280与石英窗口230之间可具有或不具有间隙。真空泵260通过排气通口连接至移送腔室200,可由阀265关闭排气通口。真空泵260将移送腔室200抽气到适合的特定真空水平,以进行前述的泵处理230B。气体源270(可包含上文针对图1讨论的含氧气体源与含氮气体源)通过气体线272连接至移送腔室200,由气体阀275关闭气体线272。
尽管图示单一气体线272,已思及到可调适两或更多个气体线以流动相同或不同的气体。在一些实施方式中,可在移送腔室200的顶板232处设置两个气体线。额外或替代的,可在移送腔室200的侧壁处设置一或多个气体线。每一气体线可经配置以流动如上文所讨论的在操作130的一或多个处理气体。
石英窗口230经配置为装设在移送腔室200的顶板232上,在其中来自UV灯模块280的UV光被发送经过石英窗口230,同时诸如含氧气体与含氮气体的气体流入移送腔室200以执行处理,诸如上文所讨论的在操作130的UV激活处理130A。
可分别由从基板支撑件156向上延伸的多个基板支撑销255a、255b,升起并支撑多个基板(例如两个基板250a、250b)。可通过将冷却流体或冷却气体从入口257循环流动经过基板支撑件256至出口258,来调整基板支撑件256的温度。
在操作中,基板(例如基板150a、150b)被移送经过腔室壁210中的装载通口220,且被分别放置在基板支撑销255a、255b上。在基板被装载入移送腔室200之前,移送腔室200可被真空泵260抽气,以到达移送腔室。在UV激活处理130A期间内,启动UV灯模块280,并将来自气体源270的含氧气体与含氮气体经过气体线272引入移送腔室200。可在含氧气体与含氮气体流入移送腔室200之前、期间内、或之后启动UV灯模块280。UV灯模块280通过石英窗口230,以240nm的波长与0.05与5W/cm2之间的强度的UV辐射,照射基板250a、250b约10秒至约30秒。含氧气体吸收UV辐射并解离成氧或氧气自由基,氧或氧气自由基与不想要的残留物(例如含砷物质)反应以在基板表面上形成砷氧化物。如先前所讨论的,砷氧化物作为用于脱气控制的阻挡层。一些不想要的残留物或物质被转换成有机副产物,副产物可被快速蒸发。同时,氧或氧气自由基还截断不想要的物质与基板表面之间的松散粘合,从而将基板250a、250b表面所吸收(或围困)的含砷物质(AsHx,TBA)去除。反应产物为气态,并可被由真空泵260抽离移送腔室200,如上文针对图1所讨论的泵处理130B。
UV灯模块280可具有不同的配置,以增强氧化处理的效率。图3图示说明根据本公开内容的一个实施方式的UV灯模块300的部分的截面示意图。UV灯模块300可被用以替代UV灯模块280。UV灯模块300一般而言包含外壳260,以固持多个UV灯385于外壳360内。UV灯385可被设置为彼此并联,且尺寸设置为实质上涵盖石英窗口230的全体面积(参见图2),以实现基板上的均匀UV辐射强度,诸如移送腔室200中的基板250A、250B。UV灯385可具有相同或不同的长度,长度尺寸设置为覆盖石英窗口230。在一个实施方式中,UV灯385经设置为两行,设置为头对头或彼此偏移。在此种情况中,第一行UV灯与第二行UV灯可被配置为共平面。UV灯385可具有方形设计,但也思及了其他形状,诸如圆形。
单一中空半球状反射器390围绕每一UV灯385。每一UV灯385可具有管形、双管形或其他适合的形状。在UV灯385上方设置反射器390,且来自UV灯385的UV辐射可直接经过石英窗口230传送入移送腔室200。每一反射器390的环形或凹形表面391向下反射UV辐射,以增强UV辐射的强度与均匀度。反射器390可具有固定的厚度,为约1mm至约5mm,以提供所需的机械强度。虽然图示半球状反射器390,但也思及了其他形状,诸如椭圆形或倒V形。
若需要,则反射器390可具有提供在反射器390下侧(即面向UV灯385侧)的反射涂层或层堆叠。反射涂层或层堆叠经设计以反射或引导UV辐射至基板。在一个实施方式中,反射涂层为多层涂层,具有折射率不同的至少两种材料,这些材料的结合反射在电磁频谱的UV范围中的辐射。适合用于多层涂层的材料,可包含铝、钽、钛、硅、铌、铪、铈、锆、钇、铒、铕、钆、铟、镁、铋、钍及以上的结合以及相似的适合的稀土金属的氧化物或氮化物中的至少一种。在一个实施方式中,多层涂层包含前述氧化物或氮化物的至少两者的结合。
可在外壳360的上表面上装设冷却风扇370。在供电时,冷却风扇370将从顶部吸取空气,通过位于冷却风扇370底部的开口(未图示),以冷却外壳360内的反射器390。反射器390的冷却也会冷却UV灯385。
图4图示说明根据本公开内容的另一实施方式的UV灯模块400的部分的俯视图。UV灯模块400可被用以替代UV灯模块280。在此实施方式中,多个UV灯485被设置或容纳于外壳460内。UV灯485可具有管形、双管形或其他适合的形状。UV灯485从外壳460的中心轴410径向向外延伸(例如像是轮框的辐条)。UV灯485可均等间隔地围绕外壳460的外圆周,以均匀照射基板250A、250B(图2)。
尽管未图示,但可使用单一中空半球状反射器(诸如上文讨论的反射器390)围绕每一UV灯485,以反射或引导UV辐射至基板。
UV灯385与UV灯485被设置为使得UV辐射被发射为均匀照射整体基板表面,而移送腔室200内的处理气体的所有分子,从头至脚且从侧边至另一侧边,都饱和承受UV辐射通量。
已完成了测试,且结果显示在暴露至含氧气体之后,基板上和/或基板表面上以及在III-V族表面上的残留砷相关物质被氧化。砷残留物被截断成稳定氧化物或副产物,副产物具有高蒸汽压且被快速蒸发。再者,在氧化之后,完成不反应气体泵/净化循环,因此将脱气降至零ppb。结果显示在氧化与泵循环之后,脱气被减至零ppb,因此消除脱气残留物且进一步提升产量。
综上所述,本文所公开的实施方式涉及用于控制基板脱气的方法与设备,以在对基板执行Si:As处理之后,或在执行III-V族外延生长处理之后,和/或在执行III-V族蚀刻处理之后且在额外的处理之前,将有害的气体从基板表面除去。本公开内容的一些益处,包含将含氧气体流入改良的移送腔室,此移送腔室具有UV能力以氧化砷相关物质。随后将不反应气体流入移送腔室,以在泵出移送腔室之前净化移送腔室。重复氧化与泵处理,直到毒性物质(例如砷)的脱气不能被检测到为止。因此,将有害的气体与脱气残留物降低和/或从基板去除,而可执行进一步的处理。
虽然前述内容涉及本公开内容的特定实施方式,但可设计其他与进一步的实施方式而不脱离前述内容的基本范围,且前述内容的范围由随附权利要求书确定。

Claims (15)

1.一种用于处理基板的方法,包含以下步骤:
将包含外延层的基板提供入移送腔室,其中所述移送腔室具有紫外线(UV)灯模块,所述UV灯模块设置为邻接所述移送腔室的顶板;
使含氧气体经过所述移送腔室的气体线流入所述移送腔室;
使不反应气体经过所述移送腔室的所述气体线流入所述移送腔室;
启用所述UV灯模块,以将在所述基板的表面上的残留物或物质氧化,以在所述基板的所述表面上形成脱气阻挡层;
使所述含氧气体与所述含氮气体停止流入所述移送腔室;
泵送所述移送腔室;和
停用所述UV灯模块。
2.如权利要求1所述的方法,所述方法进一步包含以下步骤:
重复进行使含氧气体经过所述移送腔室的气体线流入所述移送腔室、使不反应气体经过所述移送腔室的所述气体线流入所述移送腔室、启用所述UV灯模块以将在所述基板的表面上的残留物或物质氧化以在所述基板的所述表面上形成脱气阻挡层、使所述含氧气体与所述含氮气体停止流入所述移送腔室、以及泵送所述移送腔室约二至五次循环。
3.如权利要求1所述的方法,所述方法进一步包含以下步骤:
在使所述含氧气体与所述含氮气体停止流入所述移送腔室以及泵送所述移送腔室之间,使不反应气体流入所述移送腔室。
4.如权利要求1所述的方法,所述方法进一步包含以下步骤:
在停用所述UV灯模块之后,使含氮气体流入所述移送腔室,其中所述腔室压力维持为约80托。
5.如权利要求1所述的方法,其中在将包含外延层的基板提供入移送腔室期间内,所述移送腔室位于环境氮环境中,且所述腔室压力维持为约80托。
6.如权利要求1所述的方法,其中在启用所述UV灯模块以将在所述基板的表面上的残留物或物质氧化以在所述基板的所述表面上形成脱气阻挡层期间内,所述腔室压力维持为约80托。
7.如权利要求1所述的方法,其中在泵送所述移送腔室期间内,所述腔室压力维持为约1托,并且泵送所述移送腔室被执行约20秒。
8.如权利要求1所述的方法,其中所述UV灯模块发射具有波长的辐射,所述波长位于100nm至400nm的所述范围中,并且其中启用所述UV灯模块以将在所述基板的表面上的残留物或物质氧化以在所述基板的所述表面上形成脱气阻挡层被执行约10秒至约30秒。
9.如权利要求1所述的方法,其中所述UV灯模块包含多个UV灯,所述多个UV灯设置为彼此并联。
10.如权利要求9所述的方法,其中所述UV灯的每一者具有半球状反射器,所述半球状反射器设置在所述UV灯上方,以将UV辐射引导至所述基板。
11.如权利要求1所述的方法,其中所述UV灯模块包含多个UV灯,所述多个UV灯设置于外壳中,且所述多个UV灯从所述外壳的中心轴径向向外延伸。
12.一种用于处理基板的方法,包含以下步骤:
将包含外延层的基板提供入移送腔室中,所述移送腔室具有多个UV灯;
使含氧气体与不反应气体经过所述移送腔室的气体线流入所述移送腔室;
启用所述UV灯模块,以将所述基板的表面氧化,以在所述基板的所述表面上形成脱气阻挡层;
使所述含氧气体与所述含氮气体停止流入;
泵送所述移送腔室;和
停用所述UV灯模块。
13.一种用于移送基板的移送腔室,包含:
顶板以及腔室壁,所述顶板与所述腔室壁限定处理空间于其中;
基板支撑件,所述基板支撑件设置于所述处理空间内;
石英窗口,所述石英窗口设置于所述顶板;
UV灯模块,所述UV灯模块设置在所述石英窗口上方,其中所述UV灯包含多个UV灯,且所述UV灯的每一者具有半球状反射器,所述半球状反射器设置于所述UV灯上方,以将UV辐射引导至所述基板支撑件;
冷却风扇,所述冷却风扇设置于所述UV灯模块上方;
真空泵,所述真空泵经过排气通口耦接至所述腔室壁;和
气体源,所述气体源经过气体线与所述腔室壁流体连通。
14.如权利要求13所述的移送腔室,其中所述多个UV灯设置为彼此并联。
15.如权利要求13所述的移送腔室,其中所述多个UV灯设置于外壳中,且所述多个UV灯从所述外壳的中心轴径向向外延伸。
CN201780050599.1A 2016-09-16 2017-01-27 用于在低于7纳米cmos制造中控制砷脱气的紫外线辐射系统与方法 Active CN109643638B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662395931P 2016-09-16 2016-09-16
US62/395,931 2016-09-16
PCT/US2017/015276 WO2018052474A2 (en) 2016-09-16 2017-01-27 Uv radiation system and method for arsenic outgassing control in sub 7nm cmos fabrication

Publications (2)

Publication Number Publication Date
CN109643638A true CN109643638A (zh) 2019-04-16
CN109643638B CN109643638B (zh) 2023-09-05

Family

ID=61619649

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780050599.1A Active CN109643638B (zh) 2016-09-16 2017-01-27 用于在低于7纳米cmos制造中控制砷脱气的紫外线辐射系统与方法

Country Status (7)

Country Link
US (1) US10332739B2 (zh)
EP (1) EP3513424B1 (zh)
JP (1) JP6739631B2 (zh)
KR (1) KR102683234B1 (zh)
CN (1) CN109643638B (zh)
TW (1) TWI753939B (zh)
WO (1) WO2018052474A2 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10797133B2 (en) * 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US20240234627A1 (en) * 2023-01-09 2024-07-11 Applied Materials, Inc. Chambers, methods, and apparatus for generating atomic radicals using uv light

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010004545A1 (en) * 1998-02-06 2001-06-21 Drobny Vladimir F. Epitaxial cleaning process using hcl and N-type dopant gas to reduce defect density and auto doping effects
US20020090802A1 (en) * 2001-01-10 2002-07-11 Beulens Jacobus Johannes Safe arsenic gas phase doping
JP2002214221A (ja) * 2000-12-13 2002-07-31 Samsung Electronics Co Ltd 不純物検出装置および不純物検出方法
US6511921B1 (en) * 1999-01-12 2003-01-28 Sumco Phoenix Corporation Methods for reducing the reactivity of a semiconductor substrate surface and for evaluating electrical properties of a semiconductor substrate
US20110146705A1 (en) * 2009-12-17 2011-06-23 Lam Research Corporation Uv lamp assembly of degas chamber having rotary shutters
US20120161021A1 (en) * 2008-01-08 2012-06-28 Eugene Smargiassi Measuring in-situ uv intensity in uv cure tool
US20130284204A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Method for uv based silylation chamber clean
US20140080324A1 (en) * 2005-04-26 2014-03-20 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20150249024A1 (en) * 2013-03-05 2015-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method and equipment for removing photoresist residue afer dry etch

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3211998B2 (ja) * 1993-05-31 2001-09-25 ソニー株式会社 半導体装置製造方法
JP3297857B2 (ja) * 1995-12-27 2002-07-02 東京エレクトロン株式会社 クラスタツール装置
US6168961B1 (en) * 1998-05-21 2001-01-02 Memc Electronic Materials, Inc. Process for the preparation of epitaxial wafers for resistivity measurements
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
KR100827476B1 (ko) * 2006-08-29 2008-05-06 동부일렉트로닉스 주식회사 반도체 소자를 제조하기 위한 디가스 챔버 및 이를 이용한디가스 공정
CN101971298A (zh) * 2007-11-02 2011-02-09 佳能安内华股份有限公司 表面处理设备和表面处理方法
US7935940B1 (en) 2008-01-08 2011-05-03 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US8440979B2 (en) * 2008-10-17 2013-05-14 Atonometrics, Inc. Ultraviolet light exposure chamber for photovoltaic modules
GB2478269A (en) * 2009-12-18 2011-09-07 Surrey Nanosystems Ltd Nanomaterials growth system and method
JP2012114234A (ja) * 2010-11-24 2012-06-14 Ulvac Japan Ltd 紫外線照射処理装置及びLow−k膜の紫外線キュア方法
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20140116335A1 (en) 2012-10-31 2014-05-01 Asm Ip Holding B.V. UV Irradiation Apparatus with Cleaning Mechanism and Method for Cleaning UV Irradiation Apparatus
JP2013191889A (ja) * 2013-06-21 2013-09-26 Shin Etsu Handotai Co Ltd シリコンエピタキシャルウェーハ
TW201517133A (zh) 2013-10-07 2015-05-01 Applied Materials Inc 使用熱佈植與奈秒退火致使銦鋁鎵氮化物材料系統中摻雜劑的高活化

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010004545A1 (en) * 1998-02-06 2001-06-21 Drobny Vladimir F. Epitaxial cleaning process using hcl and N-type dopant gas to reduce defect density and auto doping effects
US6511921B1 (en) * 1999-01-12 2003-01-28 Sumco Phoenix Corporation Methods for reducing the reactivity of a semiconductor substrate surface and for evaluating electrical properties of a semiconductor substrate
JP2002214221A (ja) * 2000-12-13 2002-07-31 Samsung Electronics Co Ltd 不純物検出装置および不純物検出方法
US20020090802A1 (en) * 2001-01-10 2002-07-11 Beulens Jacobus Johannes Safe arsenic gas phase doping
US20140080324A1 (en) * 2005-04-26 2014-03-20 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20120161021A1 (en) * 2008-01-08 2012-06-28 Eugene Smargiassi Measuring in-situ uv intensity in uv cure tool
US20110146705A1 (en) * 2009-12-17 2011-06-23 Lam Research Corporation Uv lamp assembly of degas chamber having rotary shutters
US20130284204A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Method for uv based silylation chamber clean
US20150249024A1 (en) * 2013-03-05 2015-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method and equipment for removing photoresist residue afer dry etch

Also Published As

Publication number Publication date
KR20190043179A (ko) 2019-04-25
WO2018052474A2 (en) 2018-03-22
TW201822246A (zh) 2018-06-16
KR102683234B1 (ko) 2024-07-10
WO2018052474A3 (en) 2018-07-26
EP3513424A2 (en) 2019-07-24
TWI753939B (zh) 2022-02-01
EP3513424A4 (en) 2020-05-27
US20180082835A1 (en) 2018-03-22
JP6739631B2 (ja) 2020-08-12
EP3513424B1 (en) 2023-07-26
US10332739B2 (en) 2019-06-25
JP2019533899A (ja) 2019-11-21
CN109643638B (zh) 2023-09-05

Similar Documents

Publication Publication Date Title
TWI407521B (zh) 用以從蝕刻基板有效地移除鹵素殘餘物之設備
US9735002B2 (en) Integrated apparatus for efficient removal of halogen residues from etched substrates
US20110304078A1 (en) Methods for removing byproducts from load lock chambers
KR20150110315A (ko) 에칭 장치
US20200303206A1 (en) Pre-Heat Processes for Millisecond Anneal System
CN109643638A (zh) 用于在低于7纳米cmos制造中控制砷脱气的紫外线辐射系统与方法
US20110151590A1 (en) Apparatus and method for low-k dielectric repair
US10354857B2 (en) High power low pressure UV bulb with plasma resistant coating
US6489220B2 (en) Method and a system for sealing an epitaxial silicon layer on a substrate
JP5508701B2 (ja) 半導体処理装置及び処理方法
US20020025684A1 (en) Gaseous process for surface preparation
US20190301011A1 (en) Low temperature in-situ cleaning method for epi-chambers
JP4234135B2 (ja) 基板処理装置のクリーニング方法
JP4291193B2 (ja) 光処理装置及び処理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant