KR102667247B1 - 메모리 어레이 채널 영역 - Google Patents

메모리 어레이 채널 영역 Download PDF

Info

Publication number
KR102667247B1
KR102667247B1 KR1020210034068A KR20210034068A KR102667247B1 KR 102667247 B1 KR102667247 B1 KR 102667247B1 KR 1020210034068 A KR1020210034068 A KR 1020210034068A KR 20210034068 A KR20210034068 A KR 20210034068A KR 102667247 B1 KR102667247 B1 KR 102667247B1
Authority
KR
South Korea
Prior art keywords
layer
region
semiconductor
concentration
conductive
Prior art date
Application number
KR1020210034068A
Other languages
English (en)
Other versions
KR20210148868A (ko
Inventor
쿠오-창 치앙
훙-창 순
츠칭 양
셩-치 라이
유-웨이 지앙
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/150,522 external-priority patent/US11710790B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210148868A publication Critical patent/KR20210148868A/ko
Application granted granted Critical
Publication of KR102667247B1 publication Critical patent/KR102667247B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/10Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/223Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements using MOS with ferroelectric gate insulating film
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/225Auxiliary circuits
    • G11C11/2275Writing or programming circuits or methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • G11C5/063Voltage and signal distribution in integrated semi-conductor memory access lines, e.g. word-line, bit-line, cross-over resistance, propagation delay
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

메모리 셀은 워드 라인과 접촉하는 강유전체(FE) 재료; 및 소스 라인 및 비트 라인과 접촉하는 산화물 반도체(OS) 층을 포함하고, FE 재료은 OS 층과 워드 라인 사이에 배치된다. OS 층은 FE 재료에 인접한 제 1 영역으로서, 제 1 농도의 반도체 요소를 갖는 제 1 영역; 소스 라인에 인접한 제 2 영역으로서, 제 2 농도의 반도체 요소를 갖는 제 2 영역; 및 제 1 영역과 제 2 영역 사이에 있는 제 3 영역으로서, 제 2 농도보다 크고 제 1 농도보다 작은 제 3 농도의 반도체 요소를 갖는 제 3 영역을 포함한다.

Description

메모리 어레이 채널 영역 {MEMORY ARRAY CHANNEL REGIONS}
우선권 주장 및 상호 참조
본 출원은 2020년 5월 29일에 출원된 미국 가출원 번호 63/031,646의 우선권을 청구하며, 이 출원은 여기에 참조로 통합된다.
발명의 배경이 되는 기술
반도체 메모리들은 예를 들어 라디오들, 텔레비전들, 휴대 전화들, 및 개인용 컴퓨팅 디바이스들을 포함한 전자 애플리케이션들을 위한 집적 회로들에 사용된다. 반도체 메모리들은 2개의 주요 카테고리들을 포함한다. 하나는 휘발성 메모리들이고; 다른 하나는 비휘발성 메모리들이다. 휘발성 메모리들은 랜덤 액세스 메모리(Random Access Memory; RAM)를 포함하며, 이는 2개의 하위 카테고리들, 정적 랜덤 액세스 메모리(Static Random Access Memory; SRAM) 및 동적 랜덤 액세스 메모리(Dynamic Random Access Memory; DRAM)로 추가로 나뉘어질 수 있다. SRAM과 DRAM은 전원이 공급되지 않을 때 그들이 저장한 정보를 잃기 때문에 휘발성이다.
한편, 비휘발성 메모리들은 그들에 저장된 데이터를 유지할 수 있다. 비휘발성 반도체 메모리의 한 타입은 강유전성 랜덤 액세스 메모리(FeRAM 또는 FRAM)이다. FeRAM의 장점은 빠른 기입/판독 속도 및 작은 사이즈이다.
본 개시물의 양상들은 첨부 도면들과 함께 판독될 때 아래의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 관행에 따라, 다양한 피처들은 실척도로 작도되지 않았다는 것을 알아야 한다. 실제로, 다양한 피처들의 치수들은 논의의 명료성을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1a 및 도 1b는 일부 실시예에 따른 메모리 어레이의 사시도 및 회로도를 예시한다.
도 2, 도 3a, 도 3b, 도 4a, 도 4b, 도 5a, 도 5b, 도 6a, 도 6b, 도 7, 도 8, 도 9, 도 10, 도 11, 도 12a, 도 12b, 도 13a, 도 13b, 도 14a, 도 14b, 도 15a, 도 15b, 도 15c, 도 16a, 도 16b, 도 16c, 도 17a, 도 17b, 도 17c, 도 18a, 도 18b, 도 18c, 도 19 내지 도 25, 도 26a, 도 26b, 도 27a, 도 27b, 도 28a, 도 28b, 도 29a, 도 29b, 도 30a, 도 30b 및 도 30c는 일부 실시예에 따른 메모리 어레이를 제조하는 방법의 다양한 도면들을 예시한다.
도 31a 및 도 31b는 일부 실시예에 따른 메모리 어레이의 다양한 도면들을 예시한다.
도 32a 및 도 32b 는 일부 실시예에 따른 메모리 어레이의 다양한 도면들을 예시한다.
도 33은 일부 실시예에 따른 채널 영역의 반도체 농도의 그래프를 예시한다.
아래의 개시내용은 발명의 상이한 피처들을 구현하기 위한 많은 상이한 실시예들 또는 예시들을 제공한다. 본 개시내용을 단순화하기 위해 컴포넌트들 및 배열들의 특정 예시들이 아래에서 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 한정하는 것으로 의도된 것은 아니다. 예를 들어, 이후의 상세설명에서 제 2 피처 상의 또는 제 2 피처 위의 제 1 피처의 형성은 제 1 피처 및 제 2 피처가 직접적으로 접촉하여 형성되는 실시예를 포함할 수 있으며, 또한 제 1 피처 및 제 2 피처가 직접적으로 접촉하지 않을 수 있도록 추가적인 피처들이 제 1 피처와 제 2 피처 사이에서 형성될 수 있는 실시예를 포함할 수 있다. 또한, 본 개시물은 상이한 예들에서 도면 번호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간략화 및 명료화를 위한 것이지, 그러한 반복 그 자체가 개시된 다양한 실시예들 및/또는 구성사이의 관계를 설명하는 것은 아니다.
또한, "밑에", "아래에", "하부에", "위에", "상부에" 등과 같은 공간적으로상대적인 용어들은 도면들에 예시되는 다른 엘리먼트(들) 또는 피처(들)에 대한 하나의 엘리먼트 또는 피처의 관계를 설명하기 위하여 설명의 용이성을 위해 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에 도시된 배향에 부가하여 사용시 또는 동작시 디바이스의 상이한 배향들을 포함하도록 의도된다. 장치는 다른 방식으로 배향될 수 있거나(90도 또는 다른 배향으로 회전될 수 있음), 본 명세서에서 사용된 공간적으로 상대적인 디스크립터는 그에 따라 유사하게 해석될 수 있다.
다양한 실시예들은 복수의 수직으로 적층된 메모리 셀들을 갖는 3D 메모리 어레이를 제공한다. 각각의 메모리 셀은 게이트 전극 역할을 하는 워드 라인 영역, 제 1 소스/드레인 전극 역할을 하는 비트 라인 영역, 및 제 2 소스/드레인 전극 역할을 하는 소스 라인 영역을 갖는 박막 트랜지스터(thin film transistor; TFT)를 포함한다. 각각의 TFT는 강유전체(ferroelectric; FE) 게이트 유전체 층 및 산화물 반도체(oxide semiconductor; OS) 채널 영역을 더 포함한다. OS 채널 영역은 각각 상이한 반도체 요소(예를 들어, 인듐) 농도를 갖는 적어도 3 개의 상이한 영역들을 가질 수 있다. 예를 들어, OS 채널의 인듐 농도는 게이트 전극/FE 게이트 유전체로부터 멀어지는 방향으로 감소될 수 있다. 적어도 3 개의 상이한 농도의 반도체 요소를 제공함으로써 이점이 달성될 수 있다. 예를 들어, 게이트 전극에 가장 가까운 반도체 요소의 상대적으로 높은 농도를 가짐으로써 OS 채널 영역에서 캐리어 이동도가 증가될 수 있다. 게이트 전극으로부터 떨어진 OS 채널 영역의 노출된 표면에 비교적 낮은 농도의 반도체 요소를 가짐으로써 OS 채널 영역의 후속 처리 동안의 프로세스 데미지가 감소될 수 있다. 또한, OS 채널 영역의 비교적 낮은 농도 영역과 비교적 높은 농도 영역 사이에 중간 농도의 반도체 요소를 포함함으로써 낮은 농도 영역과 높은 농도 영역 사이의 전자 산란을 감소될 수 있다. 따라서, 제조 결함을 줄여 디바이스 성능이 높아질 수 있다.
도 1a 및 도 1b는 일부 실시예에 따른 메모리 어레이의 예들을 예시한다. 도 1a는 일부 실시예에 따라 3차원도로 메모리 어레이(200)의 일부의 예를 예시하고; 도 1b는 메모리 어레이(200)의 회로도를 예시한다. 메모리 어레이(200)는 행들 및 열들의 그리드로 배열될 수 있는 복수의 메모리 셀들(202)을 포함한다. 메모리 셀들(202)은 추가로 수직으로 적층되어 3차원 메모리 어레이를 제공함으로써 디바이스 밀도를 증가시킬 수 있다. 메모리 어레이(200)는 반도체 다이의 백 엔드 오브 라인(back end of line; BEOL)에 배치될 수 있다. 예를 들어, 메모리 어레이는 반도체 기판 상에 형성된 하나 이상의 능동 디바이스(예를 들어, 트랜지스터) 위와 같이 반도체 다이의 상호접속 층에 배치될 수 있다. 일부 실시예에서, 메모리 어레이는 반도체 다이의 다른 모든 상호접속 층 위와 같이 상호접속 층의 상단 금속 층에 배치될 수 있다. 다른 실시예에서, 메모리 어레이는 상호접속 층의 중간 금속 층에 배치될 수 있고, 반도체 다이는 예를 들어 메모리 어레이 위 및 아래에 추가 상호접속 층을 포함할 수 있다.
일부 실시예에서, 메모리 어레이(200)는 NOR 플래시 메모리 어레이 등과 같은 플래시 메모리 어레이이다. 각각의 메모리 셀(202)은 게이트 유전체로서 강유전체(FE) 재료를 갖는 박막 트랜지스터(thin film transistor; TFT)(204)를 포함할 수 있다. 일부 실시예에서, 각각의 TFT(204)의 게이트는 각각의 워드 라인에 전기적으로 커플링되고, 각각의 TFT(204)의 제 1 소스/드레인 영역은 각각의 비트 라인에 전기적으로 커플링되고, 각각의 TFT(204)의 제 2 소스/드레인 영역은 각각의 소스 라인에 전기적으로 커플링되며, 이는 제2 소스/드레인 영역을 접지에 전기적으로 커플링한다. 메모리 어레이(200)의 동일한 수평 행에 있는 메모리 셀(202)은 공통 워드 라인을 공유할 수 있는 한편, 메모리 어레이(200)의 동일한 수직 열에 있는 메모리 셀은 공통 소스 라인 및 공통 비트 라인을 공유할 수 있다.
메모리 어레이(200)는 도전성 라인(72) 중 인접한 도전성 라인 사이에 배치된 유전체 층(52)을 갖는 복수의 수직으로 적층된 도전성 라인(72)(예를 들어, 워드 라인)을 포함한다. 도전성 라인(72)은 아래 놓인 기판의 주 표면에 평행한 방향으로 연장된다(도 1a 및 도 1b에 명시적으로 예시되지 않음). 도전성 라인(72)은 하부 도전성 라인(72)이 상부 도전성 라인(72)의 엔드포인트보다 길고 엔드포인트를 지나 측방으로 연장되도록 계단 구성을 가질 수 있다. 예를 들어, 도 1a에서, 도전성 라인(72)의 다수의 적층된 층은, 최상부 도전성 라인(72)이 가장 짧고 최하부 도전성 라인(72)이 가장 길도록 예시된다. 도전성 라인(72)의 각각의 길이는 아래 놓인 기판을 향하는 방향으로 증가할 수 있다. 이러한 방식으로, 도전성 라인들(72) 각각의 일부는 메모리 어레이(200) 위로부터 액세스가능할 수 있고, 도전성 콘택은 도전성 라인(72) 각각의 노출된 부분에 제작될 수 있다.
메모리 어레이(200)는 복수의 도전성 라인(106)(예를 들어, 비트 라인) 및 도전성 라인(108)(예를 들어, 소스 라인)을 더 포함한다. 도전성 라인(106 및 108)은 각각 도전성 라인(72)에 수직인 방향으로 연장될 수 있다. 유전체 재료(102)는 도전성 라인(106)과 도전성 라인(108) 중 인접하는 도전성 라인들 사이에 배치되어 이들을 격리시킨다. 교차하는 도전성 라인(72)과 함께 도전성 라인들(106 및 108)의 쌍은 각각의 메모리 셀(202)의 경계를 정의하고, 유전체 재료(98)는 도전성 라인(106 및 108)의 인접한 쌍들 사이에 배치되고 이들을 격리시킨다. 일부 실시예에서, 도전성 라인(108)은 접지에 전기적으로 커플링된다. 도 1a는 도전성 라인(108)에 대한 도전성 라인(106)의 특정 배치를 예시하지만, 다른 실시예에서 도전성 라인(106 및 108)의 배치가 뒤집힐 수 있다는 것을 이해해야 한다.
메모리 어레이(200)는 또한 산화물 반도체(OS) 층(92)을 포함할 수 있다. OS 층(92)은 메모리 셀(202)의 TFT(204)를 위한 채널 영역을 제공할 수 있다. 예를 들어, 적절한 전압(예를 들어, 대응하는 TFT(204)의 각각의 문턱 전압(Vth)보다 높음)이 대응하는 도전성 라인(72)을 통해 인가될 때, 도전성 라인(72)과 교차하는 OS 층(92)의 영역은 전류가 도전성 라인(106)으로부터 도전성 라인(108)으로 (예를 들어, 화살표(206)에 의해 표시된 방향으로) 흐르도록 허용할 수 있다.
FE 재료(90)가 도전성 라인(72)과 OS 층(92) 사이에 배치되고, FE 재료(90)는 TFT(204)를 위한 게이트 유전체를 제공할 수 있다. 따라서, 메모리 어레이(200)는 강유전성 랜덤 액세스 메모리(ferroelectric random access memory; FERAM) 어레이라고도 지칭될 수 있다. FE 재료(90)는 2 개의 상이한 방향 중 하나로 분극될 수 있고, 분극 방향은 FE 재료(90)에 걸쳐 적절한 전압 차이를 인가하고 적절한 전기장을 생성함으로써 변경될 수 있다. 분극은 상대적으로 국부화될 수 있고(예를 들어, 일반적으로 메모리 셀(202)의 각각의 경계 내에 포함됨), FE 재료(90)의 연속 영역이 복수의 메모리 셀(202)을 가로질러 연장될 수 있다. FE 재료(90)의 특정 영역의 분극 방향에 따라, 대응하는 TFT(204)의 문턱 전압이 변하고 디지털 값(예를 들어, 0 또는 1)이 저장될 수 있다. 예를 들어, FE 재료(90)의 영역이 제 1 전기 분극 방향을 갖는 경우, 대응 TFT(204)는 상대적으로 낮은 문턱 전압을 가질 수 있고, FE 재료(90)의 영역이 제 2 전기 분극 방향을 갖는 경우, 대응하는 TFT(204)이 상대적으로 높은 문턱 전압을 가질 수 있다. 두 문턱 전압들 간의 차이는 문턱 전압 시프트로 지칭될 수 있다. 문턱 전압 시프트가 클수록 대응하는 메모리 셀(202)에 저장된 디지털 값을 더 쉽게 판독할 수 있다(예를 들어, 오류 발생 가능성이 적음).
메모리 셀(202)에 대한 기입(write) 동작을 수행하기 위해, 기입 전압이 메모리 셀(202)에 대응하는 FE 재료(90)의 일부분에 걸쳐 인가된다. 예를 들어, 대응하는 도전성 라인(72)(예를 들어, 워드 라인) 및 대응하는 도전성 라인들(106/108)(예를 들어, 비트 라인/소스 라인)에 적절한 전압을 인가함으로써 기입 전압이 인가될 수 있다. FE 재료(90)의 일부분에 걸쳐 기입 전압을 인가함으로써, FE 재료(90)의 영역의 분극 방향은 변경될 수 있다. 그 결과, 대응하는 TFT(204)의 대응하는 문턱 전압은 또한 낮은(low) 문턱 전압으로부터 높은(high) 문턱 전압으로, 또는 그 반대로 전환될 수 있고, 디지털 값은 메모리 셀(202)에 저장될 수 있다. 도전성 라인(72)이 도전성 라인(106 및 108)과 교차하기 때문에, 개별 메모리 셀(202)이 기입 동작을 위해 선택될 수 있다.
메모리 셀(202)에 대한 판독(read) 동작을 수행하기 위해, 판독 전압이 대응하는 도전성 라인(72)(예를 들어, TFT(204)의 워드 라인/게이트 전극)에 인가되고, 전류가 대응하는 도전성 라인(106)(예를 들어, 비트 라인)에 인가된다. 인가되는 판독 전압은 TFT(204)의 낮은 문턱 전압과 높은 문턱 전압 사이일 수 있다. FE 재료(90)의 대응하는 영역의 분극 방향에 따라, 메모리 셀(202)의 TFT(204)는 턴 온되거나 턴 온되지 않을 수 있다. 그 결과, 도전성 라인(106)은 도전성 라인(108)(예를 들어, 접지에 커플링된 소스 라인)을 통해 방전될 수도 있고 방전되지 않을 수도 있으며, 메모리 셀(202)에 저장된 디지털 값이 결정될 수 있다. 도전성 라인(72)이 도전성 라인(106 및 108)과 교차하기 때문에, 개별 메모리 셀(202)이 판독 동작을 위해 선택될 수 있다.
도 1a는 추후 도면에서 사용되는 메모리 어레이(200)의 참조 단면도을 추가로 예시한다. 단면 B-B'는 도전성 라인(72)의 길이방향 축을 따라, 그리고 예를 들어 TFT(204)의 전류 흐름의 방향에 평행한 방향으로 있다. 단면 C-C'는 단면 B-B'에 수직이고, 도전성 라인(72)의 길이방향 축에 평행하다. 단면 C-C'는 도전성 라인(106)을 통해 연장된다. 단면 D-D'는 단면 C-C'에 평행하고, 유전체 재료(1102)를 통해 연장된다. 후속 도면은 명확성을 위해 이들 참조 단면을 참조한다.
도 2 내지 30은 일부 실시예에 따른 메모리 어레이(200)의 제조에서 중간 단계의 도면이다. 도 3a, 도 4a, 도 5a, 도 6a, 도 12a, 도 13a, 도 14a, 도 15a, 도 16a, 도 17a, 도 18a 및 도 30은 3 차원도로 예시된다. 도 3b, 도 4b, 도 5b, 도 6b, 도 7, 도 8, 도 9, 도 10, 도 11, 도 12b, 도 13b, 도 14b, 도 15b, 도 16b, 도 17b 및 도 18b는 도 1에 예시된 참조 단면 B-B'를 따라 예시된다. 도 15c, 도 16c, 도 17c, 도 18c, 도 19, 도 20, 도 21, 도 22, 도 23, 도 24, 도 28b 및 도 29b는 도 1에 예시된 참조 단면 C-C'를 따라 예시된다. 도 26b 및 도 27b는 도 1에 예시된 참조 단면 D-D'를 따라 예시된다. 도 25, 도 26a, 도 27a, 도 28a 및 도 29a는 하향식 도면을 예시한다.
도 2에서, 기판(50)이 제공된다. 기판(50)은 (예를 들어, p형 또는 n형 도펀트로) 도핑되거나 또는 도핑되지 않을 수 있는, 벌크 반도체, 반도체 온 절연체(semiconductor-on-insulator; SOI) 기판일 수 있다. 기판(50)은 실리콘 웨이퍼와 같은 웨이퍼일 수 있다. 일반적으로, SOI 기판은 절연체 층 상에 형성된 반도체 재료의 층이다. 절연체 층은 예를 들어, 매립 산화물(buried oxide; BOX)층, 실리콘 산화물 층 등일 수 있다. 절연체 층은 일반적으로 실리콘 또는 유리 기판인 기판 상에 제공된다. 다층 또는 그래디언트 기판과 같은 다른 기판들이 또한 사용될 수 있다. 일부 실시예에서, 기판(50)의 반도체 재료는 실리콘; 게르마늄; 실리콘 탄화물, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비화물, 및/또는 인듐 안티몬화물을 포함한 화합물 반도체; 실리콘-게르마늄, 갈륨 비화물 인화물, 알루미늄 인듐 비화물, 알루미늄 갈륨 비화물, 갈륨 인듐 비화물, 갈륨 인듐 인화물 및/또는 갈륨 인듐 비화물 인화물을 포함하는 합금 반도체; 또는 이들의 조합들을 포함할 수 있다. 일부 실시예에서, 능동 디바이스(예를 들어, 트랜지스터, 다이오드 등) 및/또는 수동 디바이스(예를 들어, 커패시터, 저항기 등)가 기판(50)의 상단 표면 상에 형성될 수 있다.
도 2는 기판(50) 위에 형성될 수 있는 회로를 추가로 예시한다. 회로는 기판(50)의 상단 표면에 있는 트랜지스터를 포함한다. 트랜지스터는 기판(50)의 상단 표면 위에 게이트 유전체 층(202)을 그리고 게이트 유전체 층(202) 위에 게이트 전극(204)을 포함할 수 있다. 소스/드레인 영역(206)은 게이트 유전체 층(202) 및 게이트 전극(204)의 양 측 상의 기판(50)에 배치된다. 게이트 스페이서(208)은 게이트 유전체 층(202)의 측벽을 따라 형성되고, 소스/드레인 영역(206)을 적절한 측방향 거리만큼 게이트 전극(204)으로부터 분리한다. 일부 실시예에서, 트랜지스터는 평면 전계 효과 트랜지스터(FET), 핀 전계 효과 트랜지스터(finFET)들, 나노 전계 효과 트랜지스터(nanoFET) 등일 수 있다.
제 1 ILD(210)는 소스/드레인 영역(206), 게이트 유전체 층(202) 및 게이트 전극(204)을 둘러싸고 격리시키며, 제 2 ILD(212)는 제 1 ILD(210) 위에 있다. 소스/드레인 콘택(214)은 제 2 ILD(212) 및 제 1 ILD(210)를 통해 연장되고 소스/드레인 영역(206)에 전기적으로 커플링되며, 게이트 콘택(216)은 제 2 ILD(212)를 통해 연장되고 게이트 전극(204)에 전기적으로 커플링된다. 하나 이상의 적층된 유전체 층(224) 및 하나 이상의 유전체 층(224)에 형성된 도전성 피처(222)를 포함하는 상호접속 구조물(220)은 제 2 ILD(212), 소스/드레인 콘택(214) 및 게이트 콘택(216) 위에 있다. 도 2는 2 개의 적층된 유전체 층(224)을 예시하지만, 상호접속 구조물(200)은 그 안에 도전성 피처(222)가 배치된 임의의 수의 유전체 층(224)을 포함할 수 있다는 것을 이해해야 한다. 상호접속 구조물(220)은 기능 회로를 형성하기 위해 게이트 콘택(216) 및 소스/드레인 콘택(214)에 전기적으로 접속될 수 있다. 일부 실시예에서, 상호접속 구조물(220)에 의해 형성된 기능 회로는 논리 회로, 메모리 회로, 감지 증폭기, 제어기, 입력/출력 회로, 이미지 센서 회로 등, 또는 이들의 조합들을 포함할 수 있다. 도 2는 기판(50) 위에 형성된 트랜지스터를 논의하지만, 다른 능동 디바이스(예를 들어, 다이오드 등) 및/또는 수동 디바이스들(예를 들어, 커패시터, 저항기 등)이 또한 기능 회로의 일부로서 형성될 수 있다.
도 3a 및 도 3b에서, 다층 스택(58)이 도 2의 구조물 위에 형성된다. 기판(50), 트랜지스터, ILD, 및 상호접속 구조물(120)은 단순성과 명료성을 위해 후속 도면에서 생략될 수 있다. 다층 스택(58)이 상호접속 구조물(220)의 유전체 층들(224)과 접촉하는 것으로 예시되어 있지만, 임의의 수의 중간 층들이 기판(50)과 다층 스택(58) 사이에 배치될 수 있다. 예를 들어, 다층 스택(58)은 상호접속 구조물(58) 위에 있고, 하나 이상의 상호접속 층은 절연 층(예를 들어, 로우-k 유전체 층)에 도전성 피처들을 포함하는 하나 이상의 상호접속 층이 기판(50)과 다층 스택(58) 사이에 배치될 수 있다. 일부 실시예에서, 도전성 피처는 기판(50) 및/또는 메모리 어레이(200) 상의 능동 디바이스에 대한 전력, 접지 및/또는 신호 라인을 제공하도록 패터닝될 수 있다(도 1a 및 도 1b 참조).
다층 스택(58)은 도전성 라인(54A-E)(통칭하여 도전성 층(54)으로 지칭됨) 및 유전체 층들(52A-D)(통칭하여 유전체 층들(52)로 지칭됨)의 교호하는 층들을 포함한다. 도전성 층(54)은 도전성 라인(72)(예를 들어, 워드 라인)을 정의하기 위해 후속 단계에서 패터닝될 수 있다. 도전성 층(54)은 구리, 티타늄, 티타늄 질화물, 탄탈룸, 탄탈룸 질화물, 텅스텐, 루테늄, 알루미늄, 이들의 조합들 등과 같은 도전성 재료를 포함할 수 있고, 유전체 층들(52)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 이들의 조합 등과 같은 절연 재료를 포함할 수 있다. 도전성 층(54) 및 유전체 층(52)은 각각 예를 들어, 화학 기상 증착(chemical vapor deposition; CVD), 원자 층 증착(atomic layer deposition; ALD), 물리 기상 증착(physical vapor deposition; PVD), 플라즈마 강화 CVD(plasma enhanced CVD; PECVD) 등을 사용하여 형성될 수 있다. 도 3a 및 도 3b는 특정 개수의 도전성 층(54) 및 유전체 층(52)을 예시하지만, 다른 실시예는 상이한 개수의 도전성 층(54) 및 유전체(52)를 포함할 수 있다.
도 4a 및 4b에서, 포토레지스트(56)는 다층 스택(58) 위에 형성된다. 포토레지스트(56)는 스핀-온 기술을 사용하여 형성될 수 있고 허용가능한 포토리소그래피 기술을 사용하여 패터닝될 수 있다. 포토레지스트(56)를 패터닝하는 것은 영역(60)에서 다층 스택(58)을 노출시키면서 다층 스택(58)의 남아있는 부분을 마스킹할 수 있다. 예를 들어, 다층 스택(58)의 최상부 층(예를 들어, 도전성 층(54E))은 영역(60)에서 노출될 수 있다.
도 5a 및 도 5b에서, 영역(60)에서 다층 스택(58)의 노출된 부분은 마스크로서 포토레지스트(56)를 사용하여 에칭된다. 에칭은 건식 또는 습식 에칭, 반응성 이온 에칭(reactive ion etch; RIE), 중성 빔 에칭(neutral beam etch; NBE) 등, 또는 이들의 조합과 같은 임의의 허용가능한 에칭 프로세스일 수 있다. 에칭은 이방성일 수 있다. 에칭은 영역(60)에서 도전성 층(54e) 및 유전체 층(52D)의 일부를 제거하고 개구부(61)를 정의할 수 있다. 도전성 층(54E) 및 유전체 층(52D)은 상이한 재료 조성을 갖기 때문에, 이들 층의 노출된 부분을 제거하기 위해 사용되는 에천트는 상이할 수 있다. 몇몇 실시예들에서, 유전체 층(52D)은 도전성 층(54E)을 에칭하는 동안 에칭 정지 층으로서 작용하고, 도전성 층(54D)은 유전체 층(52D)을 에칭하는 동안 에칭 정지 층으로서 작용한다. 그 결과, 도전성 층(54E) 및 도전성 층(54D)의 부분은 다층 스택(58)의 남아있는 층을 제거하지 않고 선택적으로 제거될 수 있으며, 개구부(61)는 원하는 깊이로 연장될 수 있다. 대안적으로, 개구부(61)가 원하는 깊이에 도달한 후에, 개구부(61)의 에칭을 정지하기 위해 타이밍된(timed) 에칭 프로세스가 사용될 수 있다. 결과적인 구조물에서, 도전성 층(54D)은 영역들(60)에서 노출된다.
도 6a 및 도 6b에서, 포토레지스트(56)는 다층 스택(58)의 추가적인 부분을 노출시키기 위해 트리밍된다. 포토레지스트(56)는 허용가능한 포토리소그래피 기법들을 사용하여 트리밍될 수 있다. 트리밍의 결과, 포토레지스트(56)의 폭은 감소하고 영역(60 및 62)에서 다층 스택(58)의 부분이 노출될 수 있다. 예를 들어, 영역(60)에서 도전성 층(54D)의 상단 표면이 노출될 수 있고, 영역들(62)에서 도전성 층(54E)의 상단 표면이 노출될 수 있다.
도 7에서, 영역(60 및 62) 내의 도전성 층(54E), 유전체 층(52D), 도전성 층(54D), 및 유전체 층(52C)의 부분은 마스크로서 포토레지스트(56)를 사용하는 허용가능한 에칭 프로세스에 의해 제거된다. 에칭은 건식 또는 습식 에칭, 반응성 이온 에칭(RIE), 중성 빔 에칭(NBE) 등, 또는 이들의 조합과 같은 임의의 허용가능한 에칭 프로세스일 수 있다. 에칭은 이방성일 수 있다. 에칭은 개구부(61)를 다층 스택(58) 내로 추가로 연장시킬 수 있다. 도전성 층(54E/54D) 및 유전체 층(52D/52C)이 상이한 재료 조성들을 갖기 때문에, 이들 층의 노출된 부분을 제거하기 위해 사용되는 에천트는 상이할 수 있다. 일부 실시예에서, 유전체 층(52D)은 도전성 층(54E)을 에칭하는 동안 에칭 정지 층으로서 작용하고; 도전성 층(54D)은 유전체 층(52D)을 에칭하는 동안 에칭 정지 층으로서 작용하고; 유전체 층(52C)은 도전성 층(54D)을 에칭하는 동안 에칭 정지 층으로서 작용하며; 도전성 층(54C)은 유전체 층(52C)을 에칭하는 동안 에칭 정지 층으로서 작용한다. 그 결과, 도전성 층(54E/54D) 및 유전체 층(52D/52C)의 부분은 다층 스택(58)의 남아있는 층을 제거하지 않고 선택적으로 제거될 수 있으며, 개구부(61)는 원하는 깊이로 연장될 수 있다. 또한, 에칭 프로세스 동안, 도전성 층(54) 및 유전체 층(52)의 에칭되지 않은 부분은 아래 놓인 층에 대한 마스크로 작용하고, 그 결과 도전성 층(54E) 및 유전체 층(52D)의 이전 패턴이(도 6a 및 도 6b 참조) 아래 놓인 도전성 층(54D) 및 유전체 층(52C)에 전사될 수 있다. 결과적인 구조물에서, 도전성 층(54C)은 영역(60)에서 노출되고, 도전성 층(54D)은 영역(62)에서 노출된다.
도 8에서, 포토레지스트(56)는 다층 스택(58)의 추가적인 부분을 노출시키기 위해 트리밍된다. 포토레지스트(56)는 허용가능한 포토리소그래피 기법을 사용하여 트리밍될 수 있다. 트리밍의 결과, 포토레지스트(56)의 폭은 감소되고 영역(60, 62 및 64)에서 다층 스택(58)의 부분이 노출될 수 있다. 예를 들어, 영역(60)에서 도전성 층(54C)의 상단 표면이 노출될 수 있고; 영역(62)에서 도전성 층(54D)의 상단 표면이 노출될 수 있고; 영역(64)에서 도전성 층(54E)의 상단 표면이 노출될 수 있다.
도 9에서, 영역(60, 62 및 64) 내의 도전성 층(54E, 54D 및 54C) 및 유전체 층(52D, 52C 및 52B)의 부분은 마스크로서 포토레지스트(56)를 사용하는 허용가능한 에칭 프로세스에 의해 제거된다. 에칭은 건식 또는 습식 에칭, 반응성 이온 에칭(RIE), 중성 빔 에칭(NBE) 등, 또는 이들의 조합과 같은 임의의 허용가능한 에칭 프로세스일 수 있다. 에칭은 이방성일 수 있다. 에칭은 개구부(61)를 다층 스택(58) 내로 추가로 연장시킬 수 있다. 도전성 층(54E, 54D 및 54C) 및 유전체 층(52D, 52C 및 52B)이 상이한 재료 조성들을 갖기 때문에, 이들 층의 노출된 부분을 제거하기 위해 사용되는 에천트는 상이할 수 있다. 일부 실시예에서, 유전체 층(52D)은 도전성 층(54E)을 에칭하는 동안 에칭 정지 층으로서 작용하고; 도전성 층(54D)은 유전체 층(52D)을 에칭하는 동안 에칭 정지 층으로서 작용하고; 유전체 층(52C)은 도전성 층(54D)을 에칭하는 동안 에칭 정지 층으로서 작용하며; 도전성 층(54C)은 유전체 층(52C)을 에칭하는 동안 에칭 정지 층으로서 작용하고; 유전체 층(52B)은 도전성 층(54C)을 에칭하는 동안 에칭 정지 층으로서 작용하며; 도전성 층(54B)은 유전체 층(52B)을 에칭하는 동안 에칭 정지 층으로서 작용한다. 그 결과, 도전성 층(54E/54D/54C) 및 유전체 층(52D/52C/52B)의 부분은 다층 스택(58)의 남아있는 층을 제거하지 않고 선택적으로 제거될 수 있으며, 개구부(61)는 원하는 깊이로 연장될 수 있다. 또한, 에칭 프로세스 동안, 도전성 층(54) 및 유전체 층(52)의 에칭되지 않은 부분은 아래 놓인 층에 대한 마스크로 작용하고, 그 결과 도전성 층(54E/54D) 및 유전체 층(52D/52C)의 이전 패턴이(도 8 참조) 아래 놓인 도전성 층(54D/54C) 및 유전체 층(52C/52B)에 전사될 수 있다. 결과적인 구조물에서, 도전성 층(54B)은 영역(60)에서 노출되고; 도전성 층(54C)은 영역(62)에서 노출되고; 도전성 층(54B)은 영역(64)에서 노출된다.
도 10에서, 포토레지스트(56)는 다층 스택(58)의 추가적인 부분을 노출시키기 위해 트리밍된다. 포토레지스트는 허용가능한 포토리소그래피 기법을 사용하여 트리밍될 수 있다. 트리밍의 결과, 포토레지스트(56)의 폭은 감소되고 영역(60, 62, 64 및 66)에서 다층 스택(58)의 부분이 노출될 수 있다. 예를 들어, 영역(60)에서 도전성 층(54B)의 상단 표면이 노출될 수 있고; 영역(62)에서 도전성 층(54C)의 상단 표면이 노출될 수 있고; 영역(64)에서 도전성 층(54D)의 상단 표면이 노출될 수 있고; 영역(66)에서 도전성 층(54E)의 상단 표면이 노출될 수 있다.
도 11에서, 영역(60, 62, 64 및 66) 내의 도전성 층(54E, 54D, 54C 및 54B)의 부분은 마스크로서 포토레지스트(56)를 사용하는 허용가능한 에칭 프로세스에 의해 제거된다. 에칭은 건식 또는 습식 에칭, 반응성 이온 에칭(RIE), 중성 빔 에칭(NBE) 등, 또는 이들의 조합과 같은 임의의 허용가능한 에칭 프로세스일 수 있다. 에칭은 이방성일 수 있다. 에칭은 개구부(61)를 다층 스택(58) 내로 추가로 연장시킬 수 있다. 일부 실시예에서, 유전체 층(52D)은 도전성 층(54E)을 에칭하는 동안 에칭 정지 층으로서 작용하고; 유전체 층(52C)은 도전성 층(54D)을 에칭하는 동안 에칭 정지 층으로서 작용하며; 유전체 층(52B)은 도전성 층(54C)을 에칭하는 동안 에칭 정지 층으로서 작용하며; 유전체 층(52A)은 도전성 층(54B)을 에칭하는 동안 에칭 정지 층으로서 작용한다. 그 결과, 도전성 층(54E, 54D, 54C 및 54B)의 부분은 다층 스택(58)의 남아있는 층을 제거하지 않고 선택적으로 제거될 수 있으며, 개구부(61)는 원하는 깊이로 연장될 수 있다. 또한, 에칭 프로세스 동안, 유전체 층(52) 각각은 아래 놓인 층에 대한 마스크로 작용하고, 그 결과 유전체 층(52D/52C/52B)의 이전 패턴이(도 10 참조) 아래 놓인 도전성 층(54D/54C/54B)에 전사될 수 있다. 결과적인 구조물에서, 유전체 층(52A)은 영역(60)에서 노출되고; 유전체 층(52B)은 영역(62)에서 노출되고; 유전체 층(52C)은 영역(64)에서 노출되고; 유전체 층(52D)은 영역(66)에서 노출된다.
도 12a 및 도 12b에서, 포토레지스트(56)는 예를 들어 허용가능한 애싱 또는 습식 스트립 프로세스에 의해 제거될 수 있다. 따라서, 계단 구조물(68)이 형성된다. 계단 구조물은 도전성 층(54) 및 유전체 층(52)의 교호하는 것들의 스택을 포함한다. 하부 도전성 층(54)은 상부 도전성 층(54)보다 더 길고 상부 도전성 층(54)을 지나 측방으로 연장되며, 도전성 층(54) 각각의 길이는 기판(50)을 향하는 방향으로 증가한다. 예를 들어, 도전성 층(54A)은 도전성 층(54B)보다 길 수 있고; 도전성 층(54B)은 도전성 층(54C)보다 길 수 있고; 도전성 층(54C)은 도전성 층(54D)보다 길 수 있고; 도전성 층(54D)은 도전성 층(54E)보다 길 수 있다. 결과적으로, 후속 프로세스 단계에서 계단 구조물(68) 위에서부터 도전성 층(54) 각각으로 도전성 콘택이 만들어질 수 있다.
도 13a 및 13b에서, 금속간 유전체(inter-metal dielectric; IMD)(70)가 다층 스택(58) 위에 성막된다. IMD(70)는 유전체 재료로 형성될 수 있고, CVD, 플라즈마 강화 CVD(PECVD) 또는 FCVD와 같은 임의의 적합한 방법에 의해 성막될 수 있다. 유전체 재료는 포스포-실리케이트 유리(phospho-silicate glass; PSG), 보로-실리케이트 유리(boro-silicate glass; BSG), 붕소 도핑된 포스포-실리케이트 유리(boron-doped phospho-silicate glass; BPSG), 비도핑 실리케이트 유리(undoped silicate glass; USG) 등을 포함할 수 있다. 임의의 허용가능한 프로세스에 의해 형성된 다른 절연 재료가 사용될 수 있다. IMD(70)는 유전체 층(52D, 52C 및 52B)의 측벽 뿐 아니라 도전성 층(54E, 54D, 54C 및 54B)의 측벽을 따라 연장된다. 또한, IMD(70)는 유전체 층(52) 각각의 상단 표면과 접촉할 수 있다.
도 14a 및 14b에서, 제거 프로세스가 그 후 IMD(70)에 적용되어 다층 스택(58) 위에 초과 유전체 재료를 제거한다. 일부 실시예에서, 화학 기계 연마(chemical mechanical polish; CMP), 에치백 프로세스, 이들의 조합 등과 같은 평탄화 프로세스가 이용될 수 있다. 평탄화 프로세스는 평탄화 프로세스가 완료된 후, IMD(70)와 다층 스택(58)의 상단 표면이 같은 레벨이 되도록 다층 스택(58)을 노출시킨다.
도 15a 내지 도 18c에서, 트렌치는 다층 스택(58)에 형성되어 도전성 라인(72)을 정의한다. 도전성 라인(72)은 메모리 어레이(200)에서 워드 라인에 대응할 수 있고, 도전성 라인(72)은 메모리 어레이(200)의 결과적인 TFT를 위한 게이트 전극을 추가로 제공할 수 있다. 도 15a 내지 도 18c에서, "A"로 끝나는 도면은 사시도를 예시하고, "B"로 끝나는 도면은 라인 도 1a의 라인 B-B'에 따른 단면도를 예시하고, "C"로 끝나는 도면은 도 1a의 라인 C-C'에 따른 단면도를 예시한다. 설명의 편의를 위해, 도 15a, 도 16a 및 도 17a는 영역(200A)(도 14b 참조)에서 다층 스택(58)의 일부분을 예시한다.
도 15a, 15b 및 15c에서, 하드 마스크(84)는 다층 스택(58) 및 금속 간 유전체(70) 위에 성막된다. 하드 마스크 층(84)은 예를 들어 실리콘 질화물, 실리콘 산 질화물 등을 포할 수 있고, 이는 CVD, PVD, ALD, PECVD 등에 의해 성막될 수 있다. 포토레지스트(82)가 형성되고 하드 마스크(84) 위에 패터닝된다. 포토레지스트(82)는 스핀-온 기술을 사용하여 형성될 수 있고 허용가능한 포토리소그래피 기술을 사용하여 패터닝될 수 있다.
도 16a, 도 16b 및 도 16c에서, 포토레지스트(82)의 패턴은 습식 또는 건식 에칭, 반응성 이온 에칭(RIE), 중립 빔 에칭(NBE) 등, 또는 이들의 조합과 같은 허용가능한 에칭 프로세스를 사용하여 하드 마스크(84)로 전사된다. 에칭은 이방성일 수 있다. 따라서, 트렌치(86)가 하드 마스크(84)에 형성된다.
도 17a, 도 17b 및 도 17c에서, 하드 마스크(84)의 패턴은 습식 또는 건식 에칭, 반응성 이온 에칭(RIE), 중립 빔 에칭(NBE) 등, 또는 이들의 조합과 같은 허용가능한 에칭 프로세스를 사용하여 다층 스택(58)으로 전사된다. 에칭 프로세스는 이방성일 수 있다. 따라서, 다층 스택(58)을 통해 연장된 트렌치(86) 및 도전성 라인(72)(예를 들어, 워드 라인)은 도전성 층(54)으로부터 형성된다. 도전성 층(54)을 통해 트렌치(86)를 에칭함으로써, 인접한 도전성 라인(72)은 서로 분리될 수 있다. 후속하여, 도 18a, 도 18b 및 도 18c에서 , 하드 마스크(84)는 습식 에칭 프로세스, 건식 에칭 프로세스, 평탄화 프로세스, 이들의 조합 등과 같은 허용가능한 프로세스에 의해 제거될 수 있다.
도 19 내지 도 25는 트렌치(86)에서 TFT(204)(도 1a 참조)를 위한 채널 영역을 형성 및 패터닝하는 것을 예시한다. 도 19 내지 25에서, 단면도는 도 1a의 라인 C-C'을 따라 제공된다.
도 19에서, FE 재료(90)는 트렌치(86)에서 컨포멀하게 성막된다. FE 재료는 FE(90)에 걸쳐 적절한 전압차를 인가함으로써 2 개의 상이한 분극 방향 사이에서 스위칭할 수 있는 재료를 가질 수 있다. 예를 들어, FE 재료(90)는 하프늄(Hf) 기반 유전체 재료 등과 같은 고 k 유전체 재료일 수 있다. 일부 실시예에서, FE 재료(90)는 하프늄 산화물, 하프늄 지르코늄 산화물, 실리콘 도핑된 하프늄 산화물 등을 포함한다. 다른 실시예에서, FE 재료(90)는 2 개의 SiOx 층(예를 들어, ONO 구조물) 사이에 SiNx 층을 포함하는 다층 구조물일 수 있다 . 또 다른 실시예에서, FE 재료(90)는 상이한 강유전체 재료 또는 상이한 유형의 메모리 재료를 포함할 수 있다. FE 재료(90)는 트렌치(86)의 측벽 및 하단 표면을 따라 연장하도록 CVD, PVD, ALD, PECVD 등에 의해 성막될 수 있다.
도 20에서, OS 층(92)은 FE 재료(90) 위의 트렌치(86) 내에 컨포널하게 성막된다. OS 층(92)은 TFT(예를 들어, TFT(204), 도 1a 참조)에 대한 채널 영역을 제공하기에 적합한 재료를 포함한다. 일부 실시예에서, OS 층(92)은 InxGayZnzMO와 같은 인듐 함유 재료를 포함하고, 여기서 M은 Ti, Al, Ag, Si, Sn 등일 수 있고, X, Y 및 Z는 각각 0과 1 사이의 임의의 값일 수 있다.
OS 층(92)은 가변 농도의 반도체 요소(예를 들어, 인듐)를 가질 수 있고, 반도체 요소의 농도는 FE 재료(90)를 향하는 방향으로 증가할 수 있다. 예를 들어, 도 20의 실시예에 의해 도시된 바와 같이, OS 층(92)은 하단 OS 층(92A), 하단 OS 층(92A) 위에 있고 하단 OS 층(92A)과 계면을 형성하는 중간 OS 층(92B), 및 중간 OS 층(92B) 위에 있고 중간 OS 층(92B)과 계면을 형성하는 상단 OS 층(92C)을 포함하는 3층 구조물을 가질 수 있다. 하단 OS 층(92A)의 인듐 농도는 중간 OS 층(92B)의 인듐 농도보다 높을 수 있고, 중간 OS 층(92B)의 인듐 농도는 상단 OS 층(92C)의 인듐 농도보다 높을 수 있다. OS 층(92A, 92B 및 92C) 각각은 InxGayZnzMO와 같은 인듐 함유 재료을 포함할 수 있으며, 여기서 M은 Ti, Al, Ag, Si, Sn 등일 수 있다. OS 층(92A, 92B 및 92C)은 각각 CVD, ALD, PECVD 등과 같은 컨포멀 성막 프로세스를 사용하여 FE 재료(90) 위에 개별적으로 성막되어 결과적인 OS 층(92)이 트렌치(86)의 측벽 및 하단 표면을 따라 연장된다. OS 층(92A, 92B 및 92C)의 각각에서의 인듐 농도는, 예를 들어 성막 공정 동안에 인듐 전구체(예를 들어 이노 InOx, DADI((3-dimethylamimopropryl)-dimethyl indium) 등)의 공급 및 흐름을 제어함으로써 조정될 수 있다. OS 층(92)이 성막된 후, 어닐링 단계가 300 ℃ 내지 450 ℃로 산소-관련 주변에서 수행하여 OS 층(92)의 전하 캐리어를 활성화시킬 수 있다.
일부 실시예에서, 하단 OS 층(92A)의 인듐 농도는 OS 층(92)의 최대 인듐 농도의 약 40 % 내지 약 100 %의 범위 내일 수 있고; 중간 OS 층(92B)의 인듐 농도는 OS 층(92)의 최대 인듐 농도의 약 20 % 내지 약 40 %의 범위 내일 수 있고; 상단 OS 층(92C)의 인듐 농도는 OS 층(92)의 최대 인듐 농도의 20 % 미만일 수 있다. 일부 실시예에서, OS 층(92)의 최대 인듐 농도는 약 10 % 내지 약 45 %의 범위 내일 수 있고, OS 층(92)의 전하 캐리어 농도는 약 1014 cm-3 내지 1020 cm-3의 범위 내일 수 있다 . 또한, 상단 OS 층(92C)과 중간 OS 층(92B)의 결합된 두께(예를 들어, 두께 T1 + 두께 T2)는 하단 OS 층( 92A)의 두께보다 클 수 있다.
상기 범위 내에서 OS 층(92)에 3 개의 개별 인듐 농도 영역을 제공함으로써 이점이 달성될 수 있다. 예를 들어, 하단 OS 층(92A)의 높은 농도의 인듐은 결과적인 채널 영역에서 더 큰 전하 캐리어 농도를 제공하여 캐리어 이동성을 유리하게 증가시킨다. 예를 들어, 이동성은 하단 OS 층(92A)에 충분히 높은 인듐 농도를 제공함으로써 약 20 cm2/Vs 내지 약 40 cm2/Vs로 증가될 수 있다. 또한, 중간 OS 층(92B)은 하단 OS 층(92A)으로부터의 전자 산란을 방지하거나 적어도 감소시키는 배리어 층의 역할을 할 수 있다. 예를 들어, 중간 OS 층(92B)은 하단 OS 층(92A)과 상단 OS 층(92C)의 상이한 인듐 농도 사이의 과도기적(transitional) 계면 층으로서 작용할 수 있으며, 이는 원하지 않는 격자 부정합 및 산란을 감소시킨다. 그 결과, 결과적인 채널의 이동성이 증가될 수 있다. 상단 OS 층(92A)은 프로세스 데이지로부터 아래 놓인 OS 층(예를 들어, 하단 OS 층(92C))을 보호하고 아래 놓인 OS 층(예를 들어, 중간 OS 층(92B) 및 하단 OS 층(92A))에서 산소 결핍을 패시베이트하는 패시베이션 층으로서 작용할 수있다. 예를 들어, 하단 OS 층(92A)의 표면은 상대적으로 높은 인듐 농도로 인해 프로세스 데미지(예를 들어, 수분 흡수, 에칭 데미지, 금속 확산, 인접한 산화물 층과의 원하지 않는 계면 층 형성 등)에 더 민감할 수 있으며, 상단 OS 층(92C)은 그 감소된 인듐 농도(예를 들어, 상기 범위 내의)로 인해 이러한 데미지에 덜 민감하다. 따라서, 상부 OS 층(92C)은 후속 프로세싱으로부터 아래 놓인 층을 보호할 수 있다.
도 21에서, 유전체 층(98A)은 OS 층(92) 위의 트렌치(86) 내에 성막된다. 유전체 층(98A)은 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 등을 포함할 수 있고, 이는 CVD, PVD, ALD, PECVD 등에 의해 성막될 수 있다. 유전체 층(98A)은 OS 층(92) 위에 트렌치(86)의 측벽 및 하단 표면을 따라 연장될 수 있다.
도 22에서, 트렌치(86) 내의 유전체 층(98A)의 측방 부분은 예를 들어 포토 리소그래피 및 에칭의 조합을 사용하여 제거된다. 에칭은 습식 또는 건식 에칭, 반응성 이온 에칭(RIE), 중성 빔 에칭(NBE) 등 또는 이들의 조합과 같은 임의의 허용 가능한 에칭 프로세스일 수 있다. 에칭은 이방성일 수 있다.
후속하여, 도 22에 예시된 바와 같이, 유전체 층(98A)은 트렌치(86) 내의 OS 층(92)의 하단 부분을 통해 에칭하도록 에칭 마스크로서 사용될 수 있다. 에칭은 습식 또는 건식 에칭, 반응성 이온 에칭(RIE), 중성 빔 에칭(NBE) 등 또는 이들의 조합과 같은 임의의 허용 가능한 에칭 프로세스일 수 있다. 에칭은 이방성일 수 있다. 에칭은 아래 놓인 FE 재료(90)를 크게 에칭하지 않고 OS 층(92)의 재료를 에칭하는 에천트를 사용하는 것을 포함할 수 있다. 따라서, FE 재료(90)는 OS 층(92) 을 패터닝하는 동안 에칭 정지 층으로서 작용할 수 있다. OS 층(92)을 에칭하는 것은 트렌치(86)의 하단 표면 상의 FE 재료(90)의 부분을 노출시킬 수 있다. 따라서, 트렌치(86)의 대향하는 측벽들 상에 있는 OS 층(92)의 부분은 서로 분리될 수 있으며, 이는 메모리 어레이(200)(도 1a 참조)의 메모리 셀(202) 사이의 격리를 향상시킨다.
도 23에서, 추가적인 유전체 재료(98B)가 트렌치(86)의 남아있는 부분을 채우도록 성막될 수 있다. 유전체 재료(98B)는 유전체 층(98A)과 동일한 재료 조성을 가질 수 있으며, 같은 프로세스를 사용하여 형성된다. 유전체 재료(98B) 및 유전체 층(98A)은 이후 본원에서 총괄적으로 유전체 재료(98)로 지칭될 수 있다.
도 24에서, 그 후 제거 프로세스가 유전체 재료(98), OS 층(92) 및 FE 재료(90)에 적용되어 다층 스택(58) 위의 초과 재료를 제거한다. 일부 실시예에서, 화학 기계적 연마(CMP), 에치-백 프로세스, 이들의 조합과 같은 평탄화 프로세스가 이용될 수 있다. 평탄화 프로세스는 다층 스택(58)을 노출시켜 다층 스택(58) 및 IMD(70)의 상단 표면이 평탄화 프로세스가 완료된 후에 동일 레벨이 되도록 한다. 도 25는 도 24에 도시된 구조물의 대응하는 하향식 도면을 예시한다.
도 26a 내지 29b는 메모리 어레이(200)에서 도전성 라인(106 및 108)(예를 들어, 소스 라인 및 비트 라인)을 제조하는 중간 단계를 도시한다. 도전성 라인(106 및 108)은 도전성 라인(72)에 수직인 방향을 따라 연장되어, 메모리 어레이(200)의 개별 셀이 판독 및 기입 동작을 위해 선택될 수 있도록 할 수 있다. 도 26a 내지 도 27b에서, "A"로 끝나는 도면은 하향식 도면을 예시하고, "B"로 끝나는 도면은 도 1a의 라인 D-D'을 따른 대응하는 단면도를 예시한다. 도 28a 내지 도 29b에서, "A"로 끝나는 도면은 하향식 도면을 예시하고, "B"로 끝나는 도면은 도 1a의 라인 C-C'을 따른 대응하는 단면도를 예시한다.
도 26a 및 26b에서, 트렌치(100)는 OS 층(92) 및 유전체 재료(98)를 통해 패터닝된다. 도 26b는 도 26a의 라인 D-D'의 하향식 도면을 도시한다. 트렌치(100)를 패터닝하는 것은 예를 들어 포토리소그래피와 에칭의 조합을 통해 수행될 수 있다. 트렌치(100)는 FE 재료(90)의 대향하는 측벽 사이에 배치될 수 있고, 트렌치(100)는 메모리 어레이(200)(도 2 참조)에서 메모리 셀의 인접한 스택을 물리적으로 분리시킬 수 있다.
도 27a 및 도 27b에서, 유전체 재료(102)는 트렌치(100) 내에 증착되어 트렌치(100)를 채운다. 도 27b는 도 27a의 라인 D-D'의 하향식 도면을 도시한다. 유전체층(102)은 예를 들어 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 등을 포함할 수 있고, 예를 들어 CVD, PVD, ALD, PECVD 등에 의해 성막될 수 있다. 유전체 층(102)은 OS 층(92) 위의 트렌치(86)의 측벽 및 하단 표면을 따라 연장될 수 있다. 증착 후에, 평탄화 프로세스(예를 들어, CMP, 에치-백 등)가 수행되어 유전체 재료(102)의 초과 부분을 제거할 수 있다. 결과적인 구조물에서, 다층 스택(58), FE 재료(90), OS 층(92) 및 유전체 재료(102)의 상단 표면은 실질적으로 동일 레벨일 수 있다(예를 들어, 프로세스 변동 내에서). 일부 실시예에서, 유전체 재료(98 및 102)의 재료는 이들이 서로에 대해 선택적으로 에칭될 수 있도록 선택될 수 잇다. 예를 들어, 일부 실시예에서, 유전체 재료(98)는 산화물이고 유전체 재료(102)는 질화물이며, 유전체 재료(98)는 질화물이고 유전체 재료(102)는 산화물이다. 다른 재료도 또한 가능하다.
도 28a 및 도 28b에서, 트렌치(104)는 도전성 라인(106 및 108)에 대해 패터닝된다. 도 28b는 도 28a의 라인 C-C'의 하향식 도면을 도시한다. 트렌치(104)는 예를 들어 포토 리소그래피 및 에칭의 조합을 사용하여 유전체 재료(98)를 패터닝함으로써 패터닝된다. 에칭은 습식 또는 건식 에칭, 반응성 이온 에칭(RIE), 중성 빔 에칭(NBE) 등, 또는 이들의 조합과 같은 임의의 허용가능한 에칭 프로세스일 수 있다. 에칭은 이방성일 수 있다. 에칭 프로세스는 유전체 재료(102)를 크게 에칭하지 않고 유전체 재료(98)를 에칭하는 에천트를 사용할 수 있다. 트렌치(104)의 패턴은 도전성 라인(106 및 108)에 대응할 수 있다(도 29a 및 도 29b 참조). 예를 들어, 유전체 재료(98)의 일부분이 각 쌍의 트렌치(104) 사이에 남아있을 수 있고, 유전체 재료(102)는 인접한 쌍의 트렌치(104) 사이에 배치될 수 있다.
도 29a 및 도 29b에서 트렌치(104)가 도전성 라인(106 및 108)을 형성하기 위해 도전성 재료로 채워진다. 도 29c는 도 29a의 라인 C-C'의 하향식 도면을 도시한다. 도전성 라인(106 및 108)은 각각 구리, 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 텅스텐, 루테늄, 알루미늄, 이들의 조합 등과 같은 도전성 재료를 포함할 수 있으며, 이들은 각각 예를 들어 CVD, ALD, PVD, PECVD 등을 사용하여 형성될 수 있다. 도전성 라인(106) 및 도전성 재료가 성막된 후에, 도전성 재료의 초과 부분을 제거하기 위해 평탄화(예를 들어, CMP, 에치-백 등)가 수행되어 도전성 라인(106 및 108)을 형성할 수 있다. 결과적인 구조물에서, 다층 스택(58), FE 재료(90), OS 층(92), 도전성 라인(106) 및 도전성 라인(108)의 상단 표면은 실질적으로 같은 레벨일 수있다(예를 들어, 프로세스 변동 내에서). 도전성 라인(106)은 메모리 어레이의 비트 라인에 대응할 수 있고, 도전성 라인 (108)은 메모리 어레이 (200)의 소스 라인에 대응할 수 있다. 또한 도전성 라인(106 및 108)은 메모리 어레이(200)의 TFT를 위한 소스/드레인 전극을 제공할 수 있다. 도 29b는 도전성 라인(106)만을 보여주는 단면도를 도시하고 있지만, 도전성 라인(108)의 단면도는 유사할 수 있다.
도 29a 및 도 29b에 의해 도시된 바와 같이, 유전체 재료(98)는 도전성 라인(106 및 108) 중 인접한 것들 사이에 배치될 수 있다. 또한, 도전성 라인(106 및 108)의 쌍은 유전체 재료(102)에 의해 분리된다. 도전성 라인(106 및 108)의 길이방향 축은 각각 기판(50)의 주 표면에 수직인 방향으로 수직으로 연장된다. 이러한 방식으로, 메모리 어레이(200)의 적층된 메모리 셀(202)의 수직 열은 공통 도전성 라인(106) 및 공통 도전성 라인(108)을 공유할 수 있다.
이어서, 도 30a, 도 30b 및 도 30c에 도시된 바와 같이, 도전성 라인(72), 도전성 라인(106) 및 도전성 라인(108) 각각에 콘택이 만들어질 수 있다. 도 30a는 메모리 어레이(200)의 사시도를 도시하고; 도 30b는 메모리 어레이(200)의 하향식 도면을 도시라고; 도 30c는 도 30a의 라인 30C'-30C'을 따른 디바이스 및 아래 놓인 기판의 단면도를 도시한다. 일부 실시예에서, 도전성 콘택(110)은 각각의 도전성 라인(72)의 노출된 표면에 만들어질 수 있다. 도전성 라인(72)의 계단 형상은 도전성 콘택(110)을 랜딩시키기 위한 각각의 도전성 라인(72) 상에 표면을 제공할 수 있다. 도전성 콘택(112 및 114)은 각각 도전성 라인(106 및 108)의 상단 표면에 만들어질 수 있다. 도전성 콘택(110, 112 및 114)은 각각 도전성 라인(116A, 116B 및 116C)에 전기적으로 접속될 수 있으며, 이는 메모리 어레이를 반도체 다이의 아래 놓인 능동 디바이스 및/또는 신호, 전력 및 접지 라인에 접속시킨다. 예를 들어, 도전성 비아(118)는 IMD(70)를 통해 연장되어 도전성 라인(116C)을 상호접속 구조물(220)의 아래 놓인 회로 및 기판(50) 상의 능동 디바이스에 전기적으로 접속시킬 수 있다. 대안적인 실시예에서, 전력 라인을 메모리 어레이로 라우팅하는 것 및/또는 전력 라인을 메모리 어레이로부터 라우팅시키는 것은 상호접속 구조물(220)에 추가하여 또는 대신에 메모리 어레이(200) 위에 형성된 상호접속 구조물에 의해 제공될 수 있다. 따라서, 3층 반도체 채널 영역을 갖는 메모리 어레이(200)가 완성될 수 있다. 3층 반도체 채널 영역은 기판(50)의 상단 표면에서 능동 디바이스 위의 상호접속 구조물에 배치될 수 있다.
도 2 내지 도 30c의 실시예가 도전성 라인(106 및 108)에 대한 특정 패턴을 예시하고 있지만, 다른 구성이 또한 가능하다. 예를 들어, 이러한 실시예에서, 어레이의 동일한 행에 있는 도전성 라인(106 및 108)은 모두 서로 정렬된다. 일부 실시예에서, 행 내의 도전성 라인(106 및 108)은 도 31a 및 도 31b에 도시된 바와 같이 엇갈린(staggered) 패턴을 갖는다. 도 31a는 하향식 도면을 도시하고, 도 31b는도 31a의 라인 C-C'를 따른 단면도를 도시한다. 도 31a 및 도 31b에서, 동일한 참조 번호는 도 2 내지 30의 요소와 동일한 프로세스에 의해 형성된 동일한 요소를 나타낸다.
또한, 도 2 내지 도 30의 실시예는 OS 층(92)이 3 개의 개별적으로 형성된 층(예를 들어, 하단 OS 층(92A), 중간 OS 층(92B) 및 상단 OS 층(92C))을 갖는 것을 도시하지만, 다른 실시 예에서 OS 층(92)은 도 32a 및 도 32b에 도시된 바와 같이 구배 반도체 요소(예를 들어, 인듐) 농도를 갖는 단층일 수 있다.
도 32a 및 도 32b에서, 동일한 참조 번호는 도 2 내지 도 30의 요소와 동일한 프로세스에 의해 형성된 동일한 요소를 나타낸다. 그러나, OS 층(92)은 구배 인듐 농도를 갖는 단층(예를 들어, 임의의 내부 계면이 없음)이다. 예를 들어, OS 층(92)의 인듐 농도는 화살표(120) 방향(예를 들어, 도전성 라인(72)으로부터 도전성 라인(106 및 108)을 향해 멀어지는 방향)으로 지속적으로 감소될 수 있다. 인듐 농도의 변화는 도 33의 그래프의 라인(122)에 의해 추가로 예시되며, 이는 라인(120)을 따라 OS 층(92)에서의 인듐 농도를 예시한다.
일부 실시예에서, 영역(92D)(예를 들어, FE 재료(90)에 인접하는 영역)의 인듐 농도는 OS 층(92)의 최대 인듐 농도의 약 40 % 내지 약 100 %의 범위 내일 수 있고; OS 층(92E)(예를 들어, OS 층(92)의 중간)의 인듐 농도는 OS 층(92)의 최대 인듐 농도의 약 20 % 내지 약 40 %의 범위 내일 수 있고; 영역(92F)(예를 들어, 도전성 라인(106 및 108)에 인접한 영역)의 인듐 농도는 OS 층(92)의 최대 인듐 농도의 20 % 미만일 수 있다. 일부 실시예에서, OS 층(92)의 최대 인듐 농도는 약 10 % 내지 약 45 %의 범위 내일 수 있고, OS 층(92)의 전하 캐리어 농도는 약 1014 cm-3 내지 1020 cm-3의 범위 내일 수 있다. 상기 범위 내에서 OS 층(92)에 3 개의 개별 인듐 농도 영역을 제공함으로써, TFT의 채널 영역에서의 이동성 증가, 전자 산란의 감소 및 제조 결함의 감소와 같은 상기 설명된 이점이 성취될 수 있다.
다양한 실시예는 수직으로 적층된 복수의 메모리 셀을 가진 3D 메모리 어레이를 제공한다. 각 TFT는 채널 영역에 FE 게이트 유전체 층 및 OS 재료를 더 포함한다. OS 재료는 각각 상이한 반도체 요소(예를 들어, 인듐) 농도를 갖는 적어도 3 개의 상이한 영역을 가질 수 있다. 반도체 요소의 적어도 3 개의 상이한 농도를 제공함으로써 이점이 달성될 수 있다. 예를 들어, 게이트 전극에 가장 가까운 반도체 요소의 상대적으로 높은 농도를 가짐으로써 채널 영역에서 캐리어 이동도가 증가될 수 있다. 채널 영역의 노출된 표면에서 반도체 요소의 상대적 낮은 농도를 가짐으로써, 채널 영역의 후속 처리 동안의 프로세스 데미지가 감소될 수 있다. 또한, 채널 영역의 상대적 낮은 농도 영역과 상대적 높은 농도 영역 사이에 중간 농도의 반도체 요소를 포함함으로써 낮은 농도 영역과 높은 농도 영역 사이의 전자 산란이 감소될 수 있다. 따라서, 제조 결함을 감소시킴으로써 디바이스 성능이 향상될 수 있다.
일부 실시예에서, 메모리 셀은, 워드 라인과 접촉하는 강유전체(ferroelectric; FE) 재료; 및 소스 라인 및 비트 라인과 접촉하는 산화물 반도체(oxide semiconductor; OS) 층을 포함하고, FE 재료는 OS 층과 워드 라인 사이에 배치된다. OS 층은 FE 재료에 인접한 제 1 영역 - 제 1 영역은 제 1 농도의 반도체 요소를 가짐 - , 소스 라인에 인접한 제 2 영역 - 제 2 영역은 제 2 농도의 반도체 요소를 가짐 - ; 및 제 1 영역과 제 2 영역 사이의 제 3 영역 - 제 3 영역은 제 2 농도보다 크고 제 1 농도보다 작은 제 3 농도의 반도체 요소를 가짐 - 을 포함한다. 선택적으로, 일부 실시예에서, 반도체 요소는 인듐이다. 선택적으로, 일부 실시예에서, OS 층은 InxGayZnzMO를 포함하고, 여기서 M은 Ti, Al, Ag, Si, 또는 Sn이고, x, y, 및 z는 각각 0과 1 사이의 수이다. 선택적으로, 일부 실시예에서, 제 1 영역은 제 1 반도체 층에 있고, 제 2 영역은 제 2 반도체 층에 있고, 제 3 영역은 제 3 반도체 층에 있고, 제 3 반도체 층은 제 1 반도체 층과 계면을 형성하고, 제 3 반도체 층은 제 2 반도체 층과 계면을 형성한다. 선택적으로, 일부 실시예에서, 제 2 반도체 층은 소스 라인으로부터 비트 라인까지 연속적으로 연장된다. 선택적으로, 일부 실시예에서, OS 층은 FE 재료로부터 멀어지는 방향으로 감소되는 반도체 요소의 구배 농도를 가진다. 선택적으로, 일부 실시예에서, 워드 라인의 길이방향 축은 반도체 기판의 주 표면에 평행하게 연장되고, 소스 라인의 길이방향 축은 반도체 기판의 주 표면에 수직으로 연장되고, 비트 라인의 길이방향 축은 반도체 기판의 주 표면에 수직으로 연장된다.
일부 실시예에서, 디바이스는, 반도체 기판; 반도체 기판 위의 제 1 메모리 셀; 및 제 1 메모리 셀 위의 제 2 메모리 셀을 포함하고, 제 1 메모리 셀은 제 1 박막 트랜지스터를 포함하고, 제 1 박막 트랜지스터는, 제 1 워드 라인과 접촉하는 상기 강유전체 재료의 제 1 부분, 및 제 1 채널 영역을 포함하고, 제 1 채널 영역은, 강유전체 재료와 접촉하는 제 1 반도체 층의 제 1 부분, 제 1 반도체 층과 접촉하는 제 2 반도체 층의 제 1 부분 - 제 1 반도체 층의 인듐 농도는 제 2 반도체 층의 인듐 농도보다 더 높음 - , 및 제 2 반도체 층과 접촉하는 제 3 반도체 층의 제 1 부분 - 제 3 반도체 층의 인듐 농도는 제 2 반도체 층의 인듐 농도보다 낮음 - 을 포함한다. 선택적으로, 일부 실시예에서, 상기 제 3 반도체 층은 소스 라인 및 비트 라인과 접촉한다. 선택적으로, 일부 실시예에서, 소스 라인 및 비트 라인은 각각 반도체 기판의 주 표면에 수직인 방향을 따라 연장된다. 선택적으로, 일부 실시예에서, 제 2 메모리 셀은, 강유전체 재료의 제 2 부분 - 강유전체 재료의 상기 제 2 부분은 제 1 워드 라인과는 상이한 제 2 워드 라인과 접촉함 - ; 및 제 2 채널 영역으로서, 제 1 반도체 층의 제 2 부분, 제 2 반도체 층의 제 2 부분, 및 제 3 반도체 층의 제 2 부분을 포함하는, 상기 제 2 채널 영역을 포함한다. 선택적으로, 일부 실시예에서, 제 2 워드 라인은 제 1 워드 라인 위에 배치되고, 유전체 재료는 제 2 워드 라인을 제 1 워드 라인으로부터 분리시킨다. 선택적으로, 일부 실시예에서, 제 1 워드 라인은 상기 제 2 워드 라인보다 길다. 선택적으로, 일부 실시예에서, 제 1 반도체 층, 제 2 반도체 층, 및 제 3 반도체 층은 각각 제 1 채널 영역으로부터 제 2 채널 영역으로 연속적으로 연장된다. 선택적으로, 일부 실시예에서, 제 1 반도체 층, 제 2 반도체 층, 및 제 3 반도체 층은 각각 InxGayZnzMO를 포함하고, 여기서 M은 Ti, Al, Ag, Si, 또는 Sn이고, x, y, 및 z는 각각 0과 1 사이의 수이다.
일부 실시예에서, 방법은, 제 1 도전성 라인을 통해 연장되는 제 1 트렌치를 패터닝하는 단계; 제 1 트렌치의 측벽 및 하단 표면을 따라 강유전체(ferroelectric; FE) 재료를 성막하는 단계; 및 FE 재료 위에 산화물 반도체(oxide semiconductor; OS) 층을 성막하는 단계 - OS 층은 제 1 트렌치의 측벽 및 하단 표면을 따라 연장됨 - 를 포함하고, OS 층을 성막하는 단계는, FE 재료 위에 OS 층의 제 1 영역을 성막하는 단계 - 제 1 영역은 제 1 농도의 반도체 요소를 가짐 - , OS 층의 제 1 영역 위에 OS 층의 제 2 영역을 성막하는 단계 - 제 2 영역은 제 1 농도의 반도체 요소보다 낮은 제 2 농도의 반도체 요소를 가짐 - , 및 OS 층의 제 2 영역 위에 OS 층의 제 3 영역을 성막하는 단계 - 제 3 영역은 제 2 농도보다 낮은 제 3 농도의 반도체 요소를 가짐 - 를 포함한다. 선택적으로, 일부 실시예에서, 반도체 요소는 인듐이다. 선택적으로, 일부 실시예에서, 방법은, 제 1 트렌치의 하단 표면을 따라 OS 층의 일부분을 제거하는 단계; 및 제 1 트렌치의 남아있는 부분을 제 1 유전체 재료로 채우는 단계를 더 포함한다. 선택적으로, 일부 실시예에서, 방법은, 제 1 유전체 재료에서 2 트렌치를 패터닝하는 단계; 제 2 트렌치를 제 2 유전체 재료로 채우는 단계; 제 2 유전체 재료에서 제 3 트렌치 및 제 4 트렌치를 패터닝하는 단계; 및 제 3 트렌치 및 제 4 트렌치를 도전성 재료로 채워 제 2 도전성 라인 및 제 3 도전성 라인을 형성하는 단계를 더 포함한다. 선택적으로, 일부 실시예에서, 제 1 트렌치는 다층 스택을 통하여 연장되고, 다층 스택은 복수의 적층 도전성 라인을 포함하고, 복수의 적층 도전성 라인은 제 1 도전성 라인을 포함한다.
전술한 내용은 본 기술분야의 당업자들이 본 개시물의 양상들을 더 잘 이해할 수 있도록 일부 실시예들의 피처들을 약술하였다. 본 기술분야의 당업자들은 본 명세서에서 소개한 실시예들의 동일한 목적들을 수행하고 그리고/또는 동일한 장점들을 달성하기 위한 다른 프로세스들 및 구조들을 설계하거나 또는 수정하기 위한 기초로서 본 개시내용을 자신들이 손쉽게 이용할 수 있다는 것을 인식해야 한다. 본 기술분야의 당업자들은 또한 이와 같은 등가적 구성들은 본 개시물의 사상과 범위를 벗어나지 않으며, 본 개시물의 사상과 범위를 벗어나지 않고 당업자들이 다양한 변경들, 대체들, 및 개조들을 본 발명에서 행할 수 있음을 알아야 한다.
실시예
1. 메모리 셀에 있어서,
워드 라인과 접촉하는 강유전체(ferroelectric; FE) 재료; 및
소스 라인 및 비트 라인과 접촉하는 산화물 반도체(oxide semiconductor; OS) 층
을 포함하고,
상기 FE 재료는 상기 OS 층과 상기 워드 라인 사이에 배치되고, 상기 OS 층은,
상기 FE 재료에 인접한 제 1 영역 - 상기 제 1 영역은 제 1 농도의 반도체 요소를 가짐 - ,
상기 소스 라인에 인접한 제 2 영역 - 상기 제 2 영역은 제 2 농도의 상기 반도체 요소를 가짐 - ; 및
상기 제 1 영역과 상기 제 2 영역 사이의 제 3 영역 - 상기 제 3 영역은 상기 제 2 농도보다 크고 상기 제 1 농도보다 작은 제 3 농도의 상기 반도체 요소를 가짐 - 을 포함하는 것인, 메모리 셀.
2. 제 1 항에 있어서, 상기 반도체 요소는 인듐인 것인, 메모리 셀.
3. 제 2 항에 있어서, 상기 OS 층은 InxGayZnzMO를 포함하고, 여기서 M은 Ti, Al, Ag, Si, 또는 Sn이고, x, y, 및 z는 각각 0과 1 사이의 수인 것인, 메모리 셀.
4. 제 1 항에 있어서, 상기 제 1 영역은 제 1 반도체 층에 있고, 상기 제 2 영역은 제 2 반도체 층에 있고, 상기 제 3 영역은 제 3 반도체 층에 있고, 상기 제 3 반도체 층은 상기 제 1 반도체 층과 계면을 형성하고, 상기 제 3 반도체 층은 상기 제 2 반도체 층과 계면을 형성하는 것인, 메모리 셀.
5. 제 4 항에 있어서, 상기 제 2 반도체 층은 상기 소스 라인으로부터 상기 비트 라인까지 연속적으로 연장되는 것인, 메모리 셀.
6. 제 1 항에 있어서, 상기 OS 층은 상기 FE 재료로부터 멀어지는 방향으로 감소되는 상기 반도체 요소의 구배 농도를 갖는 것인, 메모리 셀.
7. 제 1 항에 있어서, 상기 워드 라인의 길이방향 축은 반도체 기판의 주 표면에 평행하게 연장되고, 상기 소스 라인의 길이방향 축은 상기 반도체 기판의 주 표면에 수직으로 연장되고, 상기 비트 라인의 길이방향 축은 상기 반도체 기판의 주 표면에 수직으로 연장되는 것인, 메모리 셀.
8. 디바이스에 있어서,
반도체 기판;
상기 반도체 기판 위의 제 1 메모리 셀; 및
상기 제 1 메모리 셀 위의 제 2 메모리 셀
을 포함하고,
상기 제 1 메모리 셀은 제 1 박막 트랜지스터를 포함하고, 상기 제 1 박막 트랜지스터는,
제 1 워드 라인과 접촉하는 강유전체 재료의 제 1 부분, 및
제 1 채널 영역을 포함하고,
상기 제 1 채널 영역은,
상기 강유전체 재료와 접촉하는 제 1 반도체 층의 제 1 부분,
상기 제 1 반도체 층과 접촉하는 제 2 반도체 층의 제 1 부분 - 상기 제 1 반도체 층의 인듐 농도는 상기 제 2 반도체 층의 인듐 농도보다 더 높음 - , 및
상기 제 2 반도체 층과 접촉하는 제 3 반도체 층의 제 1 부분 - 상기 제 3 반도체 층의 인듐 농도는 상기 제 2 반도체 층의 인듐 농도보다 낮음 - 을 포함하는 것인, 디바이스.
9. 제 8 항에 있어서, 상기 제 3 반도체 층은 소스 라인 및 비트 라인과 접촉하는 것인, 디바이스.
10. 제 9 항에 있어서, 상기 소스 라인 및 상기 비트 라인은 각각 상기 반도체 기판의 주 표면에 수직인 방향을 따라 연장되는 것인, 디바이스.
11. 제 8 항에 있어서, 상기 제 2 메모리 셀은,
상기 강유전체 재료의 제 2 부분 - 상기 강유전체 재료의 상기 제 2 부분은 상기 제 1 워드 라인과는 상이한 제 2 워드 라인과 접촉함 - ; 및
제 2 채널 영역으로서,
상기 제 1 반도체 층의 제 2 부분,
상기 제 2 반도체 층의 제 2 부분, 및
상기 제 3 반도체 층의 제 2 부분을 포함하는, 상기 제 2 채널 영역을 포함하는 것인, 디바이스.
12. 제 11 항에 있어서, 상기 제 2 워드 라인은 상기 제 1 워드 라인 위에 배치되고, 유전체 재료는 상기 제 2 워드 라인을 상기 제 1 워드 라인으로부터 분리시키는 것인, 디바이스.
13. 제 11 항에 있어서, 상기 제 1 워드 라인은 상기 제 2 워드 라인보다 긴 것인, 디바이스.
14. 제 11 항에 있어서, 상기 제 1 반도체 층, 상기 제 2 반도체 층, 및 상기 제 3 반도체 층은 각각 상기 제 1 채널 영역으로부터 상기 제 2 채널 영역으로 연속적으로 연장되는 것인, 디바이스.
15. 제 8 항에 있어서, 상기 제 1 반도체 층, 상기 제 2 반도체 층, 및 상기 제 3 반도체 층은 각각 InxGayZnzMO를 포함하고, 여기서 M은 Ti, Al, Ag, Si, 또는 Sn이고, x, y, 및 z는 각각 0과 1 사이의 수인 것인, 디바이스.
16. 방법에 있어서,
제 1 도전성 라인을 통해 연장되는 제 1 트렌치를 패터닝하는 단계;
상기 제 1 트렌치의 측벽 및 하단 표면을 따라 강유전체(ferroelectric; FE) 재료를 성막하는 단계; 및
상기 FE 재료 위에 산화물 반도체(oxide semiconductor; OS) 층을 성막하는 단계 - 상기 OS 층은 제 1 트렌치의 측벽 및 하단 표면을 따라 연장됨 -
를 포함하고,
상기 OS 층을 성막하는 단계는,
상기 FE 재료 위에 상기 OS 층의 제 1 영역을 성막하는 단계 - 상기 제 1 영역은 제 1 농도의 반도체 요소를 가짐 - ,
상기 OS 층의 제 1 영역 위에 상기 OS 층의 제 2 영역을 성막하는 단계 - 상기 제 2 영역은 상기 제 1 농도의 반도체 요소보다 낮은 제 2 농도의 상기 반도체 요소를 가짐 - , 및
상기 OS 층의 제 2 영역 위에 상기 OS 층의 제 3 영역을 성막하는 단계 - 상기 제 3 영역은 상기 제 2 농도보다 낮은 제 3 농도의 상기 반도체 요소를 가짐 - 를 포함하는 것인, 방법.
17. 제 16 항에 있어서, 상기 반도체 요소는 인듐인 것인, 방법.
18. 제 16 항에 있어서,
상기 제 1 트렌치의 하단 표면을 따라 상기 OS 층의 일부분을 제거하는 단계; 및
상기 제 1 트렌치의 남아있는 부분을 제 1 유전체 재료로 채우는 단계
를 더 포함하는, 방법.
19. 제 18 항에 있어서,
상기 제 1 유전체 재료에서 2 트렌치를 패터닝하는 단계;
상기 제 2 트렌치를 제 2 유전체 재료로 채우는 단계;
상기 제 2 유전체 재료에서 제 3 트렌치 및 제 4 트렌치를 패터닝하는 단계; 및
상기 제 3 트렌치 및 상기 제 4 트렌치를 도전성 재료로 채워 제 2 도전성 라인 및 제 3 도전성 라인을 형성하는 단계
를 더 포함하는, 방법.
20. 제 18 항에 있어서, 상기 제 1 트렌치는 다층 스택을 통하여 연장되고, 상기 다층 스택은 복수의 적층 도전성 라인을 포함하고, 상기 복수의 적층 도전성 라인은 상기 제 1 도전성 라인을 포함하는 것인, 방법.

Claims (10)

  1. 메모리 셀에 있어서,
    워드 라인과 접촉하는 강유전체(ferroelectric; FE) 재료; 및
    소스 라인 및 비트 라인과 접촉하는 산화물 반도체(oxide semiconductor; OS) 층
    을 포함하고,
    상기 FE 재료는 상기 OS 층과 상기 워드 라인 사이에 배치되고, 상기 OS 층은,
    상기 FE 재료에 인접한 제 1 영역 - 상기 제 1 영역은 제 1 농도의 반도체 요소를 가짐 - ,
    상기 소스 라인에 인접한 제 2 영역 - 상기 제 2 영역은 제 2 농도의 상기 반도체 요소를 가짐 - ; 및
    상기 제 1 영역과 상기 제 2 영역 사이의 제 3 영역 - 상기 제 3 영역은 상기 제 2 농도보다 크고 상기 제 1 농도보다 작은 제 3 농도의 상기 반도체 요소를 가짐 -
    을 포함하고,
    상기 워드 라인의 길이방향 축은 반도체 기판의 주 표면에 평행하게 연장되고, 상기 소스 라인의 길이방향 축은 상기 반도체 기판의 주 표면에 수직으로 연장되고, 상기 비트 라인의 길이방향 축은 상기 반도체 기판의 주 표면에 수직으로 연장되는 것인, 메모리 셀.
  2. 제 1 항에 있어서, 상기 반도체 요소는 인듐인 것인, 메모리 셀.
  3. 제 2 항에 있어서, 상기 OS 층은 InxGayZnzMO를 포함하고, 여기서 M은 Ti, Al, Ag, Si, 또는 Sn이고, x, y, 및 z는 각각 0과 1 사이의 수인 것인, 메모리 셀.
  4. 제 1 항에 있어서, 상기 제 1 영역은 제 1 반도체 층에 있고, 상기 제 2 영역은 제 2 반도체 층에 있고, 상기 제 3 영역은 제 3 반도체 층에 있고, 상기 제 3 반도체 층은 상기 제 1 반도체 층과 계면을 형성하고, 상기 제 3 반도체 층은 상기 제 2 반도체 층과 계면을 형성하는 것인, 메모리 셀.
  5. 제 4 항에 있어서, 상기 제 2 반도체 층은 상기 소스 라인으로부터 상기 비트 라인까지 연속적으로 연장되는 것인, 메모리 셀.
  6. 제 1 항에 있어서, 상기 OS 층은 상기 FE 재료로부터 멀어지는 방향으로 감소되는 상기 반도체 요소의 구배 농도를 갖는 것인, 메모리 셀.
  7. 삭제
  8. 디바이스에 있어서,
    반도체 기판;
    상기 반도체 기판 위의 제 1 메모리 셀; 및
    상기 제 1 메모리 셀 위의 제 2 메모리 셀
    을 포함하고,
    상기 제 1 메모리 셀은 제 1 박막 트랜지스터를 포함하고, 상기 제 1 박막 트랜지스터는,
    제 1 워드 라인과 접촉하는 강유전체 재료의 제 1 부분, 및
    제 1 채널 영역을 포함하고,
    상기 제 1 채널 영역은,
    상기 강유전체 재료와 접촉하는 제 1 반도체 층의 제 1 부분,
    상기 제 1 반도체 층과 접촉하는 제 2 반도체 층의 제 1 부분 - 상기 제 1 반도체 층의 인듐 농도는 상기 제 2 반도체 층의 인듐 농도보다 더 높음 - , 및
    상기 제 2 반도체 층과 접촉하는 제 3 반도체 층의 제 1 부분 - 상기 제 3 반도체 층의 인듐 농도는 상기 제 2 반도체 층의 인듐 농도보다 낮음 - 을 포함하는 것인, 디바이스.
  9. 방법에 있어서,
    제 1 도전성 라인을 통해 연장되는 제 1 트렌치를 패터닝하는 단계;
    상기 제 1 트렌치의 측벽 및 하단 표면을 따라 강유전체(ferroelectric; FE) 재료를 성막하는 단계; 및
    상기 FE 재료 위에 산화물 반도체(oxide semiconductor; OS) 층을 성막하는 단계 - 상기 OS 층은 제 1 트렌치의 측벽 및 하단 표면을 따라 연장됨 -
    를 포함하고,
    상기 OS 층을 성막하는 단계는,
    상기 FE 재료 위에 상기 OS 층의 제 1 영역을 성막하는 단계 - 상기 제 1 영역은 제 1 농도의 반도체 요소를 가짐 - ,
    상기 OS 층의 제 1 영역 위에 상기 OS 층의 제 2 영역을 성막하는 단계 - 상기 제 2 영역은 상기 반도체 요소의 제 1 농도보다 낮은 제 2 농도의 상기 반도체 요소를 가짐 - , 및
    상기 OS 층의 제 2 영역 위에 상기 OS 층의 제 3 영역을 성막하는 단계 - 상기 제 3 영역은 상기 제 2 농도보다 낮은 제 3 농도의 상기 반도체 요소를 가짐 - 를 포함하는 것인, 방법.
  10. 제 9 항에 있어서,
    상기 제 1 트렌치의 하단 표면을 따라 상기 OS 층의 일부분을 제거하는 단계; 및
    상기 제 1 트렌치의 남아있는 부분을 제 1 유전체 재료로 채우는 단계
    를 더 포함하는, 방법.
KR1020210034068A 2020-05-29 2021-03-16 메모리 어레이 채널 영역 KR102667247B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063031646P 2020-05-29 2020-05-29
US63/031,646 2020-05-29
US17/150,522 US11710790B2 (en) 2020-05-29 2021-01-15 Memory array channel regions
US17/150,522 2021-01-15

Publications (2)

Publication Number Publication Date
KR20210148868A KR20210148868A (ko) 2021-12-08
KR102667247B1 true KR102667247B1 (ko) 2024-05-20

Family

ID=77570662

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210034068A KR102667247B1 (ko) 2020-05-29 2021-03-16 메모리 어레이 채널 영역

Country Status (5)

Country Link
US (1) US20230317848A1 (ko)
KR (1) KR102667247B1 (ko)
CN (1) CN113380822A (ko)
DE (1) DE102021101243A1 (ko)
TW (1) TWI807270B (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11758735B2 (en) * 2021-02-25 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Common-connection method in 3D memory
US11765906B2 (en) * 2021-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Company Ltd. Memory devices with shorten ferroelectric segments and methods of manufacturing thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101758538B1 (ko) * 2012-08-31 2017-07-14 가부시키가이샤 고베 세이코쇼 박막 트랜지스터 및 표시 장치
WO2019152226A1 (en) 2018-02-02 2019-08-08 Sunrise Memory Corporation Three-dimensional vertical nor flash thin-film transistor strings
US20200026990A1 (en) 2018-07-17 2020-01-23 Macronix International Co., Ltd. Neural network system
US20200075631A1 (en) 2018-09-04 2020-03-05 Sandisk Technologies Llc Three dimensional ferroelectric memory

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120006218A (ko) * 2010-07-12 2012-01-18 한국전자통신연구원 이중 게이트 구조의 비휘발성 메모리 트랜지스터
WO2012014786A1 (en) * 2010-07-30 2012-02-02 Semiconductor Energy Laboratory Co., Ltd. Semicondcutor device and manufacturing method thereof
CN103081092B (zh) * 2010-08-27 2016-11-09 株式会社半导体能源研究所 存储器件及半导体器件
JP5977523B2 (ja) * 2011-01-12 2016-08-24 株式会社半導体エネルギー研究所 トランジスタの作製方法
JP6005401B2 (ja) * 2011-06-10 2016-10-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
TWI753908B (zh) * 2016-05-20 2022-02-01 日商半導體能源硏究所股份有限公司 半導體裝置、顯示裝置及電子裝置
WO2018004581A1 (en) * 2016-06-30 2018-01-04 Intel Corporation 3d nand structures including group iii-n material channels
US10937783B2 (en) * 2016-11-29 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE102016015010A1 (de) * 2016-12-14 2018-06-14 Namlab Ggmbh Integrierte Schaltung, die eine ferroelektrische Speicherzelle enthält, und ein Herstellungsverfahren dafür
US9875784B1 (en) * 2017-04-13 2018-01-23 Qualcomm Incorporated Three-dimensional (3D) ferroelectric dipole metal-oxide semiconductor ferroelectric field-effect transistor (MOSFeFET) system, and related methods and systems
US9941299B1 (en) * 2017-05-24 2018-04-10 Sandisk Technologies Llc Three-dimensional ferroelectric memory device and method of making thereof
US10068912B1 (en) * 2017-06-05 2018-09-04 Cypress Semiconductor Corporation Method of reducing charge loss in non-volatile memories
US10374041B2 (en) * 2017-12-21 2019-08-06 International Business Machines Corporation Field effect transistor with controllable resistance
US10930333B2 (en) * 2018-08-29 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded ferroelectric memory cell
US10867879B2 (en) * 2018-09-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101758538B1 (ko) * 2012-08-31 2017-07-14 가부시키가이샤 고베 세이코쇼 박막 트랜지스터 및 표시 장치
WO2019152226A1 (en) 2018-02-02 2019-08-08 Sunrise Memory Corporation Three-dimensional vertical nor flash thin-film transistor strings
US20200026990A1 (en) 2018-07-17 2020-01-23 Macronix International Co., Ltd. Neural network system
US20200075631A1 (en) 2018-09-04 2020-03-05 Sandisk Technologies Llc Three dimensional ferroelectric memory

Also Published As

Publication number Publication date
TWI807270B (zh) 2023-07-01
US20230317848A1 (en) 2023-10-05
KR20210148868A (ko) 2021-12-08
DE102021101243A1 (de) 2021-12-02
CN113380822A (zh) 2021-09-10
TW202145540A (zh) 2021-12-01

Similar Documents

Publication Publication Date Title
US11423966B2 (en) Memory array staircase structure
KR102641187B1 (ko) 3d 메모리 어레이 콘택 구조물
KR102602495B1 (ko) 메모리 어레이 격리 구조물들
US11710790B2 (en) Memory array channel regions
KR102558611B1 (ko) 메모리 어레이 접촉 구조
KR102607654B1 (ko) 메모리 어레이 소스/드레인 전극 구조
US20230317848A1 (en) Memory Array Channel Regions
US11856785B2 (en) Memory array and methods of forming same
US20230309315A1 (en) Three-Dimensional Memory Device and Method
US20230063038A1 (en) Memory Device and Method of Forming Thereof
KR20210148828A (ko) 메모리 어레이 게이트 구조물

Legal Events

Date Code Title Description
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant