KR102650744B1 - 프로세스 모듈의 서브시스템들의 액티브 피드백 제어 - Google Patents

프로세스 모듈의 서브시스템들의 액티브 피드백 제어 Download PDF

Info

Publication number
KR102650744B1
KR102650744B1 KR1020227014087A KR20227014087A KR102650744B1 KR 102650744 B1 KR102650744 B1 KR 102650744B1 KR 1020227014087 A KR1020227014087 A KR 1020227014087A KR 20227014087 A KR20227014087 A KR 20227014087A KR 102650744 B1 KR102650744 B1 KR 102650744B1
Authority
KR
South Korea
Prior art keywords
subsystems
clock
subsystem
gas
control
Prior art date
Application number
KR1020227014087A
Other languages
English (en)
Other versions
KR20220058661A (ko
Inventor
스콧 릭스
라이언 바이스
존 발코어
에릭 허드슨
라나딥 보우믹
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220058661A publication Critical patent/KR20220058661A/ko
Application granted granted Critical
Publication of KR102650744B1 publication Critical patent/KR102650744B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/08Clock generators with changeable or programmable clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/12Synchronisation of different clock signals provided by a plurality of clock generators

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판을 프로세싱하기 위해 사용된 프로세스 모듈에 커플링된 서브시스템들 사이에서 제어 신호들을 동기화하기 위한 통신 시스템이 제공된다. 서브시스템들에 커플링된 분산된 제어기가 프로세스 단계들을 개시하도록 구성되고, 단계 각각은 단계 기간을 갖는다. 분산된 클록 모듈이 클록 사이클들을 포함하는 클록 스피드를 갖는 마스터 클록을 포함하고, 클록 사이클 각각은 동기된 제어 신호들이 분산된 클록 모듈에 의해 서브시스템들로 전달되고 서브시스템들로부터 수신되는 피드백 루프에 사전-상관되는 지속기간을 갖는다. 미리 규정된 수의 클록 사이클들은 프로세스 단계들 사이에서 천이하기 (transition) 위해 대응하는 수의 피드백 루프들을 수행하기 위해 분산된 클록 모듈에 의해 할당된다. 미리 규정된 수의 클록 사이클들은 단계 기간의 분율로 제한된다.

Description

프로세스 모듈의 서브시스템들의 액티브 피드백 제어{ACTIVE FEEDBACK CONTROL OF SUBSYSTEMS OF A PROCESS MODULE}
본 실시예들은 반도체 기판 프로세싱 방법들 및 장비 툴들, 보다 구체적으로 플라즈마 프로세스 모듈의 서브시스템들을 동기화하는 (예를 들어, 동시에 그리고 동일한 레이트로 서브시스템들을 제어하고 동작시키는) 것 그리고/또는 자기 제한 반응들을 사용하지 않고 유사 (quasi) ALD (atomic layer deposition) 또는 유사 ALE (atomic layer etching) 를 수행할 때 원자 층 정밀도를 달성하기 위해 서브시스템들의 시간 지연들 또는 위상 제어를 가능하게 하는 것에 관한 것이다.
플라즈마 시스템에서, 상이한 툴 서브시스템들이 기판 상에 재료들의 증착 또는 에칭을 수행하도록 구현된다. 이들 툴 서브시스템들의 제어는 툴 서브시스템들로 제어 신호들을 전송하도록 사용된 통신 프로토콜을 통해 달성된다. 유감스럽게도, 툴 서브시스템들 간 현재 통신 프로토콜은 지연 시간 및 변동 시간을 발생시킨다. 이들 지연 시간 및 변동 시간은 종종, 복잡한 레시피 튜닝 알고리즘들에서 사용된 짧은 (예를 들어, 1 초 내지 5 초 이하) 단계 시간들을 필요로 하는 툴 서브시스템들의 정밀한 위상 및 동기화 제어에 너무 크다 (예를 들어, 레시피 단계 시간의 1 %보다 크다). 즉, 현재 통신 프로토콜은 자기 제한 반응들을 사용하지 않고 유사-ALD 또는 유사-ALE를 수행할 때 원자 층 정밀도를 달성하기 불충분하다.
본 명세서에 제공된 배경 기술은 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
이러한 맥락에서 본 개시가 발생한다.
본 실시예들은 관련 기술에서 발견된 하나 이상의 문제들을 해결하는 것, 구체적으로 플라즈마 프로세스 모듈 (PM) 의 툴 서브시스템들로의 통신을 동기화하기 위해 마스터 클록을 사용하고, 마스터 클록의 일 클록 사이클에서 툴 서브시스템들의 피드백 제어를 제공함으로써 자기 제한 반응들을 사용하지 않고 유사-ALD 또는 유사-ALE를 수행할 때 원자 층 정밀도를 달성하는 것에 관련된다.
본 개시의 실시예들은 플라즈마 프로세스 모듈의 서브시스템들 사이에서 제어 신호들을 동기화하기 위한 통신 시스템을 포함한다. 플라즈마 프로세스 모듈은 플라즈마 프로세스 모듈에 위치된 기판 위에 재료를 증착하기 위해, 예를 들어, ALD 프로세스 또는 ALE 프로세스를 수행할 때 사용된다. 시스템은 플라즈마 프로세스 모듈에 커플링된 복수의 서브시스템들을 포함한다. 복수의 서브시스템들 각각은 각각의 서브시스템 응답 시간을 포함한다. 시스템은 복수의 서브시스템들 각각에 커플링된 분산된 제어기를 포함하고, 분산된 제어기는 서브시스템 각각에 커플링된 마스터 클록 및 슬레이브 (slave) 클록들을 포함한다. 분산된 제어기는 복수의 프로세스 단계들을 개시하도록 구성되고, 프로세스 단계들 각각은 단계 기간을 갖는다. 시스템은 복수의 클록 사이클들을 포함하는 클록 스피드를 갖는 마스터 클록을 포함하는 분산된 클록 모듈을 포함한다. 클록 사이클들 각각은 동기화된 제어 신호들이 분산된 클록 모듈에 의해 복수의 서브시스템들로 전달되고 서브시스템들로부터 수신되는 피드백 루프에 사전 상관된 지속기간을 갖는다. 특히, 분산된 클록 모듈은 프로세스 단계들 사이에서 천이하기 위해 대응하는 수의 피드백 루프들을 수행하기 위해 미리 규정된 수의 클록 사이클들을 할당하도록 구성된다. 미리 규정된 수의 클록 사이클들은 단계 기간의 분율 (fraction) 로 제한되고, 단계 기간은 100 개의 분율들을 포함한다.
본 개시의 실시예들은 플라즈마 프로세스 모듈의 서브시스템들 사이의 제어 신호들의 동기화를 위한 또 다른 통신 시스템을 포함한다. 플라즈마 프로세스 모듈은 플라즈마 프로세스 모듈에 위치된 기판 위에 재료를 증착하기 위해, 예를 들어, ALD 프로세스 또는 ALE 프로세스를 수행할 때 사용된다. 시스템은 플라즈마 프로세스 모듈에 커플링된 복수의 서브시스템들을 포함한다. 서브시스템 각각은 각각의 서브시스템 응답 시간을 갖는다. 시스템은 복수의 서브시스템들 각각에 커플링된 분산된 제어기를 포함하고, 분산된 제어기는 서브시스템 각각에 커플링된 마스터 클록 및 슬레이브 클록들을 포함한다. 분산된 제어기는 복수의 프로세스 단계들을 개시하도록 구성되고, 프로세스 단계들 각각은 단계 기간을 갖는다. 이에 더하여, 분산된 제어기는 복수의 클록 사이클들을 포함하는 클록 스피드를 갖는 마스터 클록을 포함한다. 클록 사이클 각각은 동기화된 제어 신호들이 분산된 제어기에 의해 복수의 서브시스템들로 전달되고 서브시스템들로부터 수신되는 피드백 루프에 사전 상관된 지속기간을 갖는다. 특히, 분산된 제어기는 프로세스 단계들 사이에서 천이하기 위해 대응하는 수의 피드백 루프들을 수행하기 위해 미리 규정된 수의 클록 사이클들을 할당하도록 구성된다. 미리 규정된 수의 클록 사이클들은 단계 기간의 분율 (fraction) 로 제한되고, 단계 기간은 100 개의 분율들을 포함한다.
또 다른 실시예에서, 플라즈마 프로세스 모듈의 서브시스템들 사이에서 제어 신호들을 동기화하기 위한 방법이 개시된다. 방법은 분산된 제어기에 의해 복수의 프로세스 단계들을 개시하는 (initiating) 단계를 포함하고, 프로세스 단계들 각각은 중단된다. 프로세스 단계들은 플라즈마 프로세스 모듈에 커플링된 복수의 서브시스템들에 의해 수행된다. 서브시스템들은 동기화된 제어 신호들에 의해 제어되고, 복수의 서브시스템들 각각은 각각의 서브시스템 응답 시간을 갖는다. 방법은 복수의 클록 사이클들을 포함하는 클록 스피드를 갖는 마스터 클록을 구성하는 단계를 포함한다. 클록 사이클 각각은 동기된 제어 신호들이 분산된 제어기에 의해 복수의 서브시스템들로 전달되고 복수의 서브시스템들로부터 수신되는 피드백 루프에 사전-상관되는 (pre-correlated) 지속기간을 갖는다. 방법은 분산된 클록 모듈은 프로세스 단계들 사이에서 천이하기 위해 대응하는 수의 피드백 루프들을 수행하기 위해 미리 규정된 수의 클록 사이클들을 할당하는 단계를 포함한다. 방법은 미리 규정된 수의 클록 사이클들을 단계 기간의 분율로 제한하는 단계를 포함하고, 단계 기간은 100 개의 분율들을 포함한다.
이들 및 다른 장점들은 전체 명세서 및 청구항들의 판독시 당업자에게 인식될 것이다.
실시예들은 첨부된 도면들과 함께 취해진 이하의 기술을 참조하여 최상으로 이해될 수도 있다.
도 1은 웨이퍼를 프로세싱, 예를 들어, 웨이퍼 상에 막들을 형성하도록 사용되는, 기판 프로세싱 시스템을 예시한다.
도 2a는 일 실시예에 따른, 플라즈마 프로세스 모듈의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템을 예시하고, 분산된 제어기는 제어 신호들을 동기화하기 위한 마스터 클록을 포함하고, 제어 신호들은 분산된 제어기에 의해 생성된다.
도 2b는 일 실시예에 따른, 플라즈마 프로세스 모듈의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템을 예시하고, 분산된 클록 모듈은 제어 신호들을 동기화하기 위한 마스터 클록을 포함하고, 제어 신호들은 분산된 제어기에 의해 생성된다.
도 3은 본 개시의 일 실시예에 따른, 플라즈마 프로세스 모듈의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템의 서브시스템 응답들의 피드백 제어를 예시한다.
도 4a는 본 개시의 일 실시예에 따른, 플라즈마 프로세스 모듈의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템의 마스터 클록의 하나 이상의 클록 사이클들을 예시하고, 프로세스 단계들 사이의 천이 동안 피드백 루프는 일 클록 사이클에서 수행되고, 피드백 루프는 도 4a의 통신 시스템의 분산된 클록 모듈 또는 분산된 제어기에 의해 복수의 서브시스템들로부터 동기화된 제어 신호들을 전달하고 수신하는 것을 포함한다.
도 4b는 본 개시의 일 실시예에 따른, 플라즈마 프로세스 모듈의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템의 마스터 클록의 클록 사이클들과 정렬된 프로세스 단계를 예시하고, 프로세스 단계들 사이의 천이 동안 대응하는 수의 피드백 루프들은 미리 결정된 수의 클록 사이클들 동안 수행된다.
도 5는 본 개시의 일 실시예에 따른, 플라즈마 프로세스 모듈의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위한 방법을 예시하는 흐름도이다.
도 6a 내지 도 6f는 본 개시의 실시예들에 따른, 플라즈마 프로세스 모듈의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템에서 고 분해능으로 RF 전력 및 가스 전달의 제어를 동기화하는 능력을 예시한다.
도 7a는 플라즈마 프로세스 모듈의 서브시스템들로 제어 신호들을 전달하기 위한 통신 시스템에서 저 분해능으로 2 레벨의 RF 전력 및 가스 전달의 적용예를 예시한다.
도 7b는 본 개시의 일 실시예에 따른, 플라즈마 프로세스 모듈의 서브시스템들을 제어하는 통신 시스템의 목표된 가스 혼합물에 대한 레이턴시 및 지연 기간들의 영향을 예시한다.
도 7c는 본 개시의 일 실시예에 따른, 플라즈마 프로세스 모듈의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템에서 RF 전력 및 가스 전달의 적용예를 예시하고, 제 1 서브시스템에 의해 제어된 RF 전력은 제 2 서브시스템에 의해 제어된 가스 화학물질의 비들을 고려하여 인가될 수도 있고, 제어 신호들은 피드백 루프가 수행되어 RF 전력의 선형 인가 및 비선형 인가를 가능하게 하는 클록 사이클을 갖는 마스터 클록을 사용하여 동기화된다.
도 8은 본 개시의 일 실시예에 따른, 시간 기간 동안 상이한 가스 화학물질 비들의 적용예를 예시하고, 가스 화학물질들은 플라즈마 프로세스 모듈의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템에서 제어된다.
도 9는 상기 기술된 시스템들을 제어하기 위한 제어 모듈을 도시한다.
이하의 상세한 기술이 예시의 목적들을 위해 많은 구체적인 상세들을 포함하지만, 당업자는 이하의 상세들에 대한 많은 변형들 및 대안들이 본 개시의 범위 내라는 것을 인식할 것이다. 이에 따라, 이하에 기술된 본 개시의 양태들은 본 기술에 이어지는 청구항들에 대한 일반성의 어떠한 손실도 없이, 청구항들에 대한 제한들을 암시하지 않고, 진술된다.
일반적으로 말하면, 본 개시의 다양한 실시예들은 툴 서브시스템들로 그리고 툴 서브시스템들로부터 동기화된 제어 신호들을 전달하고 수신하도록 구성된 통신 시스템을 사용함으로써 플라즈마 프로세스 모듈 (PM) 의 툴 서브시스템들로 제어 신호들을 전달할 때 지연 시간 및 변동 시간의 감소를 제공하는 시스템들 및 방법들을 기술한다. 지연 시간 및 변동 시간은 보다 큰 프로세스 능력들을 인에이블하기 위해, 예컨대 ALD 프로세스 및 ALE 프로세스를 위한 복잡한 레시피 튜닝 알고리즘들을 구현할 때, 짧은 단계 시간들 (예를 들어, 1 초 이하) 동안 툴 서브시스템들의 정밀한 위상 및 동기화 제어를 가능하게 하는 레벨들로 감소된다 (예를 들어, 서브시스템 지연 시간들을 레시피 단계 시간의 3 내지 5 %, 예컨대 1 % 이하가 되게 함). 이러한 방식으로, 본 개시의 실시예들은 원자 층 정밀도를 발생시키는 보다 짧은 단계 시간들을 사용하고 자기 제한 반응들을 사용하지 않고 유사-ALD 프로세스 및 유사-ALE 프로세스를 수행할 수 있다. 특히, 이는 보다 정밀한 유사-ALD 프로세스 및 유사-ALE 프로세스에 대한 증착 및 활성 층들의 상승된 균일도를 가능하게 한다. 이는 프로세스들로 하여금 최소량의 반응물질을 사용하는 동안 쓰루풋을 최대화하기 위해 활성화 곡선의 피크에 도달하게 (hit) 한다. 더욱이, 이는 반복성의 상승을 갖는 발전된 레시피 프로세스 제어들을 적용할 능력을 발생시킨다. 특히, 본 개시의 실시예들은 가스 교환 시간들의 감소를 제공하고, RF 동기화 및 반복성에 대한 시간 종속 튜닝을 가능하게 한다. 이에 더하여, 본 개시의 실시예들은 플라즈마 PM의 툴 서브시스템들로부터 지연 시간 및 지터 (jitter) 의 제거를 제공한다. 또한, 본 개시의 실시예들은 툴 서브시스템들의 정밀 튜닝 제어, 예컨대 진폭 및 위상 (예를 들어, 서브시스템들의 타이밍 시작/종료) 의 정밀 튜닝 제어를 제공한다. 예를 들어, 저전력 생성기들로부터 인가된 RF 전력의 진폭 (예를 들어, watts) 이 제어될 수도 있고, RF 전력의 위상 (예를 들어, 가스 화학물질의 적용과 관련하여, RF 전력을 턴온하거나 턴오프할 때) 은 규정된 함수 형태를 따르도록 제어되고 설계될 수도 있다. 더욱이, 함수 (예를 들어, 레시피 튜닝 알고리즘들) 는 툴 입력 센서 데이터에 기초하여 수정될 수 있다. 또한, 본 개시의 실시예들은 유사-ALD 프로세스 및 유사-ALE 프로세스를 위한 복잡한 레시피 알고리즘들을 생성하도록 툴 서브시스템들의 정밀 튜닝 제어를 가능하게 한다. 예를 들어, 실시예들은 유사-ALD 프로세스 및 유사-ALE 프로세스 동안 (예를 들어, 1 초 이하 프로세스 단계들, 예컨대 활성화 및 증착을 허용하는 발전된 레시피 튜닝 알고리즘들의 구현예를 가능하게 하는) 레시피 단계 시간의 3 내지 5 % 이하 (예를 들어, 1 %) 로 서브시스템 지연 시간을 감소시키도록 ㎲ 시간-스케일로 복수의 서브시스템들의 레시피 제어 및 동기화를 제공한다.
이상의 다양한 실시예들의 보편적인 이해를 바탕으로, 실시예들의 예시적인 상세들이 다양한 도면들을 참조하여 이제 기술될 것이다. 하나 이상의 도면들에서 유사하게 번호가 붙은 엘리먼트들 및/또는 컴포넌트들은 일반적으로 동일한 구성 및/또는 기능성을 갖도록 의도된다. 또한, 도면들은 스케일대로 도시되지 않을 수도 있고 신규한 개념들을 강조하고 예시하도록 의도된다. 본 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
본 개시의 실시예들은 보다 큰 프로세스 용량들을 가능하게 하도록, ALD 프로세스 및 ALE 프로세스를 위한 복잡한 레시피 튜닝 알고리즘들을 구현할 때 레시피 단계 시간의 1 % 이하 (예를 들어, 짧은 단계 시간들 - 1 초 이하를 허용하는) 로 서브시스템 지연 시간들을 감소시키도록 플라즈마 프로세싱 시스템의 플라즈마 PM에 커플링된 툴 서브시스템들의 정밀한 위상 및 동기화 제어를 수행하기 위한 방법들 및 장치들에 관한 것이다. 본 개시의 실시예들은 다양한 프로세스 모듈 구성들로 구현될 수도 있다. 또한, 본 개시의 실시예들은 본 명세서에 제공된 예들로 제한되지 않고, 상이한 구성들, 기하구조들, 및 플라즈마 생성 기술들을 채용하는 상이한 플라즈마 프로세싱 시스템들 (예를 들어, 유도성 커플링 시스템들, 용량성 커플링 시스템들, 전자-사이클론 공진 (electron cyclotron resonance) 시스템들, 마이크로파 시스템들, 등) 에서 실시될 수도 있다. 플라즈마 프로세싱 시스템들 및 플라즈마 프로세스 모듈들의 예들은 공동으로 소유된 미국 특허 제 8,862,855 호, 및 제 8,847,495 호, 및 제 8,485,128 호, 그리고 미국 특허 출원 번호 제 15/369,110 호에 개시되고, 이들 각각은 이전에 도입되고 참조로서 인용되었다.
도 1은 원자 층 정밀도를 갖고 자기 제한 반응들을 사용하지 않는 유사-ALD 프로세스 및 유사-ALE 프로세스에서 형성되는 것과 같은, 웨이퍼를 프로세싱, 예를 들어, 기판들 위에 막들을 형성하도록 사용되는, 플라즈마 프로세싱 시스템 (100) 을 예시한다. 시스템 (100) 은 예를 들어, 반도체 디바이스들을 프로세싱하기 위해 웨이퍼들을 프로세싱하도록 구성된다. FOUP들 (Front opening unified pods) (미도시) 은 하나 이상의 웨이퍼들을 홀딩하기 위해 그리고 시스템 (100) 내로, 시스템 (100) 내에서, 그리고 시스템 (100) 으로부터 웨이퍼들을 이동시키기 위해 구성된다. 특히, 웨이퍼는 프로세싱 동안 EFEM (equipment front-end module) (150) 과 각각의 프로세스 모듈 (110) 사이에서 이송 모듈 (190) 을 통해 FOUP 내에서 이송될 수도 있다. 로드 포트들 (160) 은 전-프로세싱 및 후-프로세싱 동안 EFEM (150) 으로 그리고 EFEM (150) 으로부터 웨이퍼들을 이동시키기 위해 구성된다.
EFEM (150) 은 (PM (110) 의 프로세싱 분위기) 대기와 진공 사이에서 웨이퍼들을 이동시키기 위해 구성된다. EFEM (150) 은 FOUP과 로드록들 (170) 사이에서 웨이퍼들을 이동시키기 위해 구성된다. 이송 로봇들 (131) (예를 들어, 로봇 암들 등) 은 트랙 (152) 을 따라 로드 포트들 (160) 과 적절한 로드록들 (170) 사이에서 웨이퍼들을 이송한다. 로드록들 (170) 과 조합하여 다양한 게이트 밸브들 (180) 이 적절한 압력들을 유지하거나 생성하도록 (예를 들어, 대기, 진공, 그리고 이들 사이에서 천이) 채용될 수도 있다. 게이트 밸브들 (180) 은 웨이퍼들의 이동 및/또는 프로세싱 동안, 특히 웨이퍼들이 시스템 (100) 의 다양한 압력들에 노출될 때 컴포넌트들을 고립시키도록 구성된다. 예를 들어, 게이트 밸브들 (180) 은 EFEM (150), 로드록들 (170), 이송 모듈 (190) 및 프로세스 모듈들 (110) 을 고립시킬 수도 있다. 로드록들 (170) 은 EFEM (150) 으로부터 이송 모듈 (190) 로 기판들 (예를 들어, FOUP들의 웨이퍼들) 을 이송하기 위한 이송 디바이스들을 포함한다. 로드록들 (170) 은 이송 모듈 (190) 에 의해 유지된 진공 분위기에 액세스하기 전 압력 하에서 배기될 수도 있고, 또는 EFEM (150) 에 액세스하기 전에 대기로 벤팅될 (vent) 수도 있다. 예를 들어, 로드록들 (170) 은 게이트 밸브들 (180) 이 폐쇄될 때, 로드록들 (170) 은 펌핑다운될 수도 있도록, 진공 소스 (미도시) 에 커플링될 수도 있다. 이와 같이, 로드록들 (170) 은 로드록들 (170) 과 이송 모듈 (190) 사이에서 진공 압력 하에서 웨이퍼들을 이송할 때, 또는 로드록들 (170) 과 EFEM (150) 사이에서 대기압 하에서 웨이퍼들을 이송할 때와 같이, 목표된 압력을 유지하도록 구성될 수도 있다.
이송 모듈 (190) 은 프로세스 모듈들 (110) 로 그리고 프로세스 모듈들 (110) 로부터 게이트 밸브들 (180) 을 통해 기판들 (예를 들어, 로드록들 (170) 의 웨이퍼들) 을 이송하도록 구성된다. 일 구성에서, 게이트 밸브들 (180) 은 인접한 모듈들 (예를 들어, 이송 모듈 (190), EFEM (150), 프로세스 모듈 (110), 등) 로의 액세스를 가능하게 하는 제어가능한 개구부들 (예를 들어, 액세스 도어들) 을 포함한다. 이송 모듈 (190) 내에서, 이송 로봇들 (132) (예를 들어, 로봇 암들 등) 은 프로세스 모듈들 (110) 사이에서, 또는 로드록들 (170) 로 그리고 로드록들 (170) 로부터 웨이퍼들을 이송하는 것과 같이, 진공 분위기에서 웨이퍼를 이동시키도록 구성된다. 이송 모듈 (190) 및 프로세스 모듈들 (110) 은 통상적으로 진공 하에서 동작하고, 적절한 진공 압력을 유지하도록 하나 이상의 진공 소스(들) (미도시) 와 커플링될 수도 있다.
CPU (central processing unit) (120) (예를 들어, 프로세서) 는 시스템 (100) 전반에서, 프로세스 입력 및 제어 신호들 (108) 을 통해 시스템 (100) 내 컴포넌트들 각각으로 웨이퍼들의 이동을 관리하도록 구성된다. 예를 들어, CPU (120) 는 로드 포트들 (160), EFEM (150), 로드록들 (170), 이송 모듈 (190) 및 프로세스 모듈들 (110) 사이에서 웨이퍼들의 이동을 관리한다. CPU (120) 와 시스템 (100) 의 컴포넌트들 각각 사이에 모든 통신 접속부들이 도시되지 않는다. 이에 더하여, CPU (120) 는 프로세스 입력 및 제어 신호들 (108) 을 통해 프로세스 모듈들 (110) 각각으로 일반적인 프로세스 인스트럭션들을 제공하도록 구성된다. 예를 들어, 인스트럭션들은 유사-ALD 프로세스, 유사-ALE 프로세스, 증착 프로세스, 활성화 프로세스, 등을 수행하기 위한 것일 수도 있다. 프로세스 입력 및 제어 신호들 (108) 은 웨이퍼 위에 막들을 증착하거나 형성하는 것과 같은, 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼의 기계적 이동, 등과 같은 보다 상세한 프로세스 레시피들을 포함할 수도 있다. 일단 인스트럭션을 받으면, 프로세스 모듈들 (110) 은 이하에 더 기술될 바와 같이, 다양한 서브시스템들에 의해 구현될 때 그리고 분산된 제어기에 의해 제어될 때, 챔버 내에서 수행되게 프로세스들을 관리하도록 구성된다. 예를 들어, 프로세스 모듈들 (110) 각각은 웨이퍼를 프로세싱 (예를 들어, ALD 프로세스들에 형성되는 것과 같은, 기판들 위에 막들을 증착) 하도록 사용될 수도 있는 챔버를 포함한다. 히터들은 균일도 또는 맞춤 (custom) 설정들을 위해 챔버 내 온도를 제어하도록 사용될 수도 있다. 진공 펌프를 사용하여 다양한 시간에 진공 (예를 들어, 저압) 하에 배치될 수도 있다. 챔버는 프로세스 입력 및 제어를 실행하는 제어기 또는 제어 모듈에 의해 제어될 때 전력 공급부에 전기적으로 커플링된 페데스탈을 포함할 수도 있다. 특히, 페데스탈은 웨이퍼를 지지하도록 구성된다. 페데스탈로부터 웨이퍼를 수용하고 제거하기 위한 수단은 엔드-이펙터로 하여금 페데스탈로부터 웨이퍼를 픽업 (pick up) 하게 하고 그리고/또는 페데스탈로 웨이퍼를 하강시키게 하도록 리프트 핀들, 리프트 패드들, 등을 포함할 수도 있다. 프로세스 가스들에 연결된 가스 공급 매니폴드(들)는 제어 모듈에 의해 제어될 때 가스 공급 매니폴드(들)를 통해 챔버로 전달될 수도 있다. 가스들은 예를 들어, 페데스탈에 의해 지지된 웨이퍼 위에 놓인, 샤워헤드를 통해 챔버를 통해 분배되고 진공 펌프 및 유출구를 통해 챔버로부터 빠진다. 적절한 밸브 및 질량 유량 제어 메커니즘이 프로세스의 증착 페이즈 및 플라즈마 처리 페이즈 동안 올바른 가스들이 전달된다는 것을 보장하도록 채용될 수도 있다. 즉, 제어 모듈에 의해 구현될 때 챔버 내 프로세스 입력 및 제어는 프로세스 레시피들, 예컨대 웨이퍼 위에 막들을 증착하거나 형성하도록 사용된 것과 같은, 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼의 기계적 이동, 등과 같은 프로세스 레시피들을 포함할 수도 있다.
하나 이상의 프로세스 모듈들 (110) 이 이송 모듈 (190) 에 커플링될 수도 있다. 프로세스 모듈들 (110) 각각은 진공 또는 다른 제어된 분위기에서의 프로세싱을 필요로 하는 웨이퍼들, 또는 임의의 적합한 객체를 프로세싱하도록 구성된다. 예를 들어, 프로세스 모듈들 (110) 은 하나 이상의 반도체 제작 프로세스들을 구현하도록 구성될 수도 있다. 일 구성에서, 프로세스 모듈들 (110) 은 플라즈마 프로세싱 챔버를 포함한다. 일반적으로, 프로세스 모듈들 (110) 은 유도 커플링 (변압기 커플링 (transformer coupling)), 헬리콘, 전자 사이클론 공진, 용량 커플링 (평행 플레이트) 과 같은, 플라즈마를 생성하기 위한 다양한 메커니즘들에 의존할 수 있다. 예를 들어, 고밀도 플라즈마가 변압기 커플링된 플라즈마 (TCPTM) 프로세싱 챔버에서, 또는 ECR (electron cyclotron resonance) 프로세싱 챔버에서 생성될 수 있다. 고 밀도 플라즈마를 제공할 수 있는 고 플로우 플라즈마 프로세싱 챔버 또는 프로세스 모듈의 일 예는 공동으로 소유된 미국 특허 제 5,948,704 호에 개시되고, 이의 개시는 참조로서 본 명세서에 인용된다. 프로세스 모듈들에 위치된 챔버들의 예시를 위해, 평행 플레이트 플라즈마 프로세싱 챔버들, ECR 플라즈마 프로세싱 챔버들, 및 변압기 커플링된 플라즈마 (TCPTM) 프로세싱 챔버들이 공동으로 소유된 미국 특허 제 4,340,462 호; 제 4,948,458 호; 제 5,200,232 호 및 제 5,820,723 호에 개시되고, 이의 개시들은 본 명세서에 참조로서 인용된다.
하나 이상의 툴 서브시스템들은 각각의 프로세스 모듈 (110) 에 커플링된다. 툴 서브시스템들은 이하에 더 기술된 바와 같이, 특정한 기능들을 수행하고, 반도체 제작 프로세스들과 같이, 웨이퍼를 프로세싱하기 위해 프로세스 모듈 (110) 에서 수행된 프로세스들을 집합적으로 구현하도록 구성된다. 프로세스들은 PECVD (plasma enhanced chemical vapor deposition), 스퍼터 증착, 레지스트 스트립핑 (strip), 플라즈마 에칭, 플라즈마 증착, 플라즈마 프로세싱, 등을 포함한다. 특히, 플라즈마는 프로세스 모듈 (110) 의 적합한 프로세스 가스들을 RF (radio frequency) 전력을 겪게 함으로써 생성된다. 프로세스 모듈 (110) 의 RF 전력 및/또는 전류의 플로우는 프로세싱에 영향을 준다. 툴 서브시스템들은 가스 공급 매니폴드(들) (112), RF 생성기(들) (104), 압력 제어기(들) (118), 온도 제어기(들) (119), 바이어스 보상부 등을 포함할 수도 있다. 서브시스템들의 보다 상세한 기술은 도 9에 제공된다.
도 2a는 본 개시의 일 실시예에 따른, 플라즈마 프로세스 모듈 (110) 의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템 (200A) 을 예시하고, 동기화된 제어 신호들은 분산된 제어기 (220-A) 내에서 생성되고 동기화된다. 통신 시스템 (200A) 은 마스터 클록 (260) 의 제어된 클록 스피드로 제어 신호들의 동기화를 통해 보다 정밀한 유사-ALD 프로세스 및 유사-ALE 프로세스를 위한 증착 층 및 활성화 층의 개선된 막 균일도를 제공하고, 마스터 클록 (260) 은, 각각이 특정한 서브시스템과 관련된 (tied to) 모든 슬레이브 클록들 (261a 내지 261z) 을 제어한다. 슬레이브 클록들 (261a 내지 261z) 과 조합하여, 마스터 클록 (260) 의 제어된 클록 스피드는 보다 짧은 단계 시간들로 유사-ALD 프로세스 및 유사-ALE 프로세스를 수행하도록, 서브시스템들로 그리고 서브시스템들로부터 동기화된 제어 신호들을 전달하기 위해 감소된 지연 시간 및 변동 시간을 제공하여, 자기 제한 반응들을 사용하지 않고 원자 층 정밀도를 가능하게 한다. 마스터 클록 (260) 및 슬레이브 클록들 (261a 내지 261z) 의 제어된 클록 스피드는 가스 교환 시간들의 감소를 제공하고, RF 동기화 및 반복성에 대한 시간 종속 튜닝을 가능하게 하고, 툴 서브시스템들의 정밀-튜닝 및/또는 피드백 제어 (예를 들어, 진폭 및 위상의 제어) 를 제공하고, 유사-ALD 프로세스 및 유사-ALE 프로세스를 수행할 때 복잡한 레시피 알고리즘들을 제공하고, 그리고 레시피 단계 시간의 3 내지 5 % 이하 (예를 들어, 1 %) 로 서브시스템 지연 시간들을 감소시키도록 ㎲ 시간 스케일의 복수의 서브시스템들의 동기화를 제공하여 발전된 레시피 튜닝 알고리즘들 (예를 들어, 1 초 이하 프로세스 단계들) 을 가능하게 한다.
이전에 기술된 바와 같이, 프로세스 모듈 (110) 은 진공 또는 제어된 분위기에서 웨이퍼들을 프로세싱하기 위해 구성된다. 예를 들어, 프로세스 모듈 (110) 은 하나 이상의 반도체 제작 프로세스들를 구현하도록 구성될 수도 있다. 특히, 프로세스 모듈 (110) 은 프로세스들, 예컨대 유사-ALD 프로세스 및 유사-ALE 프로세스 동안 사용된 플라즈마를 생성하기 위한 플라즈마 프로세싱 챔버를 포함한다. 예를 들어, 프로세스 모듈 (110) 은 프로세스 모듈 (110) 에 위치되는 기판 위에 재료를 증착하기 위해 구성되고, 재료는 예를 들어, 증착 프로세스 또는 에칭 프로세스 동안 증착될 수도 있다.
도시된 바와 같이, 복수의 서브시스템들 (210) (예를 들어, 서브시스템 210-A, 210-B, …, 210-N) 은 프로세스 모듈 (110) 에 커플링된다. 특히, 복수의 서브시스템들 (210) 은, 도 3에 더 기술될 바와 같이, 가스 공급 매니폴드(들) 서브시스템 (112), 및 (RF 생성기 각각은 원치 않은 RF 전력으로부터 프로세스 모듈 (110) 및/또는 RF 생성기들을 차폐하기 위해 대응하는 매칭 네트워크 (106) 에 커플링될) RF 생성기(들) 서브시스템 (104) 을 포함한다. 복수의 서브시스템들 (210) 각각은 각각의 서브시스템의 제어가 달성되는, 각각의 서브시스템 응답 시간을 갖는다. 서브시스템 응답 시간들은 미리 규정될 수도 있다. 예를 들어, 특정한 레벨의 RF 전력의 인가는 대응하는 서브시스템 응답 시간 내에서 달성되고, 서브시스템은 하나 이상의 RF 생성기들 (104) 을 포함한다.
분산된 제어기 (220-A) 는 (예를 들어, CPU (120) 로부터) 프로세스 입력 및 제어 신호들 (108) 을 수신하도록 구성된다. 입력 및 제어 신호들 (108) 은 통신 시스템들 (200A) 로 일반적인 프로세스 동작 인스트럭션들을 제공하도록 구성된다. 예를 들어, 입력 및 제어 신호들 (108) 은 프로세스 모듈 (110) 내에서 구현을 위한 일반적인 프로세스 인스트럭션들, 예컨대 레시피 정보를 제공할 수도 있다. 예를 들어, 분산된 제어기 (220-A) 에 의해 수신된 인스트럭션들은 프로세스 모듈 (110) 내에서 유사-ALD 프로세스 또는 유사-ALE 프로세스를 수행하기 위한 인스트럭션들을 포함할 수도 있다. 다른 경우들에서, 입력 및 제어 신호들 (108) 은 보다 상세할 수도 있고, 웨이퍼 위에 막들을 증착하거나 형성하기 위한 것과 같이, 프로세스 레시피들, 예컨대 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼의 기계적 운동, 등을 포함할 수도 있다. 분산된 제어기 (220-A) 는 입력 및 제어 신호들 (108) 에 응답하여 복수의 프로세스 단계들을 개시하기 위해 구성된다. 일 실시예에서, 분산된 제어기 (220-A) 는 VME (Versa Module Europa) 표준 또는 이의 미분들을 따르는 VME 제어기이다. 예를 들어, VME 표준은 컴퓨터 버스 시스템, 디바이스 및 네트워크를 규정할 수도 있다.
이에 더하여, 분산된 제어기 (220-A) 는 (가스 공급 매니폴드 서브시스템 (112) 및 RF 생성기 서브시스템(들) (104) 을 포함하는) 복수의 서브시스템들 (210) 각각에 커플링되고, 복수의 프로세스 단계들을 개시하기 위해 구성된다. 프로세스 단계들 각각은 단계 기간을 갖는다. 일 실시예에서, 프로세스 단계들에 대한 단계 기간은 균일하다 (예를 들어, 1 초, 1 초의 분율, 등). 또 다른 실시예에서, 프로세스 단계들 각각은 고유한 단계 기간을 가질 수도 있다. 즉, 분산된 제어기 (220-A) 는 프로세스 입력 및 제어 신호들 (108) 을 통해 제공된 인스트럭션들에 응답하여, (예를 들어, 프로세스 단계들을 구현하는) 복수의 서브시스템들 (210) 의 각각의 (예를 들어, 피드백 (290-C) 으로서 전달될 때) 입력된 센서 데이터에 기초하여 상세한 동작들을 관리하고 실시간으로 이들 동작들을 최적화하기 위해 구성된다. 예를 들어, 분산된 제어기 (220-A) 는 다양한 프로세스 단계들 (예를 들어, 활성화, 증착, 등) 을 수행할 때 다양한 시간들에서 서브시스템들 (210) 중 하나 이상으로 제어 신호들 (290-A) 을 전달하고 피드백 신호들 (290-C) 을 수신한다.
일 실시예에서, 복수의 프로세스 단계들은 집합적으로 유사-ALD 프로세스와 같은, 자기 제한 반응들 없이 원자 층 정밀도 증착 프로세스를 수행한다. 유사-ALD 프로세스는 기판의 표면이 플라즈마를 사용하여 화학적으로 활성화되는 활성화 단계, 및 예를 들어, 재료를 증착할 때 수행된, 화학물질의 원자 층을 증착하기 위한 증착 단계를 포함한다. 또 다른 실시예에서, 복수의 프로세스 단계들은 집합적으로 유사-ALE 프로세스에서와 같은, 자기 제한 반응들 없이 원자 층 정밀도로 에칭 프로세스를 수행한다. 유사-ALE 프로세스는 기판의 표면이 플라즈마를 사용하여 화학적으로 활성화되는 활성화 단계, 및 예를 들어, 에칭 재료를 증착할 때 수행된, 재료의 원자 층을 제거하기 위한 에칭 단계를 포함한다.
특히, 분산된 제어기 (220-A) 는 마스터 클록 (260) 을 사용하여 서브시스템들 각각과의 통신들을 동기화하도록 구성된다. 마스터 클록 (260) 은 슬레이브 클록 각각이 특정한 서브시스템와 관련된, 복수의 슬레이브 클록들 (261a 내지 261z) 을 동시에 제어한다. 예를 들어, 슬레이브 클록 (261-a) 은 서브시스템-A (210-A) 와 관련될 수 있고, 슬레이브 클록 (261-b) 은 서브시스템-B (210-B) 과 관련될 수 있는, 등 한다. 이러한 방식으로, 이의 각각의 슬레이브 클록 (예를 들어, 슬레이브 클록 (261-a)) 을 통해 타이밍될 때 특정한 서브시스템 (예를 들어, 서브시스템-A) 으로 지향된 제어 신호들은 이의 각각의 슬레이브 클록 (예를 들어, 슬레이브 클록 (261-b)) 을 통해 타이밍될 때 또 다른 서브시스템 (예를 들어, 서브시스템-B) 으로 지향된 제어 신호들과 동기화된다. 이러한 방식으로, 서브시스템들 모두로 지향된 제어 신호들 모두가 동기화된다. 분산된 제어기 (220-A) 는 복수의 클록 사이클들을 포함하는 클록 스피드를 갖는 마스터 클록 (260) 을 더 포함한다. 클록 사이클들 각각은 동기화된 제어 신호들이 분산된 제어기 (220-A) 에 의해 복수의 서브시스템들 (210) 로 전달되고 복수의 서브시스템들 (210) 로부터 수신되는, 피드백 루프에 사전 상관되는 지속기간의 클록 사이클이다. 이와 같이, 일 피드백 루프는 적어도 마스터 클록 (260) 의 일 클록 사이클 내에 수행될 수도 있다. 이러한 방식으로, 분산된 제어기 (220-A) 는 RF 제어, ALD 밸브 제어 및 서브시스템들 (210) 각각에 대한 제어를 위한 시간 분해능을 상승시키기 충분한 스피드인 마스터 클록 (260) 을 제공한다. 마스터 클록 (260) 은 서브시스템들 (210) 각각에 대한 타이밍 지연들 및 지터를 감소시킨다. 이와 같이, 마스터 클록 (260) 은 개선된 시간 응답, 서브시스템들의 동기화, 및 개선된 RF 제어를 갖는 매칭-기반 모델을 통해 RF 튜닝 알고리즘의 구현을 가능하게 한다.
분산된 제어기 (220-A) 는 프로세스 단계들 사이의 천이를 위해 대응하는 수의 피드백 루프들을 수행하기 위한 마스터 클록 (260) 의 미리 규정된 수의 클록 사이클들을 할당하도록 더 구성된다. 이러한 방식으로, 다음 프로세스 단계로의 천이는 미리 규정된 수의 클록 사이클들 및 대응하는 수의 피드백 루프들 내에서 천이를 완료함으로써 개선된다. 미리 규정된 수의 클록 사이클들은 서브시스템 응답 시간들 각각을 달성하기 위해 통신 시스템 (200A) 의 서브시스템들 (210) 및 프로세스 모듈 (110) 을 제공한다. 이는 서브시스템들 (210) 각각으로의 제어 신호들 (290-A) 은 마스터 클록 (260) 에 동기화되기 때문에 가능하다. 예를 들어, 미리 규정된 수의 클록 사이클들은 프로세스 모듈 (110) 내에 인가될 때 목표된 RF 전력에 도달하도록 RF 생성기 서브시스템 (104) 을 제공한다. 즉, 복수의 피드백 루프들은 프로세스 단계를 개시하거나 이전의 프로세스 단계로부터 프로세스 단계로 천이할 때 수행될 수도 있다. 일 실시예에서, 복수의 피드백 루프들은 서브시스템들 (210) 각각의 제어가 대응하는 프로세스 단계, 또는 대응하는 프로세스 단계의 부분에 대해 달성된다는 것을 보장하는 (예를 들어, 모든 서브시스템들에 대해) 시스템 응답 시간에 대응한다. 일 실시예에서, 시스템 응답 시간은 가장 느린 서브시스템 응답 시간보다 크다.
일 실시예에서, 미리 규정된 수의 클록 사이클들은 단계 기간의 분율로 제한된다. 일 예에서, 단계 기간은 100 개의 분율들을 포함한다. 또 다른 예에서, 단계 기간은 상이한 수의 분율들을 포함한다. 이와 같이, 단계 기간의 분율은 마스터 클록 (260) 의 미리 규정된 수의 클록 사이클들, 및 대응하여 마스터 클록 (260) 클록 스피드를 규정할 수도 있다. 즉, 클록 스피드는 단계 기간의 분율 동안 수행될 미리 규정된 수의 클록 사이클들에 기초한다. 일 실시예에서, 클록 스피드는 일 피드백 루프를 수행하기 위해 필요한 시간의 반전에 의해 결정되거나 계산된다. 또 다른 실시예에서, (미리 규정된 수의 클록 사이클들과 연관하여) 대응하는 수의 피드백 루프들을 수행하기 위한 단계 기간의 분율은 단계 기간의 1 % 이하이다. 다른 실시예들에서, 단계 기간의 분율은 단계 기간의 10 % 이하이다.
도 2b는 본 개시의 일 실시예에 따른, 프로세스 모듈 (110) 의 서브시스템들로 전달되고 그리고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템 (200B) 을 예시하고, 하나 이상의 하나 이상의 제어 신호들 (295-C), 및 대응하는 피드백 제어 신호들 (295-D) 이 분산된 제어기 (220-B) 와 조합하여, 분산된 클록 모듈 (250) 내에서 제어되고 동기화된다. 통신 시스템 (200A) 은 마스터 클록 (260) 각각이 특정한 서브시스템과 관련된, 모든 슬레이브 클록들 (261a 내지 261z) 을 제어하고 마스터 클록 (260) 의 제어된 클록 스피드로 제어 신호들의 동기화를 통해 보다 정밀한 유사-ALD 프로세스 및 유사-ALE 프로세스에 대해 증착 층 및 활성화 층의 개선된 막 균일도를 제공한다. 슬레이브 클록들 (261a 내지 261z) 과 조합하여, 마스터 클록 (260) 의 제어된 클록 스피드는 보다 짧은 단계 시간들로 유사-ALD 프로세스 및 유사-ALE 프로세스를 수행하도록, 서브시스템들로 그리고 서브시스템들로부터 동기화된 제어 신호들을 전달하기 위해 감소된 지연 시간 및 변동 시간을 제공하여, 자기 제한 반응들을 사용하지 않고 원자 층 정밀도를 가능하게 한다. 마스터 클록 (260) 및 슬레이브 클록들 (261a 내지 261z) 의 제어된 클록 스피드는 가스 교환 시간들의 감소를 제공하고, RF 동기화 및 반복성에 대한 시간 종속 튜닝을 가능하게 하고, 툴 서브시스템들의 정밀-튜닝 및/또는 피드백 제어 (예를 들어, 진폭 및 위상의 제어) 를 제공하고, 유사-ALD 프로세스 및 유사-ALE 프로세스를 수행할 때 복잡한 레시피 알고리즘들을 제공하고, 그리고 레시피 단계 시간의 3 내지 5 % 이하 (예를 들어, 1 %) 로 서브시스템 지연 시간들을 감소시키도록 ㎲ 시간 스케일의 복수의 서브시스템들의 동기화를 제공하여 발전된 레시피 튜닝 알고리즘들 (예를 들어, 1 초 이하 프로세스 단계들) 을 가능하게 한다.
도 1 및 도 2a에서 이전에 도입된 바와 같이, 프로세스 모듈 (110) 은 진공 또는 제어된 분위기에서 웨이퍼들을 프로세싱하기 위해 구성된다. 예를 들어, 프로세스 모듈 (110) 은 하나 이상의 반도체 제작 프로세스들을 구현하도록 구성될 수도 있다. 예를 들어, 프로세스 모듈 (110) 은 유사-ALD 프로세스 및 유사-ALE 프로세스와 같은, 증착 프로세스 또는 에칭 프로세스 동안 재료의 증착을 포함하는, 다양한 프로세스들을 용이하게 하도록 플라즈마를 생성하기 위한 플라즈마 프로세싱 챔버를 포함한다.
도 2b에 도시된 바와 같이, 복수의 서브시스템들이 프로세스 모듈 (110) 에 커플링된다. 서브시스템들은 서브시스템들 (250A 내지 250N), 서브시스템들 (260a 내지 260n), 가스 공급 매니폴드(들) 서브시스템 (112), 및 (RF 생성기 각각이 대응하는 매칭 네트워크 (106) 에 커플링된) RF 생성기(들) 서브시스템 (104) 을 포함한다. 복수의 서브시스템들 각각은 각각의 서브시스템의 제어가 달성되는, 각각의 서브시스템 응답 시간을 갖고, 응답 시간들은 미리 규정될 수도 있다.
VME-CPU (270) 및 분산된 제어기 (220-B) 는 (예를 들어, CPU (120) 로부터) 프로세스 입력 및 제어 신호들 (108) 을 수신하도록 구성된다. 입력 및 제어 신호들 (108) 은 통신 시스템들 (200B) 로 일반적인 프로세스 동작 인스트럭션들을 제공하도록 구성된다. 예를 들어, 입력 및 제어 신호들 (108) 은 프로세스 모듈 (110) 내에서 구현을 위한 일반적인 프로세스 인스트럭션들, 예컨대 레시피 정보를 제공할 수도 있다. 예를 들어, 분산된 제어기 (220-B) 에 의해 수신된 인스트럭션들은 프로세스 모듈 (110) 내에서 유사-ALD 프로세스 또는 유사-ALE 프로세스를 수행하기 위한 인스트럭션들을 포함할 수도 있다. 프로세스 입력 및 제어 신호들 (108) 은 보다 상세할 수도 있고, 웨이퍼 위에 막들을 증착하거나 형성하기 위한 것과 같이, 프로세스 레시피들, 예컨대 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼의 기계적 운동, 등을 포함할 수도 있다. 분산된 제어기 (220-B) 는 입력 및 제어 신호들 (108) 에 응답하여 복수의 프로세스 단계들을 개시하기 위해 구성된다. 일 실시예에서, 분산된 제어기 (220-B) 는 VME 표준 또는 이의 미분들을 따르는 VME 제어기이다.
VME-CPU (270) 는 서브시스템들 (250A 내지 250N) 에 커플링된다. 이와 같이, VME-CPU (270) 는 수신된 입력 및 제어 신호들 (108) 에 응답하여 이들 서브시스템들 (250A 내지 250N) 에 대한 프로세스 단계들 (예를 들어, 온도 제어, 압력 제어, 등) 을 개시한다. 일 실시예에서, VME-CPU (270) 의 클록 스피드는 서브시스템들 (250A 내지 250N) 의 위상 및 동기화 제어를 수행하기 충분하다. 도시된 바와 같이, VME-CPU (270) 는 서브시스템들 (250A 내지 250N) 중 하나 이상으로 제어 신호들 (295-A) 을 발행하고, 제어 신호들 (295-A) 을 실시간으로 최적화하기 위해 피드백 신호들 (295-B) 을 수신한다.
일 실시예에서, 분산된 제어기 (220-B) 는 레거시 (legacy) 플라즈마 프로세싱 시스템에서 구성될 수도 있고, VME-CPU (270) 의 클록 스피드는 짧은 단계 시간들 (예를 들어, 1 초 이하) 을 구현하기 위해 프로세스 모듈 (110) 에 커플링된 특정한 툴 서브시스템들의 위상 및 동기화 제어를 수행하기 불충분하다. 예를 들어, RF 생성기(들) 서브시스템 (104), 가스 공급 매니폴드(들) 서브시스템 (112), 및 다른 서브시스템들 (예를 들어, 서브시스템들 (260-a 내지 260-n)) VME-CPU (270) 에 의해 제공된 것보다 많은 제어된 클록 스피드들을 필요로 할 수도 있다. 이와 같이, 통신 시스템 (200B) 은 VME-CPU (270) 에 커플링되는 분산된 제어기 (220-B) 에 커플링된 분산된 클록 모듈 (250) 을 포함한다. 분산된 제어기 (220-B) 는 서브시스템들 (260a 내지 260n), RF 생성기(들) 서브시스템 (104) 및 가스 공급 매니폴드(들) 서브시스템 (112) 에 대한 프로세스 단계들을 개시하기 위해 구성된다. 분산된 클록 모듈 (250) 은 제어 신호들 (295-C) 을 동기화하기 위해 사용된 마스터 클록 (260) 을 포함하고, 마스터 클록 (260) 은 보다 정밀한 동기화 및 위상 제어를 필요로 하는 분산된 제어기 (220-B) 와 서브시스템들 간 통신을 위해 사용된 모든 슬레이브 클록들 (261a 내지 261z) 을 제어한다. 마스터 클록 (260) 의 새로운 클록 스피드는 이제 유사-ALD 프로세스 및 유사-ALE 프로세스를 위해 복잡한 레시피 튜닝 알고리즘들을 구현할 때와 같이, 보다 큰 프로세스 능력들을 인에이블하도록 연결된 서브시스템들 (260-a 내지 260-n), RF 생성기(들) 서브시스템 (104) 및 가스 공급 매니폴드(들) 서브시스템 (112) 의 정밀한 위상 및 동기화 제어를 수행하기 충분하다. 도시된 바와 같이, 분산된 클록 모듈 (250) 의 각각의 슬레이브 클록들 (261a 내지 261z) 을 통해 분산된 제어기 (220-B) 는 각각의 슬레이브 클록을 통해 서브시스템들 (260-a 내지 260-n), 가스 공급 매니폴드(들) 서브시스템 (112), 및 RF 생성기(들) 서브시스템 (104) 각각에 커플링된다. 즉, 분산된 제어기 (220-B) 는 (예를 들어, 도 2a에 도시된 바와 같이) 모든 서브시스템들에, 또는 (예를 들어, 도 2b에 도시된 바와 같이) 선택된 서브시스템들에 관련될 수 있다. 이러한 방식으로, 각각의 슬레이브 클록들을 통해 분산된 제어기 (220-B) 는 이들 서브시스템들에 대해 프로세스 단계들 각각을 개시하고 구현하도록 구성된다. 일 실시예에서, 분산된 제어기 (220-B) 에 커플링되고 분산된 제어기 (220-B) 에 의해 제어된 서브시스템들의 수는 설계에 의해 선택가능하다. 이에 더하여, 분산된 제어기 (220-B) 는 전체 프로세스 단계들을 개시하고 구현하기 위해 VME-CPU (270) 를 사용하여 실행하도록 구성된다. 프로세스 단계들 각각은 단계 기간을 갖는다. 일 실시예에서, 프로세스 단계들에 대한 단계 기간은 균일하다 (예를 들어, 1 초, 1 초의 분율, 등). 또 다른 실시예에서, 프로세스 단계들 각각은 고유의 단계 기간을 가질 수도 있다.
즉, 분산된 제어기 (220-B) 는 프로세스 단계들로의 천이rk 미리 규정된 천이 기간 내에 완료된다 것을 보장하기 위해 피드백 루프들을 확립하는 것을 포함하는 프로세스 단계들을 개시하고 구현한다. 특히, 분산된 제어기 (220-B) 는 분산된 제어기 (220-B) 로부터 제공된 프로세스 개시에 응답하여, 결국 프로세스 입력 및 제어 신호들 (108) 을 통해 제공된 일반적인 인스트럭션들에 응답하여, 서브시스템들 (260-a 내지 260-n), 가스 공급 매니폴드(들) 서브시스템 (112), 및 RF 생성기(들) 서브시스템 (104) (예를 들어, 피드백 (295-D) 으로서 전달될 때) 각각의 입력된 센서 데이터에 기초하여 (예를 들어, 프로세스 단계들을 구현하는) 상세한 동작들을 관리하고 실시간으로 이들 동작들을 최적화하기 위해 구성된다. 예를 들어, 분산된 제어기 (220-B) 는 분산된 클록 모듈 (250) 을 통해 다양한 프로세스 단계들 (예를 들어, 활성화, 증착, 등) 을 수행할 때 다양한 시간들에서 서브시스템들 (210) 중 하나 이상으로 동기화된 제어 신호들 (295-C) 을 전달하고 피드백 신호들 (295-D) 을 수신한다.
일 실시예에서, 복수의 프로세스 단계들은 유사-ALD 프로세스와 같은, 자기 제한 반응들 없이 원자 층 정밀도 증착 프로세스를 수행한다. 유사-ALD 프로세스는 기판의 표면이 플라즈마를 사용하여 화학적으로 활성화되는 활성화 단계, 및 예를 들어, 재료를 증착할 때 수행된, 화학물질의 원자 층을 증착하기 위한 증착 단계를 포함한다. 또 다른 실시예에서, 복수의 프로세스 단계들은 유사-ALE 프로세스에서와 같은, 자기 제한 반응들 없이 원자 층 정밀도로 에칭 프로세스를 수행한다. 유사-ALE 프로세스는 기판의 표면이 플라즈마를 사용하여 화학적으로 활성화되는 활성화 단계, 및 예를 들어, 에칭 재료를 증착할 때 수행된, 재료의 원자 층을 제거하기 위한 에칭 단계를 포함한다.
분산된 제어기 (220-B) 는 분산된 클록 모듈 (250) 을 통해 마스터 클록 (260) 을 사용하여 서브시스템들 각각과의 통신들을 동기화하도록 구성된다. 마스터 클록 (260) 은 슬레이브 클록 각각이 특정한 서브시스템 (예를 들어, 서브시스템들 (260a 내지 260n), 가스 공급 매니폴드(들) 서브시스템들 (112), 및 RF 생성기(들) 서브시스템 (104) 중 하나) 과 관련된, 복수의 슬레이브 클록들 (261a 내지 261z) 을 동시에 제어한다. 예를 들어, 슬레이브 클록 (261-a) 은 서브시스템 (260a) 과 관련될 수 있고, 슬레이브 클록 (261-b) 은 서브시스템 (260b) 과 관련될 수 있는, 등 한다. 이러한 방식으로, 이의 각각의 슬레이브 클록 (예를 들어, 슬레이브 클록 (261-a)) 을 통해 타이밍될 때 특정한 서브시스템 (예를 들어, 서브시스템 (260a)) 으로 지향된 제어 신호들은 이의 각각의 슬레이브 클록 (예를 들어, 슬레이브 클록 (261-b)) 을 통해 타이밍될 때 또 다른 서브시스템 (예를 들어, 서브시스템 (260b)) 으로 지향된 제어 신호들 (295-C) 과 동기화된다. 이와 같이, 서브시스템들 (260-a 내지 260-n), 가스 공급 매니폴드(들) 서브시스템들 (112), 및 RF 생성기(들) 서브시스템 (104) 으로 지향된 모든 제어 신호들 (295-C) 이 동기화된다. 또한, 분산된 제어기 (220-B) 는 복수의 클록 사이클들을 포함하는 클록 스피드를 갖는 마스터 클록 (260) 을 포함하는, 분산된 클록 모듈 (250) 을 포함한다. 클록 사이클들 각각은 동기화된 제어 신호들이 분산된 제어기 (220-B) 에 의해 복수의 서브시스템들로 전달되고 복수의 서브시스템들 (210) 로부터 수신되는, 피드백 루프에 사전 상관되는 지속기간의 클록 사이클이다. 이와 같이, 일 피드백 루프는 적어도 마스터 클록 (260) 의 일 클록 사이클 내에 수행될 수도 있다. 이러한 방식으로, 분산된 제어기 (220-B) 는 각각의 슬레이브 클록을 통해 커플링된 임의의 부착된 서브시스템에 대한 시간 분해능을 상승시키기 충분한 스피드인 마스터 클록 (260) 을 활용한다. 예를 들어, RF 제어 및 ALD 밸브 제어는 이전에 기술된 바와 같이 대응하는 서브시스템들에 대해 가능하다. 마스터 클록 (260) 은 이들 서브시스템들 각각에 대한 타이밍 지연들 및 지터를 감소시킨다. 이와 같이, 마스터 클록 (260) 은 서브시스템들의 동기화, 및 개선된 RF 제어를 가능하게 한다.
분산된 제어기 (220-B) 는 프로세스 단계들 사이의 천이를 위해 대응하는 수의 피드백 루프들을 수행하기 위한 마스터 클록 (260) 의 미리 규정된 수의 클록 사이클들을 할당하도록 더 구성된다. 이러한 방식으로, 다음 프로세스 단계로의 천이는 미리 규정된 수의 클록 사이클들 및 대응하는 수의 피드백 루프들 내에서 천이를 완료함으로써 개선된다. 즉, 서브시스템들 각각의 제어는 서브시스템들로의 제어 신호들이 마스터 클록 (260) 에 의해 각각의 슬레이브 클록들 (261a 내지 261z) 을 통해 동기화되기 때문에 대응하는 수의 피드백 루프들 내에서 달성된다.
이전에 기술된 바와 같이, 미리 규정된 수의 클록 사이클들은 단계 기간의 분율로 제한되고, 일 실시예에서 단계 기간은 100 개의 분율들을 포함한다. 다른 실시예들에서, 단계 기간은 상이한 수의 분율들을 포함한다. 이와 같이, 단계 기간의 분율은 마스터 클록 (260) 의 미리 규정된 수의 클록 사이클들, 및 대응하여 마스터 클록 (260) 클록 스피드를 규정할 수도 있다. 즉, 클록 스피드는 단계 기간의 분율 동안 수행될 미리 규정된 수의 클록 사이클들에 기초한다. 일 실시예에서, 클록 스피드는 일 피드백 루프를 수행하기 위해 필요한 시간의 반전에 의해 결정되거나 계산된다. 또 다른 실시예에서, (미리 규정된 수의 클록 사이클들과 연관하여) 대응하는 수의 피드백 루프들을 수행하기 위한 단계 기간의 분율은 단계 기간의 1 % 이하이다. 또 다른 실시예에서, 단계 기간의 분율은 단계 기간의 5 % 이하이다. 다른 실시예들에서, 단계 기간의 분율은 단계 기간의 10 % 이하이다.
도 3은 본 개시의 일 실시예에 따른, 플라즈마 프로세스 모듈의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템 (300) 에서 서브시스템 응답들의 피드백 제어를 예시한다. 도 3은 도 2a의 통신 시스템 (200A) 또는 도 2b의 통신 시스템 (200B) 내에서 구현될 수도 있다. 하나 이상의 서브시스템(들) (301) 이 프로세스 모듈 (110) 에 커플링되고 분산된 제어기 (220) 에 의해 제어가능하다. 간략함 및 명확성의 목적들을 위해, 서브시스템(들) (301) 및 RF 생성기(들) 서브시스템 (104) 은 프로세스 모듈 (110) 에 커플링되어 도시된다. 예시의 목적들을 위해, 가스 공급 매니폴드(들) 서브시스템 (112) 은 서브시스템(들) (301) 내의 대표적인 서브시스템으로서 도시된다. 이전에 기술된 바와 같이, 서브시스템들 (301) 은 프로세스 모듈 (110) 내에서 구현된 프로세스들을 제어하기 위해 사용될 수 있다. 통신 시스템 (300) 은 짧은 단계 시간들을 구현하도록 프로세스 모듈 (110) 에 커플링된 서브시스템들 (301) 의 정밀 위상 및 동기화 제어를 수행하기 위해 구성되어, 유사-ALD 프로세스 및 유사-ALE 프로세스를 위한 복잡한 레시피 튜닝 알고리즘들을 구현하기 위한 것과 같이, 보다 큰 프로세스 능력들을 인에이블한다.
도 1 및 도 2a에서 이전에 도입된 바와 같이, 도 3의 프로세스 모듈 (110) 은 진공 또는 제어된 분위기에서 웨이퍼들을 프로세싱하기 위해 구성된다. 예를 들어, 프로세스 모듈 (110) 은 하나 이상의 반도체 제작 프로세스들을 구현하도록 구성될 수도 있다. 예를 들어, 프로세스 모듈 (110) 은 유사-ALD 프로세스 및 유사-ALE 프로세스와 같은, 증착 프로세스 또는 에칭 프로세스 동안 재료의 증착을 포함하는, 다양한 프로세스들을 용이하게 하도록 플라즈마를 생성하기 위한 플라즈마 프로세싱 챔버를 포함한다. 챔버는 하나 이상의 전극들, 기판 지지부, (웨이퍼를 제자리에 홀딩하기 위한 정전 홀딩 힘을 유도하기 위해, 고 전압으로 바이어스된 전극들을 포함하도록 구성된) 기판 지지부의 정전 척, 하나 이상의 가스 샤워헤드들, 기판 지지부와 샤워헤드들 사이의 갭을 제어하기 위한 갭 제어 메커니즘들을 포함할 수도 있다. 간략함 및 명확성의 목적들을 위해, 당업자에게 공지된 챔버 및/또는 프로세스 모듈 (110) 의 다양한 다른 컴포넌트들의 상세한 기술들은 제공되지 않지만, 고려되고 완전히 지지된다.
간략함 및 명확성의 목적들을 위해, 예시적인 통신 시스템 (300) 은 이전에 도입된, 프로세스 단계들 사이에서 천이할 때 피드백 제어의 구현예를 예시하기 위한 2 개의 서브시스템들을 포함한다. 서브시스템들은 RF 생성기(들) (104) 및 가스 공급 매니폴드(들) (112) 를 포함한다 (임의의 서브시스템(들) (301) 이 대표적). 분산된 제어기 (220) 는 (예를 들어, 입력 및 제어 신호들 (108) 에 응답하여) 복수의 프로세스 단계들을 개시하도록 구성된다. 일 구현예에서, 분산된 제어기 (220) 는 제어 신호들을 동기화하기 위한 마스터 클록 (260) 을 포함하는, 도 2a에서와 같이 독립형 제어기 (220-A) 로서 구현될 수도 있다. 또 다른 구현예에서, 분산된 제어기 (220) 는 도 2b에서와 같이, 분산된 제어기 (220-B) 및 분산된 클록 모듈 (250) 을 포함하는 구성으로 구현될 수도 있고, 분산된 클록 모듈 (250) 은 제어 신호들을 동기화하기 위한 마스터 클록 (260) 을 포함한다. 일부 실시예들에서, 제어 신호들은 웨이퍼 위에 막들을 증착하거나 형성하기 위한 것과 같이, 프로세스 레시피들, 예컨대 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼의 기계적 운동, 등을 포함할 수도 있다.
예를 들어, RF 생성기(들) 서브시스템 (104) 은 매칭 네트워크 (106) 를 통해 프로세스 모듈 (110) 에 커플링되고, 매칭 네트워크 (106) 는 통신 시스템들 (300) 전반에서 RF 반사를 제어하기 위해 구성된다. RF 전력은 프로세스 모듈 (110) 내에서 기판을 프로세싱하도록 인가된다. 특히, RF 전력은 에칭 프로세스 및/또는 증착 프로세스를 위해 사용된 프로세스 모듈 (110) 내에서 플라즈마를 점화하도록 인가되고, 프로세스 모듈 (110) 내 프로세스 가스(들)는 RF 생성기(들) 서브시스템 (104) 으로부터의 RF 전력을 겪는다.
이에 더하여, 가스 공급 매니폴드(들) 서브시스템 (112) 은 프로세스 모듈 (110) 에 커플링된다. 가스 공급 매니폴드(들) 서브시스템 (112) 은 프로세스 가스들 (320) (예를 들어, 가스 1, 가스 2, …, 가스 N), 예를 들어, 설비로부터 공급된 가스 화학물질들에 연결된다.
수행될 프로세싱에 따라, 분산된 제어기 (220) 는 가스 공급 매니폴드(들) 서브시스템 (112) 을 통해 프로세스 가스들 (320) 의 전달을 제어한다. 이어서 선택된 가스들은 샤워헤드 내로 흐르고 샤워헤드와 웨이퍼 지지 시스템 상에 놓인 웨이퍼 사이에 규정된 공간 볼륨에 분산된다. 예를 들어, 유사-ALD 프로세스 또는 유사-ALE 프로세스에서, 가스들은 활성화 또는 증착 프로세스 단계들을 수행하기 위해 선택된 반응물질들일 수 있다. 또한, 가스들은 사전혼합될 수도 있고 사전혼합되지 않을 수도 있다. 가스 공급 매니폴드(들) 서브시스템 (112) 내 적절한 밸빙 (valving) 및 질량 유량 제어 메커니즘들은 증착 및 활성화 프로세스 단계들 동안 올바른 가스들이 전달되었다는 것을 보장하도록 채용될 수도 있다. 프로세스 가스들은 유출구 (미도시) 를 통해 챔버를 나간다. 진공 펌프 (예를 들어, 1 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프) 가 프로세스 가스들을 인출하고 가스 공급 매니폴드(들) 서브시스템 (112) 내 쓰로틀 밸브 또는 펜둘럼 밸브와 같은 폐루프 제어된 플로우 제한 디바이스에 의해 프로세스 모듈 (110) 내에서 적합하게 낮은 압력을 유지한다.
분산된 제어기 (220) 는 RF 생성기(들) 서브시스템 (104), 가스 공급 매니폴드(들) 서브시스템 (112), 및 프로세스 모듈 (110) 에 의해 구현될 때 프로세스 단계들 사이에서 천이하는 경우 하나 이상의 피드백 루프들을 구현하도록 구성된다. 특히, 분산된 제어기 (220) 는 마스터 클록 (260) 을 사용하여, RF 생성기(들) 서브시스템 (104) 및 가스 공급 매니폴드(들) 서브시스템 (112) 을 포함하는 서브시스템들과의 통신들을 동기화하도록 구성된다. 마스터 클록 (260) 의 클록 사이클 각각은 제어 신호들이 RF 생성기(들) 서브시스템 (104) 및 가스 공급 매니폴드(들) 서브시스템 (112) 을 포함하는 각각의 서브시스템들로 전달되고 서브시스템들로부터 수신되는, 피드백 루프의 구현예에 사전 상관된다. 이와 같이, 일 피드백 루프는 적어도 마스터 클록 (260) 의 일 클록 사이클 내에서 수행될 수도 있다.
도 3에 도시된 바와 같이, 응답 신호들은 분산된 제어기 (220) 에 의해 수신된다. 예를 들어, 응답 RF 신호들 (310) 은 RF 생성기(들) 서브시스템 (104) 으로부터 수신되고, RF 전력이 인가되는지 여부 및 시간의 특정한 지점에 인가된 RF 전력이 어느 레벨인지를 포함할 수도 있다. 이에 더하여, 응답 가스 신호들 (315) 이 가스 공급 매니폴드(들) 서브시스템 (112) 으로부터 수신되고, 대응하는 가스들의 전달을 위해 개방되는지 여부를 포함할 수도 있다. 일 실시예에서, 응답 신호들 (310 및 315) 은 1 ㎳ 정밀도로 전달된다. 이에 더하여, 측정값들 (317) 은 프로세스 모듈 (110) 로부터 분산된 제어기 (220) 로 전달될 수도 있다. 이들 측정값들 (317) 은 프로세스 모듈 (110) 내에 위치되거나 부착된 센서들로부터 수집될 수도 있다. 일 실시예에서, 측정값들 (317) 은 하나 이상의 가스 화학물질들을 측정하기 (예를 들어, 체적, 양, 혼합물들, 등) 위해 구성될 수도 있다. 다른 실시예들에서, 측정값들 (317) 은 온도, 압력, 등을 포함하는 다른 파라미터들을 측정하기 위해 구성될 수도 있다.
일 실시예에서, 특정한 서브시스템에 대한 피드백 루프는 프로세스 단계들 사이에서 천이할 때 구현되는 복수의 단계들을 포함한다. 즉, 피드백 루프는 각각의 서브시스템에 대한 제어 신호들의 성능이 수행되는지 여부를 결정한다. 특히, 피드백 루프는 각각의 서브시스템에 의해 제어되는 파라미터를 측정하는 제 1 단계를 포함한다. 예를 들어, RF 생성기(들) 서브시스템 (104) 에 관하여, 파라미터는 진폭, 위상 (제어 신호를 인가할 때 유도된 시간), 전력, 등 중 하나를 포함할 수도 있따. 예를 들어, 가스 매니폴드(들) 서브시스템 (112) 에 관하여, 파라미터는 각각의 밸브가 개방되었는지 또는 폐쇄되었는지 여부를 나타낼 수도 있다. 피드백 루프는 측정된 파라미터를 프로세싱하는 제 2 단계를 포함한다. 피드백 루프는 프로세싱된 측정값들에 기초하여 변화를 결정하는 제 3 단계를 포함한다. 예를 들어, 목표된 레벨의 파라미터가 최초 제어 신호에 응답하여 요구되고, 목표된 레벨이 달성되지 않으면, 피드백 제어 신호가 통신 시스템 (300) 가 각각의 파라미터에 대해 적절한 레벨을 달성하는 것을 돕도록 생성될 수도 있다. 이와 같이, 피드백 제어 신호는 최초 제어 신호와 상이할 수도 있다. 피드백 루프는 변화를 적용하는 제 4 단계를 포함한다. 변화의 적용은 마스터 클록 (260) 의 클록 스피드의 일 사이클 내에 각각의 서브시스템으로 대응하는 제어 신호 (예를 들어, 피드백 제어 신호) 를 전송함으로써 수행될 수도 있다. 즉, 피드백 루프는 일 사이클 기간 내에 수행된다.
일 실시예에서, 피드백 루프는 PID (proportional-integral-derivative) 제어 루프를 포함한다. 단지 예시의 목적들을 위해, PID 제어 루프는 피드백을 제공하기 위해 하나 이상의 단계들을 구현한다. PID 제어 루프는 센서를 판독하는 단계 (예를 들어, 파라미터의 측정값을 결정하는 단계), 그리고 이어서 센서 판독값들에 대한 비례, 적분, 및 미분 응답들을 계산함으로써 출력을 산출하는 단계를 포함할 수도 있다. 응답들은 출력을 생성하기 위해 축적된다. 출력은 액추에이터 응답을 결정하기 위해 사용될 수도 있다.
일 실시예에서, 제 1 서브시스템으로 전달된 피드백 제어 신호는 제 2 서브시스템에 의해 제어된 제어 신호들 및/또는 적어도 하나의 파라미터에 기초한다. 예를 들어, 제어 신호는 제 1 서브시스템으로서 RF 생성기에 전달된 RF 전력 제어 신호일 수도 있다. 또 다른 예에서, 제어 신호는 플라즈마 프로세스 모듈에서, 제 1 가스 화학물질 및 제 2 가스 화학물질의 측정값들에 기초하고 제 1 가스 화학물질 및 제 2 가스 화학물질은 적어도 하나의 프로세스 단계들을 수행할 때 사용된다. 이에 더하여, 제 1 가스 화학물질 및 제 2 가스 화학물질은 제 2 서브시스템으로서 하나 이상의 가스 공급 매니폴드(들)에 의해 제어된다. 일부 실시예들에서, 제어 신호는 최초 제어 신호일 수도 있다.
도 4a는 본 개시의 일 실시예에 따른, 플라즈마 프로세스 모듈의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템에서 마스터 클록의 하나 이상의 클록 사이클들을 예시하고, 프로세스 단계들 사이의 천이 동안, 피드백 루프가 일 클록 사이클에서 수행되고, 피드백 루프는 도 4a의 통신 시스템의 분산된 클록 모듈 또는 분산된 제어기에 의해 복수의 서브시스템들로부터, 동기화된 제어 신호들을 전달 및 수신하는 것을 포함한다. 프로세스 단계들 사이에서 천이할 때 수행된 도 4a에 도시된 바와 같은 피드백 루프들은 실시예들에서 도 2a, 도 2b, 및 도 3의 통신 시스템들 (200A, 200B, 및 300) 에서 구현될 수도 있다.
특히, 복수의 프로세스 단계들 (450) 이 통신 시스템에서 수행된다. 예를 들어, 프로세스 단계들 (450) 은 프로세스 단계 1 (451) 및 프로세스 단계 2 (452) 를 포함할 수도 있고, 프로세스 단계들 각각은 단계 기간을 포함한다. 단지 예시 목적들을 위해, 예시적인 단계 기간은 1 초일 수도 있다. 프로세스 단계 1 (451) 및 프로세스 단계 2 (452) 는 제작 프로세스가 완료될 때까지 반복될 수도 있다. 예를 들어, 프로세스 단계 1은 활성화 단계일 수도 있고 프로세스 단계 2는 증착 단계일 수도 있고, 모두 유사-ALD 프로세스 동안 수행된다.
프로세스 단계 1 (451) 및 프로세스 단계 2 (452) 각각으로의 천이들 (460) 이 도시된다. 본 개시의 실시예들은 이전에 도입된 바와 같이, 단계 기간의 분율 내에서 프로세스 단계로 천이를 제공한다. 이와 같이, 천이 (460) 각각에 대해, 프로세스 단계 1 또는 프로세스 단계 2로의 천이는, 통신 시스템의 서브시스템들 각각이 각각의 응답 시간을 달성하도록 단계 기간의 분율 내에서 달성된다. 일 실시예에서, 단계 기간은 100 개의 분율들을 포함한다. 다른 실시예들에서, 단계 기간은 100보다 많은 분율들, 또는 100보다 작은 분율들을 포함한다. 100 개의 분율들의 단계 기간에 대해, 일 실시예에서 천이가 단계 기간의 1 % 이내에서 달성되도록, 단일 분율은 단계 기간의 1 % 이하이다. 단계 기간에서 분율들의 수를 가변시킴으로써, 다른 백분율들이 프로세스 단계들 사이의 천이를 수행하기 위해 달성될 수도 있다. 일 실시예에서, 분율은 단계 기간의 5 % 이하이다. 다른 실시예들에서, 분율은 단계 기간의 10 % 이하이다. 다른 실시예들에서, 제어는 단계 기간을 가변함으로써 달성된다.
프로세스 단계 2 (452) 로의 천이들 (460) 중 하나의 상세한 예시가 도 4a에 도시된다. 특히, 미리 규정된 수의 클록 사이클들은 제어 요건들에 기초하여 결정된다. 예를 들어, 제어 요건들은 단계 기간의 분율 (470) 내에 수행될 10 개의 피드백 루프들을 포함할 수도 있고, 단계 기간은 100 개의 분율들을 포함한다. 다른 예들에서, 제어 요건들은 보다 적은 수의 피드백 루프들 (예를 들어, 6), 또는 보다 많은 수의 피드백 루프들을 포함할 수도 있다. 도 4a에 도시된 바와 같이, 10 개의 피드백 루프들 (480) 프로세스 단계 2의 단계 기간의 분율 (470) 내에서 수행될 것을 필요로 한다. 특히, 프로세스 단계 2의 분율 (470) 은 10 ㎳의 지속기간, 또는 1 초의 단계 기간의 1 %이다. 분율 (470) 은 타임라인 (490) 과 비교하여 도시되고, 도 4a의 타임라인 (490) 은 프로세스 단계 2 (452) 의 개시, 천이 동안 수행된 10 개의 피드백 루프들 (480) 을 도시한다.
클록 사이클 각각이 피드백 루프에 사전 상관된 지속기간을 갖고, 단계 기간의 분율의 시간 기간은 공지되기 때문에, 마스터 클록 (455) 의 클록 스피드가 공지된다. 도 4a에 도시된 바와 같이, 피드백 루프가 클록 사이클에 사전 상관되고, 피드백 루프는 1 ㎳의 지속기간 (예를 들어, 1 초의 단계 기간의 10 ㎳의 분율 (470) 내 10 개의 피드백 루프들) 이다. 1 ㎳의 클록 사이클로, 클록 스피드는 1 ㎑ (초 당 1000 사이클들) 이다.
도 4b는 본 개시의 일 실시예에 따른, RF 전력 신호 (420) 에 의해 나타낸 RF 전력의 인가에 대해 도시된 바와 같이, 도 4a에서 도입된 프로세스 단계들 사이에 천이 (460) 의 또 다른 예시이고, RF 전력 신호 (예를 들어, 파라미터) 는 RF 생성기(들) 서브시스템에 의해 제어되고, 프로세스 단계 2 (452) 가 도시되고 제 1 프로세스 단계 1 (451) 후 그리고 제 2 프로세스 단계 1 전에 위치된다.
프로세스 단계 2 (452) 는 플라즈마 프로세스 모듈의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템에서 발견된 마스터 클록의 클록 사이클들과 정렬된다. 프로세스 단계 2는 1 초의 단계 기간을 갖는다. 단계 기간은 100 개의 분율들을 갖기 때문에, 분율 각각이 10 ㎳의 지속기간을 갖는 100 개의 분율들이 있다.
이에 더하여, 프로세스 단계들 사이의 천이 (460) (예를 들어, 프로세스 단계 2로의 천이) 동안 대응하는 수의 피드백 루프들 미리 결정된 수의 클록 사이클들 동안 수행된다. 또한, 미리 결정된 수의 클록 사이클들은 단계 기간의 분율로 제한된다. 이와 같이, 모두 10과 같아지는 대응하는 수의 피드백 루프들 및 미리 결정된 수의 클록 사이클들에 대해, 피드백 루프는 마스터 클록 (455) 의 1 ㎳의 클록 사이클 내에서 수행된다.
프로세스 단계 2는 단계 기간 (예를 들어, 1 초) 동안 수행되는 100 피드백 루프들을 갖는 것으로 규정될 수도 있다. 프로세스 단계 2는 마스터 클록 (455) 의 제 1 클록 사이클 (예를 들어, 피드백 루프 또는 사이클 1), 또는 제 1 ㎳의 천이 (460) 내에 수행되는, 피드백 루프 또는 사이클을 설정하는 단계 (430) 를 포함할 수도 있다. 피드백 루프를 설정하는 단계는 복수의 서브시스템들의 하나 이상의 제어 파라미터들을 설정하기 위해 구성된다. 예를 들어, 피드백 루프를 설정하는 단계는 RF 생성기(들) 서브시스템의 RF 전력 (예를 들어, 진폭) 을 설정하도록 사용될 수도 있다.
각각의 서브시스템 또는 서브시스템들의 제어가 일 클록 사이클 내에 달성되지 않을 수도 있기 때문에, 복수의 클록 사이클들이 단계 기간의 분율 동안 발생하는 천이 기간에 할당된다. 이와 같이, 피드백 루프를 설정하는 단계 (430) 에 더하여, 프로세스 단계 2는 각각의 서브시스템의 피드백 제어된 튜닝을 수행하기 위한 복수의 피드백 루프들을 조정하는 단계 (431) 를 포함할 수도 있다. 피드백 루프를 조정하는 단계 각각은 마스터 클록의 또 다른 일 클록 사이클 내에 수행되고, 피드백 루프들을 조정하는 단계는 피드백 루프를 설정하는 단계 (430) 후에 수행된다. 도시된 바와 같이, 피드백 루프들을 조정하는 단계 (431) 는 천이 (460) 의 2 ㎳와 10 ㎳ 사이에 수행되는 피드백 루프들 또는 사이클들 2 내지 10을 포함한다. 이에 더하여, 피드백 루프를 조정하는 단계 (431) 각각은 하나 이상의 제어 파라미터들의 설정을 검증하기 위해 그리고/또는 하나 이상의 제어 파라미터들의 설정을 조정하기 위해 구성된다. 천이 (460) 의 종료시, 요구되고 대응하는 수의 피드백 루프들은 각각의 서브시스템들 각각에 대해 프로세스 단계 2로의 천이가 완료되고 달성된 후 수행되었다.
또한, 복수의 모니터링 피드백 루프들 (432) 은 단계 기간 내에 복수의 피드백 루프들을 조정하는 단계 후 수행될 수도 있다. 도시된 바와 같이, 모니터링 피드백 루프들 (432) 은 단계 기간의 11 ㎳과 100 ㎳ 사이에 수행되는 피드백 루프들 또는 사이클들 11 내지 100을 포함한다. 모니터링 피드백 루프 (431) 각각은 하나 이상의 제어 파라미터들을 설정하는 단계를 검증하기 위해 구성된다. 필요하다면, 설정들에 대한 추가 조정들이 모니터링 피드백 루프들 (431) 동안 이루어질 수도 있다.
이에 더하여, 프로세스 모듈에 커플링된 서브시스템들의 정밀한 위상 및 동기화 제어가 마스터 클록을 통해 달성되기 때문에, 플라즈마 프로세싱은 유사-ALD 프로세스 및 유사-ALE 프로세스를 위한 복잡한 레시피 튜닝 알고리즘들을 구현할 때와 같이, 보다 큰 프로세스 능력들로 보다 짧은 단계 시간들 (예를 들어, 1 초 이하 단계 기간들) 에 걸쳐 제어될 수도 있다. 예를 들어, 부가적인 또는 레시피 하부-단계들은 하나 이상의 모니터링 피드백 루프들 (432) 동안 전체 단계 기간 내에 포함될 수도 있다. 일 실시예에서, 하부-단계의 제어는 유사하게 수행된 프로세스 단계들 사이의 반복성 및 균일도를 달성하기 위해 전체 단계 기간의 분율의 천이 기간 내에 수행될 수도 있다.
통신 시스템들 (200A, 200B, 및 300) 의 다양한 모듈들의 상세한 기술과 함께, 흐름도 (500) 는 본 개시의 일 실시예에 따른, 플라즈마 프로세스 모듈의 서브시스템들 사이의 제어 신호들을 동기화하기 위한 방법을 개시한다. 실시예들에서, 흐름도 (500) 는 상기 참조된 통신 시스템들 내에서 구현된다.
510에서, 분산된 제어기에 의해 복수의 프로세스 단계들을 개시하는 단계를 포함한다. 프로세스 단계들은 프로세스 모듈에 커플링된 복수의 서브시스템들에 의해 수행되고, 프로세스 단계들 각각은 단계 기간을 갖는다. 서브시스템들은 동기화된 제어 신호들에 의해 제어되고, 제어 신호들은 독립형 분산된 제어기에 의해, 또는 별도의 분산된 제어기로부터 처음으로 제어 신호들을 수신하는 분산된 클록 모듈에 의해 동기화되고 서브시스템들로 전달된다. 서브시스템들 각각은 프로세스 단계로 천이 동안 대응하는 서브시스템의 제어가 달성되는, 각각의 서브시스템 응답 시간을 갖는다.
일 실시예에서, 흐름도 (500) 에서 수행된 방법은 복수의 프로세스 단계들에서 자기 제한 반응들 없이 원자 층 정밀도로 증착 프로세스를 수행하도록 구현되고, 프로세스 단계들은 활성화 단계, 및 유사-ALD 프로세스에서와 같은, 증착 단계를 포함한다. 또 다른 실시예에서, 흐름도 (500) 에서 수행된 방법은 복수의 프로세스 단계들에서 자기 제한 반응들 없이 원자 층 정밀도로 에칭 프로세스를 수행하도록 구현되고, 프로세스 단계들은 활성화 단계, 및 에칭 단계를 포함한다.
520에서, 복수의 클록 사이클들을 포함하는 클록 스피드를 갖는 마스터 클록을 구성하는 단계를 포함한다. 마스터 클록은 제어 신호들을 동기화하기 위해 사용되고, 이와 같이 독립형 분산된 제어기 또는 분산된 클록 모듈 내에 위치된다. 마스터 클록의 클록 사이클 각각은 피드백 루프에 사전 상관된 지속기간을 갖는다. 동기화된 제어 신호들은 피드백 루프 및 클록 사이클 내에 분산된 제어기에 의해 복수의 서브시스템들로 전달되고 복수의 서브시스템들로부터 수신된다.
530에서, 방법은 프로세스 단계들 사이의 천이를 위해 대응하는 수의 피드백 루프들을 수행하기 위한 미리 규정된 수의 클록 사이클들을 할당하는 단계를 포함한다. 또한, 일 피드백 루프는 마스터 클록의 일 클록 사이클 내에서 수행되도록 규정된다. 이전에 기술된 바와 같이, 피드백 루프를 수행하는 단계는 각각의 서브시스템에 의해 제어된 파라미터를 측정하는 단계, 측정된 파라미터를 프로세싱하는 단계, 프로세싱된 측정값에 기초하여 변화를 결정하는 단계, 및 마스터 클록의 클록 스피드의 일 사이클 내에 각각의 서브시스템으로 대응하는 제어 신호를 전송함으로써 변화를 적용하는 단계를 포함한다. 일 실시예에서, 피드백 루프는 PID 루프에서 수행된 단계들을 포함한다.
이에 더하여, 540에서, 방법은 단계 기간의 분율로 미리 규정된 수의 클록 사이클들을 제한하는 단계를 포함하고, 단계 기간은 100 개의 분율들을 포함한다. 즉, 단계 기간의 분율은 서브시스템들 각각으로의 제어 신호들이 대응하는 프로세스 단계로 천이 동안 달성되는 천이 기간을 규정한다. 일 실시예에서, 분율은 단계 기간의 1 % 이하이다. 다른 실시예에서, 분율은 단계 기간의 5 % 이하이다. 또 다른 실시예에서, 분율은 단계 기간의 10 % 이하이다.
또한, 일단 단계 기간의 분율, 미리 규정된 수의 클록 사이클들, 및 대응하는 수의 피드백 루프들이 결정되면, 마스터 클록의 클록 스피드가 결정될 수 있다. 또한, 클록 사이클들의 수는 단계 기간 동안 결정될 수도 있다. 이와 같이, 프로세스 단계들 사이의 천이를 위해 대응하는 수의 피드백 루프들을 수행할 때, 방법은 피드백 루프를 설정하는 단계를 수행하는 것을 포함하고, 피드백 루프를 설정하는 단계는 마스터 클록의 제 1 클록 사이클 내에 수행된다. 피드백 루프를 설정하는 단계는 복수의 서브시스템들의 하나 이상의 제어 파라미터들을 설정하기 위해 구성된다. 이에 더하여, 방법은 복수의 피드백 루프들을 조정하는 단계를 수행하는 것을 포함한다. 피드백 루프들을 조정하는 단계 각각은 마스터 클록의 또 다른 클록 사이클 내에 수행되고 피드백 루프를 설정한 후 수행된다. 피드백 루프를 조정하는 단계 각각은 이전에 기술된 바와 같이 하나 이상의 제어 파라미터들을 설정하는 단계를 검증하기 위해 그리고 하나 이상의 제어 파라미터들을 설정하는 단계를 조정하기 위해 구성된다.
일 실시예에서, 방법은 제 2 서브시스템에 의해 제어된 적어도 하나의 파라미터의 측정값들에 기초하여 제 1 서브시스템으로 전달된 제어 신호를 생성하는 단계를 포함한다. 제어 신호는 프로세스 단계로 천이할 때 사용된 피드백 제어 신호 또는 최초 제어 신호일 수도 있다. 예를 들어, 제어 신호는 제 1 서브시스템으로서 RF 생성기로 전달된 RF 전력 제어 신호일 수도 있다. 특히, 제어 신호는 플라즈마 프로세스 모듈 내 제 1 가스 화학물질 및 제 2 가스 화학물질의 측정값들에 기초하고, 제 1 가스 화학물질 및 제 2 가스 화학물질은 적어도 하나의 프로세스 단계들을 수행할 때 사용된다. 이에 더하여, 제 1 가스 화학물질 및 제 2 가스 화학물질은 제 2 서브시스템으로서 하나 이상의 가스 공급 매니폴드(들)에 의해 제어된다. 일부 실시예들에서, 제어 신호는 최초 제어 신호일 수도 있다.
도 6a 내지 도 6f는 본 개시의 실시예들에 따른, 플라즈마 프로세스 모듈의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템에서 고 분해능으로 RF 전력 및 가스 전달의 제어를 동기화하기 위한 능력을 예시한다. 도 6a 내지 도 6f 각각에서, 라인 (610) 은 단계 경계 (step boundary) 를 도시하고, 제 1 레시피 단계 (제 1 프로세스 단계) 로부터 제 2 레시피 단계 (제 2 프로세스 단계) 로 변화할 때와 같이, 제 1 화학물질로부터 제 2 화학물질로 가스 화학물질이 변화하는 지점을 나타낸다. 즉, 제 1 레시피 단계는 라인 (610) 의 좌측이고, 제 2 레시피 단계는 라인 (610) 의 우측이다. 도 6a 내지 도 6f의 그래프들의 각각에서, 제 1 레시피 단계와 제 2 레시피 단계 사이의 천이는 1/10의 초 증분들을 도시하는 이들의 각각의 x-축을 따라 타임라인을 참조하여 강조된다. y-축은 관련된 가스 화학물질의 방출 강도를 도시한다.
도 6a는 본 개시의 일 실시예에 따른, 가스 화학물질들과 0 ㎳의 위상 지연과 함께 RF 전력의 인가 간의 관계를 도시하는 그래프 (600A) 를 도시한다. 예를 들어, 라인 (630) 은 대응하는 프로세스 모듈 내에서 제 1 가스 화학물질이 고 농도로부터 저 농도로 이동할 때 제 1 가스 화학물질의 측정값을 도시한다. 일 구현예에서, 라인 (630) 은 제 1 가스 화학물질의 측정된 방출 강도를 나타낸다. 제 1 가스 화학물질의 농도가 감소될 때, 라인 (630) 의 발생되는 방출 강도는 또한 하강될 것이다.
적절한 RF 전력의 인가는 제 1 가스 화학물질의 방출 강도에 영향을 갖는다. 라인 (635) 은 RF 전력의 인가시 변화를 나타낸다. 도 6a에서, RF 전력은 위상 지연을 갖지 않는다. 특히, 라인 (610) 은 RF 전력이 변화되는 지점을 나타낸다. 즉, 라인 (635) 이 라인 (610) 과 교차하면 (0 위상 지연) RF 전력의 변화가 또한 개시된다 (예를 들어, 제 1 레벨로부터 제 2 레벨로). 일 구현예에서, RF 전력의 변화는 로우로부터 하이로, 또는 하이로부터 로우로 진행한다. 가스 및 RF 전력의 제어는 하나 이상의 피드백 루프들과 관련되는 충분하고 필요한 스피드를 갖는 마스터 클록을 사용하여 제어 신호들을 동기화할 때 실현된 지터의 감소 때문에 가능하다. 도시된 바와 같이, 제 1 가스 화학물질 방출 강도는 RF 전력 레벨의 변화와 함께 하강되고, RF 전력의 인가 후 1 내지 3 ㎳ 후 최소 농도로 확정된다 (settle).
도 6b는 본 개시의 일 실시예에 따른, 가스 화학물질들과 50 ㎳의 위상 지연과 함께 RF 전력의 인가 간의 관계를 도시하는 그래프 (600B) 를 예시한다. 예를 들어, 라인 (640) 은 대응하는 프로세스 모듈 내에서 제 1 가스 화학물질이 고 농도로부터 저 농도로 이동할 때 제 1 가스 화학물질의 측정값을 도시한다. 일 구현예에서, 라인 (640) 은 제 1 가스 화학물질의 측정된 방출 강도를 나타낸다. 제 1 가스 화학물질의 농도가 감소될 때, 라인 (640) 의 발생되는 방출 강도가 또한 하강될 것이다.
적절한 RF 전력의 인가는 방출 강도에 영향을 갖는다. 라인 (645) 은 RF 전력의 인가시 변화를 나타낸다. 그래프 (600B) 에서, RF 전력은 50 ㎳의 위상 지연을 갖는다. 특히, 라인 (612) 은 RF 전력이 변화되는 지점을 나타낸다. 즉, 라인 (645) 이 라인 (612) 과 교차하면 (50 ㎳ 위상 지연) RF 전력의 변화가 또한 개시된다 (예를 들어, 제 1 레벨로부터 제 2 레벨로). 일 구현예에서, RF 전력의 변화는 로우로부터 하이로, 또는 하이로부터 로우로 진행한다. 가스 및 RF 전력의 제어는 하나 이상의 피드백 루프들과 관련되는 충분하고 필요한 스피드를 갖는 마스터 클록을 사용하여 제어 신호들을 동기화할 때 실현된 지터의 감소 때문에 가능하다. 도시된 바와 같이, 제 1 가스 화학물질 방출 강도는 RF 전력 레벨의 변화와 함께 하강되고, 라인 (612) 에서 RF 전력의 인가 후 1 내지 3 ㎳ 후 최소 농도로 확정된다.
도 6c는 본 개시의 일 실시예에 따른, 가스 화학물질들과 100 ㎳의 위상 지연과 함께 RF 전력의 인가 간의 관계를 도시하는 그래프 (600C) 를 도시한다. 예를 들어, 라인 (650) 은 대응하는 프로세스 모듈 내에서 제 1 가스 화학물질이 고 농도에서 저 농도로 이동할 때 제 1 가스 화학물질의 측정값을 도시한다. 일 구현예에서, 라인 (650) 은 제 1 가스 화학물질의 측정된 방출 강도를 나타낸다. 제 1 가스 화학물질의 농도가 감소될 때, 라인 (650) 의 발생되는 방출 강도는 또한 하강될 것이다.
적절한 RF 전력의 인가는 제 1 가스 화학물질의 방출 강도에 영향을 갖는다. 라인 (655) 은 RF 전력의 인가시 변화를 나타낸다. 그래프 (600C) 에서, RF 전력은 100 ㎳ 위상 지연을 갖는다. 특히, 라인 (614) 은 RF 전력이 변화되는 지점을 나타낸다. 즉, 라인 (655) 이 라인 (614) 과 교차하면 (100 ㎳ 위상 지연) RF 전력의 변화가 또한 개시된다 (예를 들어, 제 1 레벨로부터 제 2 레벨로). 일 구현예에서, RF 전력의 변화는 로우로부터 하이로, 또는 하이로부터 로우로 진행한다. 가스 및 RF 전력의 제어는 하나 이상의 피드백 루프들과 관련되는 충분하고 필요한 스피드를 갖는 마스터 클록을 사용하여 제어 신호들을 동기화할 때 실현된 지터의 감소 때문에 가능하다. 도시된 바와 같이, 제 1 가스 화학물질 방출 강도는 RF 전력 레벨의 변화와 함께 하강되고, 라인 (614) 에서 RF 전력의 인가 후 1 내지 3 ㎳ 후 최소 농도로 확정된다.
도 6d는 본 개시의 일 실시예에 따른, 가스 화학물질들과 200 ㎳의 위상 지연과 함께 RF 전력의 인가 간의 관계를 도시하는 그래프 (600D) 를 도시한다. 예를 들어, 라인 (660) 은 대응하는 플라즈마 프로세스 모듈 내에서 제 1 가스 화학물질이 고 농도에서 저 농도로 이동할 때 제 1 가스 화학물질의 측정값을 도시한다. 일 구현예에서, 라인 (660) 은 제 1 가스 화학물질의 측정된 방출 강도를 나타낸다. 제 1 가스 화학물질의 농도가 감소될 때, 라인 (660) 의 발생되는 방출 강도는 또한 하강될 것이다.
적절한 RF 전력의 인가는 제 1 가스 화학물질의 방출 강도에 영향을 갖는다. 라인 (665) 은 RF 전력의 인가시 변화를 나타낸다. 그래프 (600D) 에서, RF 전력은 200 ㎳ 위상 지연을 갖는다. 특히, 라인 (616) 은 RF 전력이 변화되는 지점을 나타낸다. 즉, 라인 (665) 이 라인 (616) 과 교차하면 (200 ㎳ 위상 지연) RF 전력의 변화가 또한 개시된다 (예를 들어, 제 1 레벨로부터 제 2 레벨로). 일 구현예에서, RF 전력의 변화는 로우로부터 하이로, 또는 하이로부터 로우로 진행한다. 가스 및 RF 전력의 제어는 하나 이상의 피드백 루프들과 관련되는 충분하고 필요한 스피드를 갖는 마스터 클록을 사용하여 제어 신호들을 동기화할 때 실현된 지터의 감소 때문에 가능하다. 도시된 바와 같이, 제 1 가스 화학물질 방출 강도는 RF 전력 레벨의 변화와 함께 하강되고, 라인 (616) 에서 RF 전력의 인가 후 1 내지 3 ㎳ 후 최소 농도로 확정된다.
도 6e는 본 개시의 일 실시예에 따른, 가스 화학물질들과 400 ㎳의 위상 지연과 함께 RF 전력의 인가 간의 관계를 도시하는 그래프 (600E) 를 도시한다. 예를 들어, 라인 (670) 은 대응하는 프로세스 모듈 내에서 제 1 가스 화학물질이 고 농도에서 저 농도로 이동할 때 제 1 가스 화학물질의 측정값을 도시한다. 일 구현예에서, 라인 (670) 은 제 1 가스 화학물질의 측정된 방출 강도를 나타낸다. 제 1 가스 화학물질의 농도가 감소될 때, 라인 (670) 의 발생되는 방출 강도는 또한 하강될 것이다.
적절한 RF 전력의 인가는 제 1 가스 화학물질의 방출 강도에 영향을 갖는다. 라인 (675) 은 RF 전력의 인가시 변화를 나타낸다. 그래프 (600E) 에서, RF 전력은 400 ㎳ 위상 지연을 갖는다. 특히, 라인 (618) 은 RF 전력이 변화되는 지점을 나타낸다. 즉, 라인 (675) 이 라인 (618) 과 교차하면 (400 ㎳ 위상 지연) RF 전력의 변화가 또한 개시된다 (예를 들어, 제 1 레벨로부터 제 2 레벨로). 일 구현예에서, RF 전력의 변화는 로우로부터 하이로, 또는 하이로부터 로우로 진행한다. 가스 및 RF 전력의 제어는 하나 이상의 피드백 루프들과 관련되는 충분하고 필요한 스피드를 갖는 마스터 클록을 사용하여 제어 신호들을 동기화할 때 실현된 지터의 감소 때문에 가능하다. 도시된 바와 같이, 제 1 가스 화학물질 방출 강도는 RF 전력 레벨의 변화와 함께 하강되고, 라인 (618) 에서 RF 전력의 인가 후 1 내지 3 ㎳ 후 최소 농도로 확정된다.
도 6f는 본 개시의 일 실시예에 따른, 가스 화학물질들과 800 ㎳의 위상 지연과 함께 RF 전력의 인가 간의 관계를 도시하는 그래프 (600F) 를 도시한다. 예를 들어, 라인 (680) 은 대응하는 프로세스 모듈 내에서 제 1 가스 화학물질이 고 농도에서 저 농도로 이동할 때 제 1 가스 화학물질의 측정값을 도시한다. 일 구현예에서, 라인 (680) 은 제 1 가스 화학물질의 측정된 방출 강도를 나타낸다. 제 1 가스 화학물질의 농도가 감소될 때, 라인 (680) 의 발생되는 방출 강도는 또한 하강될 것이다.
적절한 RF 전력의 인가는 제 1 가스 화학물질의 방출 강도에 영향을 갖는다. 라인 (685) 은 RF 전력의 인가시 변화를 나타낸다. 그래프 (600F) 에서, RF 전력은 800 ㎳ 위상 지연을 갖는다. 특히, 라인 (620) 은 RF 전력이 변화되는 지점을 나타낸다. 즉, 라인 (685) 이 라인 (620) 과 교차하면 (800 ㎳ 위상 지연) RF 전력의 변화가 또한 개시된다 (예를 들어, 제 1 레벨로부터 제 2 레벨로). 일 구현예에서, RF 전력의 변화는 로우로부터 하이로, 또는 하이로부터 로우로 진행한다. 가스 및 RF 전력의 제어는 하나 이상의 피드백 루프들과 관련되는 충분하고 필요한 스피드를 갖는 마스터 클록을 사용하여 제어 신호들을 동기화할 때 실현된 지터의 감소 때문에 가능하다. 도시된 바와 같이, 제 1 가스 화학물질 방출 강도는 RF 전력 레벨의 변화와 함께 하강되고, 라인 (620) 에서 RF 전력의 인가 후 1 내지 3 ㎳ 후 최소 농도로 확정된다.
도 7a는 프로세스 모듈의 서브시스템들로 제어 신호들을 전달하기 위한 통신 시스템에서 저 분해능으로 2 레벨들의 RF 전력 및 가스 전달의 인가를 예시하는 플롯 (700A) 이다. 플롯 (700A) 이 임의의 피드백/액티브-피드백 제어들 없이 RF 전력 및 가스 전달의 인가를 예시하기 때문에, 서브시스템들 (예를 들어, RF 생성기(들) 및 가스 공급 매니폴드(들), 등) 에 대한 제어 신호들은 플롯 (700A) 에서 동기화되지 않는다. 플롯 (700A) 에서, y-축 (701) 은 RF 전력을 나타내고, x-축 (705) 은 시간을 나타낸다. 단계 경계 라인 (731) 은 일반 프로세스 단계, 라벨링된 단계 B (742) 와 제 2 프로세스 단계, 라벨링된 단계 (741) 로 천이를 나타낸다. 순수하게 예시를 위해, 단계 B (742) 는 활성화 단계일 수 있고, 단계 (741) 는 증착 단계일 수 있다. 단계 경계 라인 (732) 은 일반 프로세스 단계, 라벨링된 단계 (741) 와 다른 프로세스 단계, 라벨링된 단계 B (742) 사이 천이를 나타낸다. 단계 경계 라인 (733) 은 일 일반 프로세스 단계, 라벨링된 단계 B (742) 와 또 다른 일반 프로세스 단계 (미도시, 예컨대 단계 (741)) 사이 천이를 나타낸다. 프로세스 단계 (741) 및 프로세스 단계 B (742) (예를 들어, 활성화 경계 및 경계 단계) 는 반복가능하다. 라인 (720) 은 RF 전력 강도를 나타내고, 이하에 기술될 바와 같이, 각각의 프로세스 단계들에서 고 레벨 또는 보다 낮은 레벨로 인가된다. 라인 (720) 은 세그먼트들 (예를 들어, 720A 내지 720C) 로 분할된다. 제어 신호들을 동기화하고 1 ㎳ 피드백을 제공하기 위해 마스터 클록이 채용되지 않기 때문에, 통신 서브시스템들과 연관된 큰 레이턴시 및 지터 영향들이 있다. 라인 (710) 은 가스 화학물질 X의 농도를 나타낸다. 예를 들어, 단계 (741) 가 증착 단계인 경우에서, 가스 화학물질 X는 테트라플루오로메탄 (CF4) 일 수 있다. 라인 (710) 은 세그먼트들 (예를 들어, 710A 내지 710E) 로 분할된다.
경계 단계 라인 (731) 의 좌측으로 프로세스 단계 B (742) 는 프로세스 모듈에서 수행된다. RF 전력은 라인 세그먼트 (720A) 에 의해 나타낼 때 고 레벨로 인가된다. 프로세스 모듈 내 가스의 농도는 주로 가스 화학물질 Y이다. 예를 들어, 단계 B (742) 가 활성화 단계인 경우에서, 가스 화학물질 Y는 아르곤 (Ar) 일 수 있다. 즉, 가스 화학물질 X의 농도는 라인 세그먼트 (710A) 로 나타낸 바와 같이 낮다.
프로세스 단계 (741) (예를 들어, 증착) 는 경계 단계 라인 (731) 에서 개시되고, 가스 화학물질들의 변화가 구현된다 (예를 들어, 가스 화학물질 X의 도입). 가스 화학물질들은 프로세스 모듈 전반에서 최적이지 않을 수도 있지만, RF 전력은 프로세스 단계 (741) 전반에서 라인 세그먼트 (720B) 에 의해 나타낸 바와 같이 저 레벨로 인가된다. 새로운 가스의 도입의 변화의 지연은 지연 기간 (t-gas delay (712)) 으로 나타낸다. 새로운 가스 화학물질 X (예를 들어, CF4) 는 가스들 (가스 화학물질 X 및 가스 화학물질 Y) 의 혼합물을 나타내는, 라인 세그먼트 (710B) 로 나타낸 바와 같이 가스 램프 시간 (t-gas ramp (714)) 에 걸쳐 고 농도까지 램핑한다. 가스 화학물질 X는 여전히 프로세스 모듈에서 프로세스 단계 (741) 를 겪는 동안 라인 세그먼트 (710C) 의 최대 농도에 도달한다.
프로세스 단계 B (742) (예를 들어, 활성화) 는 경계 단계 라인 (732) 에서 개시되고, 가스 화학물질들의 변화가 다시 구현된다 (예를 들어, 가스 화학물질 Y의 도입). 가스 화학물질들은 프로세스 모듈 내에서 최적이지 않을 수도 있지만, RF 전력은 프로세스 단계 B (742) 전반에서 라인 세그먼트 (720C) 에 의해 나타낸 바와 같이 고 레벨로 인가된다. 새로운 가스 화학물질 Y (예를 들어, 아르곤) 가 프로세스 모듈에서 고 농도로 램핑하고, 가스들 (가스 화학물질 X 및 가스 화학물질 Y) 의 혼합물을 나타내는, 점선 라인 세그먼트 (710D) 위의 값에서 점진적인 감소로 나타낸 바와 같이, 가스 화학물질 X의 농도의 감소로 나타낸다. 가스 화학물질 Y는 여전히 프로세스 모듈에서 프로세스 단계 B (742) 를 겪는 동안 라인 세그먼트 (710E) 의 최대 농도에 도달한다.
도 7b는 본 개시의 일 실시예에 따른, 플라즈마 프로세스 모듈의 서브시스템들을 제어하는 통신 시스템에서 목표된 가스에 대한 레이턴시 및 지연 기간들의 영향을 예시하는 플롯 (700B) 이다. 본 개시의 실시예들은 프로세스 모듈의 서브시스템들로 전달되고 프로세스 모듈의 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템의 레이턴시 및 지연의 영향들을 감소시킬 수 있다. 이 경우에서, RF 전력의 인가는 이상적으로 하나 이상의 가스들의 목표된 농도를 나타내는, 지점 (759) 에서 반복적으로 수행될 수 있다. 플롯 (700B) 은 가스 화학물질 X의 농도를 도시하는 y-축 (757B), 및 시간을 도시하는 x-축 (757A) 을 포함한다.
라인 (750) 은 프로세스 단계 (예를 들어, 활성화 또는 증착) 으로 천이 동안 가스 화학물질 X의 농도를 나타낸다. 라인 (758B) 은 프로세스 단계들 사이의 단계 경계를 나타낸다. 지점 (759) 에서 가스 화학물질 X의 농도는 대응하는 RF 전력의 인가를 위해 목표된다. 이는 화학물질들 (예를 들어, 가스 X 대 가스 Y) 의 목표된 비이다. 고 반복성 및 따라서 동일한 프로세스 단계의 구현예들 사이의 균일도를 달성하기 위해, 대응하는 RF 전력이 지점 (759) (예를 들어, +/- 1 ㎳ 지터를 갖는 1 ㎳ 레이턴시) 에서 인가될 수 있도록 레이턴시 및 지터는 감소되어야 한다.
본 개시의 실시예들의 분산된 제어기를 사용하지 않고, 지터 및 레이턴시가 도입된다. 즉, RF 전력의 인가는 지점 (759) 전 또는 후에 발생할 수도 있다. 예를 들어, 지점 (755) 은 지점 (759) 에서 가스의 목표된 농도를 벗어난 RF 전력의 인가시 50 ㎳를 나타내고, 지연은 지터 및/또는 레이턴시로 인한 것이다. 지점 (755) 에서, 화학물질들 (예를 들어, 가스 X 대 가스 Y) 의 비는 2 개의 영역들의 비로 미리 결정될 수도 있다 (예를 들어, 1 - 라인 (750) 위 그리고 라인들 (758B 및 755B) 로 경계가 지어진 영역, 그리고 2 - 라인 (750) 아래 그리고 라인들 (758A 및 755A) 로 경계가 지어진 영역). 이 비는 라인 (750) 이 지점 (751) 을 중심으로, 특히 지점들 (752 및 755) 사이에서, 이들 지점들 사이에서 비가 크게 가변하도록, 지수적 상승을 경험하기 때문에, 이상적이지 않을 수도 있다.
본 발명의 실시예들은 분산된 제어기에 부착되는 임의의 서브시스템에 대한 피드백/액티브 피드백 제어들을 제공한다. 예를 들어, 일 서브시스템이 RF 전력 및/또는 가스 전달을 제공하도록 구성된다. 서브시스템에 대한 피드백/액티브 피드백을 제공하는 예시로서, 도 7c는 본 개시의 일 실시예에 따른, 플라즈마 프로세스 모듈의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템의 RF 전력 및 가스 전달의 인가를 예시하는 플롯 (700C) 이고, 제 1 서브시스템에 의해 제어될 때 RF 전력은 제 2 서브시스템에 의해 제어될 때의 가스 화학물질 비들을 고려하여 인가될 수도 있고, 제어 신호들은 피드백 루프가 수행되는 클록 사이클을 갖는 마스터 클록을 사용하여 동기화되어, RF 전력의 선형 및 비선형 (즉, 임의의 함수 형태) 인가를 허용한다. 특히, 서브시스템들 (예를 들어, RF 생성기(들) 및 가스 공급 매니폴드(들), 등) 에 대한 제어 신호들은 이전에 도입된 마스터 클록을 사용하는 플롯 (700C) 에서 동기화된다. 플롯 (700C) 에서, y-축 (701) 은 RF 전력을 나타내고, x-축 (705) 은 시간을 나타낸다. 단계 경계 라인 (731) 은 일반 프로세스 단계, 라벨링된 단계 B (742) 와 제 2 프로세스 단계, 라벨링된 단계 (741) 로 천이를 나타낸다. 순수하게 예시를 위해, 단계 B (742) 는 활성화 단계일 수 있고, 단계 (741) 는 증착 단계일 수 있다. 단계 경계 라인 (732) 은 일반 프로세스 단계 (741) 와 다른 프로세스 단계 B (742) 사이 천이를 나타낸다. 단계 경계 라인 (733) 은 프로세스 단계 B (742) 와 프로세스 단계 (미도시) 사이 천이를 나타낸다. 프로세스 단계 (741) 및 프로세스 단계 B (742) (예를 들어, 활성화 경계 및 경계 단계) 는 반복가능하다.
플롯 (700C) 에 도시된 바와 같이, 통신 시스템은 가스 지연 시간 (t-gas delay) 및 가스 램프 시간 (t-gas ramp) 을 실시간으로 측정하고, (예를 들어, 2 개의 가스 화학물질들 사이의) 문턱값 화학물질 비를 결정하도록 구성된다. 이전 정보에 기초하여, 통신 시스템은 RF 전력 (RF-hold) 의 인가의 위상 지연 및 진폭을 조정하고 프로세스 단계 B (742) 동안, 예컨대 라인 세그먼트들 (770C, 770D, 및 770E) 동안 RF 전력을 인가할 때 RF 램프 시간들의 인가를 조정하도록 구성된다. 동일하거나 유사한 프로세스가 프로세스 단계 (741) 동안 RF 전력의 인가의 위상 지연 및 진폭을 결정하도록 사용될 수도 있다.
라인 (770) 은 RF 전력 강도를 나타내고, 반복적인 프로세스 단계들에서 고 레벨과 저 레벨 사이의 다양한 레벨들로 인가된다. 즉, RF 전력 강도는 유사-ALD 프로세스 및 유사-ALE 프로세스를 구현할 때와 같이, 프로세스 모듈에 커플링된 서브시스템들의 정밀한 위상 및 동기화 제어 때문에 프로세스 단계 전반에 프로세스 모델에 따라 조절되거나 변화될 수도 있다. 라인 (770) 은 세그먼트들 (예를 들어, 770A 내지 770E) 로 분할된다. 제어 신호들을 동기화하고 제어 신호들의 1 ㎳ 피드백을 제공하기 위한 마스터 클록 및/또는 분산된 제어기의 사용 때문에, 통신 서브시스템과 연관된 레이턴시 및 지터 효과들이 감소된다.
라인 (760) 은 가스 화학물질 X의 농도를 나타낸다. 예를 들어, 단계 (741) 가 증착 단계인 경우에서, 가스 화학물질 X는 테트라플루오로메탄 (CF4) 일 수 있다. 라인 (760) 은 세그먼트들 (예를 들어, 760A 내지 760D) 로 분할된다. 반드시 도시되지는 않지만, 가스 농도들은 도 8에서 이하에 기술될 바와 같이, 유사-ALD 프로세스 및 유사-ALE 프로세스를 구현할 때와 같이, 프로세스 모듈에 커플링된 서브시스템들의 정밀한 위상 및 동기화 제어 때문에, 프로세스 단계 전반에서 프로세스 모델에 따라 조절되거나 변화될 수도 있다.
경계 단계 라인 (731) 의 좌측으로, 프로세스 단계 B (742) 는 프로세스 모듈에서 수행된다. RF 전력은 라인 세그먼트 (770A) 로 나타낸 바와 같이, 고 레벨로 인가된다. 가스 화학물질 Y의 농도는 프로세스 모듈 내에서 라인 (731) 의 바로 좌측까지 가장 높은 레벨에 있다. 예를 들어, 단계 B (742) 가 활성화 단계인 경우에서, 가스 화학물질 Y는 아르곤 (Ar) 일 수 있다. 즉, 가스 화학물질 X의 농도는 라인 세그먼트 (760A) 로 나타낸 바와 같이 낮다.
프로세스 단계 (예를 들어, 증착) 는 경계 단계 라인 (731) 에서 개시되고, 가스 화학물질들의 변화가 구현된다 (예를 들어, 가스 화학물질 X의 도입). 새로운 가스의 도입의 변화의 지연은 라인 (731) 과 라인 (731-A) 사이의 지연 기간 (t-gas delay (712)) 으로 나타낸다. 새로운 가스 화학물질 X (예를 들어, CF4) 는 가스들 (가스 화학물질 X 및 가스 화학물질 Y) 의 혼합물을 나타내는, 라인 (731-A) 과 라인 (731-B) 사이에서 라인 세그먼트 (710B) 로 나타낸 바와 같이, 가스 램프 시간 (t-gas ramp (714)) 에 걸쳐 고 농도까지 램핑한다. 가스 화학물질 X는 여전히 프로세스 모듈에서 프로세스 단계 (741) 를 겪는 동안 라인 (731B) 에서 라인 세그먼트 (760C) 의 최대 농도에 도달한다.
RF 전력의 인가는 프로세스 모듈 내 가스 농도(들)에 매칭될 수도 있다. 즉, 라인 세그먼트 (760B) 에서 가스 혼합물이 변화함에 따라, RF 전력은 가스 혼합물에 매칭하도록 인가될 수도 있다 (예를 들어, RF 전력의 선형 또는 비선형 인가). 도면의 단순화를 위해, RF 전력은 라인 세그먼트 (770B) 로 나타낸 바와 같이, 가스 램프 시간 (714) 동안 중간 레벨로 인가되지만, 임의의 함수 형태를 취할 수 있다. 특히, 라인 세그먼트 (770B) 는 라인 (731) 과 라인 (731-A) 사이에서, RF 시간 또는 위상 지연 (t-RF delay (722)) 후 라인 (731-A) 에서 시작된다. 즉, RF 전력의 인가는 가스 램프 시간 (714) 의 시작과 매칭된다. 이러한 방식으로, RF 전력은 프로세스 모듈에서 발견된 가스 화학물질들에 매칭하도록 적절한 레벨로 인가될 수도 있다.
또한, 라인 (731-B) 은 가스 램프 시간 (714) 의 종료를 나타내고, 가스 화학물질 X (예를 들어, CF4) 는 라인 세그먼트 (760C) 에서 최대 농도에 도달한다. 프로세스 단계 (예를 들어, 증착) 동안, RF 전력은 프로세스 모듈에서 발견된 가스 화학물질들에 매칭하도록 더 조절될 수도 있다. 즉, RF 전력은 라인 세그먼트 (770C) 으로 나타낸 바와 같이, 가장 낮은 레벨로 인가되지만, 가스 화학물질 X의 농도는 가장 높게 남는다.
언급된 바와 같이, 프로세스 단계 B (742) (예를 들어, 활성화) 는 경계 단계 라인 (732) 에서 개시되고, 가스 화학물질들의 변화가 다시 구현된다 (예를 들어, 가스 화학물질 Y의 도입). RF 전력 레벨 (low) 은 라인 (732) 을 넘어 연장하는 라인 세그먼트 (760C) 로 나타낸 바와 같이, 가스 화학물질들의 변화의 지연으로 인해 가스 화학물질 X가 고 농도로 남아 있기 때문에 라인 (732-A) 으로 단계 경계 (732) 를 넘어 라인 세그먼트 (770C) 에서 프로세스 단계 B (742) 를 통해 약간 유지된다. 즉, 프로세스 모델에 따라 RF 전력은 프로세스 단계들 각각 내내 조절될 수도 있다.
새로운 가스 화학물질 Y (예를 들어, 아르곤) 는 가스들 (가스 화학물질 X 및 가스 화학물질 Y) 의 혼합물을 나타내는 라인 세그먼트 (760D) 에 걸쳐 값의 점진적인 감소로 나타낸 바와 같이, 가스 화학물질 X의 농도의 감소로 나타낸 바와 같이, 프로세스 모듈의 고 농도로 램핑한다.
RF 전력의 인가는 프로세스 모듈 내 가스 농도(들)에 매칭될 수도 있다. 즉, 라인 세그먼트 (760D) 에서 가스 혼합물이 변화함에 따라, RF 전력은 가스 혼합물에 매칭하도록 인가될 수도 있다 (예를 들어, RF 전력의 선형 또는 비선형 인가). RF 전력은 예를 들어, 일 실시예에서 잘 규정된 램프 (규정된은 RF 전력의 반복적인 터닝 오프 및 터닝 온을 의미함), 이전 단계로부터 입력 파라미터에 근거한 피드백 값, 또는 서브시스템 센서로부터 클록 사이클 마다 판독된 입력 파라미터에 기초하여 액티브적으로 제공된 피드백과 함께 프로세스 단계 (예를 들어, 단계 및/또는 단계 B) 에 인가될 수 있다. 도시된 바와 같이, RF 전력은 라인 세그먼트 (770D) 로 나타낸 바와 같이 상승하는 RF 전력의 램프로서 인가된다. 특히, 라인 세그먼트 (770D) 는 라인 (732) 과 라인 (732-A) 사이에서, RF 시간 또는 위상 지연 (t-RF delay (724)) 후 라인 (732-A) 에서 시작된다. 즉, RF 전력의 인가는 라인 (732-A) 으로 나타낸 바와 같이, 가스 화학물질들의 변화에 매칭한다. 이러한 방식으로, RF 전력은 프로세스 모듈에서 발견된 가스 화학물질들에 매칭하도록 적절한 레벨로 인가될 수도 있다.
가스 화학물질 Y는 여전히 프로세스 모듈에서 프로세스 단계 B (742) 단계를 겪는 동안 라인 (732-B) 에서 가스 램프의 종료시 라인 세그먼트 (760E) 의 최대 농도에 도달한다. RF 전력은 라인 세그먼트 (770E) 에 의해 나타낸 바와 같이 프로세스 모듈의 가스들의 농도 (예를 들어, 고 농도의 아르곤) 에 매칭하도록 가장 높은 레벨로 인가된다.
도 8은 본 개시의 일 실시예에 따른, 시간 기간 동안 상이한 가스 화학물질 비들의 적용을 예시하는 그래프 (800) 이고, 가스 화학물질들은 플라즈마 프로세스 모듈의 서브시스템들로 전달되고 서브시스템들로부터 수신된 제어 신호들을 동기화하기 위해 구성된 통신 시스템 내에서 제어된다. 그래프 (800) 는 시간의 x-축 (801) 에 대해 t-0와 t-1 사이의 시간 기간 (T) 을 도시한다. 본 개시의 실시예들이 프로세스 모듈에 커플링된 서브시스템들의 정밀한 위상 및 동기화 제어를 수행할 수 있기 때문에, 이는 유사-ALD 프로세스 및 유사-ALE 프로세스를 위한 복잡한 레시피 튜닝 알고리즘들 (예를 들어, RF 전력 조절 및 위상 지연, 그리고 가스 화학물질 조절 및 위상 지연) 을 구현할 때와 같이, 보다 큰 프로세스 능력들을 인에이블하는 짧은 단계 시간들 (예를 들어, 1 초 이하) 을 인에이블한다.
플롯 (810) 은 본질적으로 가스들 간 1 대 1 비 (예를 들어, 9x 대 9x) 가 있는, 시간 기간 (T) 에 걸친 가스 1과 가스 2 사이의 표준 과도 가스 교환 프로파일 (즉, 가스 혼합물) 을 도시한다. 표준 가스 혼합물은 가스 박스로부터 수용되고, 프로세스 챔버 내로 진입을 위해 가스 밸브들을 통해 전달된다.
플롯 (820) 은 과도, 밀도 제어된 가스 교환 프로파일 (예를 들어, 질량 유량 제어기들 (mass flow controllers - MFCs) 로 구현될 때) 을 도시하고, 플롯 (820) 의 시간 기간 (T) 에 걸친 화학물질들의 비가 플롯 (810) 의 화학물질들의 비 (즉, 가스들 간 1 대 1 비) 와 유사하다. 예를 들어, 반복가능한 시간 세그먼트 (820A) 에서, 가스 1 대 가스 2의 비는 2 대 1이고, 가스 1은 가스 2의 농도의 2 배이다. 반복가능한 시간 세그먼트 (820B) 에서, 가스 1 대 가스 2의 비는 1 대 2이고, 가스 2는 가스 1의 농도의 2 배이다. 시간 기간 (T) 에 걸쳐, 가스들 간 비는 동일한 수의 시간 세그먼트들 (820A) 및 시간 세그먼트들 (820B) 이 있기 때문에, 1 대 1 비 (예를 들어, 9x 대 9x) 이다.
도 9는 상기 기술된 바와 같이 서브시스템들을 제어하기 위한 분산된 제어기 (220) 를 도시한다. 일 실시예에서, 도 1, 도 2a, 도 2b 및 도 3의 분산된 제어기들 (220, 220A 및 220B) 은 도 9에 도시된 분산된 제어기의 예시적인 컴포넌트들 중 일부를 포함할 수도 있다. 예를 들어, 분산된 제어기 (220) 는 프로세서, 메모리 및 하나 이상의 인터페이스들을 포함할 수도 있다. 분산된 제어기 (220) 는 센싱된 값들에 부분적으로 기초하여 시스템의 디바이스들을 제어하도록 채용될 수도 있다. 단지 예를 들면, 분산된 제어기 (220) 는 센싱된 값들 및 다른 제어 파라미터들에 기초하여 밸브들 (902), 필터 히터들 (904), 펌프들 (906), 및 기타 디바이스들 (908) 중 하나 이상을 제어할 수도 있다. 분산된 제어기 (220) 는 단지 예를 들면, 압력 마노미터들 (910), 플로우 미터들 (912), 온도 센서들 (914), 및/또는 기타 센서들 (916) 로부터 센싱된 값들을 수신한다. 분산된 제어기 (220) 는 또한 전구체 전달 및 막의 증착 동안 프로세스 조건들을 제어하도록 채용될 수도 있다. 분산된 제어기 (220) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다.
분산된 제어기 (220) 는 전구체 전달 시스템 및 증착 장치의 액티비티들을 제어할 수도 있다. 분산된 제어기 (220) 는 프로세스 타이밍, 전달 시스템 온도, 및 필터들에 걸친 압력 차들, 밸브 위치들, 가스들의 혼합물, 챔버 압력, 챔버 온도, 기판 온도, RF 전력 레벨들, 기판 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행한다. 분산된 제어기 (220) 는 또한 압력 차를 모니터링할 수도 있고 하나 이상의 경로들로부터 하나 이상의 다른 경로들로 기체 전구체 전달을 자동으로 스위칭할 수도 있다. 분산된 제어기 (220) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서 채용될 수도 있다.
통상적으로 분산된 제어기 (220) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (918) (예를 들어, 장치 및/또는 프로세스 조건들의 디스플레이 스크린 및/또는 그래픽 소프트웨어 디스플레이들), 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (920) 을 포함할 수도 있다.
프로세스 시퀀스의 전구체의 전달, 증착, 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들이 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran 등으로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
제어 모듈 파라미터들은 예를 들어, 필터 압력 차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들 및 저 주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들과 관련된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 히터 제어 코드, 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램은 페데스탈 또는 척 상으로 기판을 로딩하고 기판과 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부분들 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 챔버의 압력을 안정화하기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램은 측정된 차(들)를 미리 결정된 값(들)과 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브를 조절함으로써 챔버의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 전구체 전달 시스템의 컴포넌트들, 기판 및/또는 시스템의 다른 부분들을 가열하기 위한 가열 유닛들로 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판 척으로 헬륨과 같은 열 전달 가스의 전들을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 센서들의 예들은, 이로 제한되는 것은 아니지만, 질량 유량 제어 모듈들, 압력 마노미터들 (910) 과 같은 압력 센서들, 및 전달 시스템, 페데스탈 또는 척에 위치된 써모커플들 (예를 들어, 온도 센서들 (914)) 을 포함한다. 적절히 프로그램된 피드백 및 제어 알고리즘들이 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다. 전술한 바는 단일 챔버 또는 멀티 챔버 반도체 프로세싱 툴에서 본 개시의 실시예들의 구현예를 기술한다.
일부 구현예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (기판 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 기판 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 이들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정한 시스템에 연결되거나 인터페이싱된 로드록들 내외로의 기판 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC들로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 기판 상에서 또는 반도체 기판에 대한 특정한 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 기판의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 기판 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부의 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하기 위해 시스템으로의 원격 액세스를 인에이블할 수도 있고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사한다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다.
원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함한다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 명시하는 데이터의 형태로 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예컨대 서로 네트워킹되어서 함께 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 위해서 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상에서 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, 및 반도체 기판들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
실시예들의 전술한 기술은 예시 및 기술의 목적들을 위해 제공되었다. 이는 본 개시를 총망라하거나 제한하도록 의도되지 않았다. 특정한 실시예의 개별 엘리먼트들 또는 피처들은 일반적으로 특정한 실시예로 제한되지 않고, 적용가능하다면, 교환가능하고 구체적으로 도시되거나 기술되지 않았더라도, 선택된 실시예에서 사용될 수 있다. 동일한 것들이 또한 많은 방식들로 가변될 수도 있다. 이러한 변동들은 본 개시로부터 벗어나는 것으로 간주되지 않고, 모든 이러한 수정들은 본 개시의 범위 내에 포함되도록 의도된다.
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들은 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 이에 따라, 제시된 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 주어진 상세들로 제한되지 않고, 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (14)

  1. 플라즈마 프로세스 모듈의 분산된 제어기에 있어서,
    마스터 클록을 포함하고,
    분산된 제어기는 복수의 클록 신호들을 통해 복수의 프로세스 단계들을 개시하도록 구성되고,
    상기 마스터 클록은 복수의 클록 사이클들과 연관된 클록 스피드를 갖고, 상기 복수의 클록 사이클들의 클록 사이클 각각은 하나 이상의 제어 신호들이 복수의 서브시스템들로 전달되고 상기 복수의 서브시스템들로부터 수신되는 피드백 루프를 수행하기 위한 지속기간을 갖고,
    상기 클록 스피드는 상기 복수의 서브시스템들 중 적어도 하나에 의해 수행될 상기 복수의 프로세스 단계들 중 적어도 하나에 대한 단계 기간, 및 미리 규정된 수의 피드백 루프들을 통해 상기 복수의 서브시스템들 중 상기 적어도 하나에 의해 상기 복수의 프로세스 단계들 중 상기 적어도 하나에 대한 제어에 영향을 주는 (effect) 상기 단계 기간의 분율에 기초하는, 분산된 제어기.
  2. 제 1 항에 있어서,
    상기 복수의 서브시스템들 각각은 대응하는 프로세스 단계의 개시를 통해 대응하는 서브시스템의 제어가 달성되는 서브시스템 응답 시간과 연관되고,
    상기 서브시스템 응답 시간은 상기 단계 기간의 상기 분율 이하인, 분산된 제어기.
  3. 제 1 항에 있어서,
    상기 마스터 클록의 상기 클록 스피드로 타이밍된 복수의 슬레이브 클록들을 더 포함하고, 상기 복수의 슬레이브 클록들의 슬레이브 클록 각각은 상기 플라즈마 프로세스 모듈에 커플링된 복수의 서브시스템들의 대응하는 서브시스템과 연관되는, 분산된 제어기.
  4. 제 3 항에 있어서,
    상기 복수의 서브시스템들로 전달된 복수의 제어 신호들은 대응하는 슬레이브 클록을 사용하여 타이밍된 상기 대응하는 서브시스템으로 대응하는 제어 신호를 전달함으로써 상기 마스터 클록을 사용하여 동기화되는, 분산된 제어기.
  5. 제 1 항에 있어서,
    상기 미리 규정된 수의 피드백 루프들은 대응하는 서브시스템의 새로운 프로세스 단계로 천이하기 위해 상기 미리 규정된 수의 피드백 루프들을 수행하기 위해 상기 마스터 클록의 미리 규정된 수의 클록 사이클들과 연관되는, 분산된 제어기.
  6. 제 1 항에 있어서,
    상기 미리 규정된 수의 피드백 루프들은,
    상기 마스터 클록의 제 1 클록 사이클 내에서 수행되고 상기 복수의 서브시스템들 내 대응하는 서브시스템의 하나 이상의 제어 파라미터들을 설정하기 위해 구성된 설정 피드백 루프; 및
    상기 설정 피드백 루프 후 수행된 복수의 조정 피드백 루프들로서, 상기 복수의 조정 피드백 루프들의 조정 피드백 루프 각각은 상기 마스터 클록의 사이클 클록 내에서 수행되고 상기 대응하는 서브시스템의 상기 하나 이상의 제어 파라미터들의 상기 설정을 검증하기 위해 구성되는, 상기 복수의 조정 피드백 루프들을 포함하는, 분산된 제어기.
  7. 제 6 항에 있어서,
    상기 미리 규정된 수의 피드백 루프들은,
    상기 복수의 조정 피드백 루프들 후에 수행된 복수의 모니터링 피드백 루프들을 포함하는, 분산된 제어기.
  8. 제 1 항에 있어서,
    상기 마스터 클록의 일 클록 사이클 내에 수행되는 상기 피드백 루프는,
    대응하는 서브시스템의 파라미터를 측정하는 제 1 단계;
    측정되는 상기 파라미터를 프로세싱하는 제 2 단계;
    상기 파라미터의 프로세싱에 기초하여 변화를 결정하는 제 3 단계; 및
    상기 대응하는 서브시스템에 대응하는 제어 신호를 전송함으로써 상기 변화를 적용하는 제 4 단계를 포함하는, 분산된 제어기.
  9. 제 1 항에 있어서,
    상기 복수의 프로세스 단계들은 원자 층 증착 프로세스를 수행하는, 분산된 제어기.
  10. 제 1 항에 있어서,
    상기 복수의 프로세스 단계들은 원자 층 에칭 프로세스를 수행하는, 분산된 제어기.
  11. 제 1 항에 있어서,
    제 1 서브시스템으로 전달된 제어 신호는 제 2 서브시스템에 의해 제어된 적어도 하나의 파라미터의 측정값들에 기초하는, 분산된 제어기.
  12. 제 1 항에 있어서,
    상기 분산된 제어기는 VME (Versa Module Europa) 표준 또는 이의 미분들 중 하나에 따라 동작하는, 분산된 제어기.
  13. 제 1 항에 있어서,
    상기 복수의 서브시스템들은,
    가스 공급 매니폴드; 또는
    RF 생성기; 또는
    갭 제어기; 또는
    압력 제어기; 또는
    센서 시스템; 또는
    바이어스 보상부; 또는
    온도 제어기 중 적어도 2 개 이상을 포함하는, 분산된 제어기.
  14. 제 1 항에 있어서,
    상기 단계 기간은 100 개의 분율들을 포함하는, 분산된 제어기.
KR1020227014087A 2017-11-20 2018-11-07 프로세스 모듈의 서브시스템들의 액티브 피드백 제어 KR102650744B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/818,590 US10366869B2 (en) 2017-11-20 2017-11-20 Active feedback control of subsystems of a process module
US15/818,590 2017-11-20
PCT/US2018/059703 WO2019099258A1 (en) 2017-11-20 2018-11-07 Active feedback control of subsystems of a process module
KR1020207017560A KR102392734B1 (ko) 2017-11-20 2018-11-07 프로세스 모듈의 서브시스템들의 액티브 피드백 제어

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207017560A Division KR102392734B1 (ko) 2017-11-20 2018-11-07 프로세스 모듈의 서브시스템들의 액티브 피드백 제어

Publications (2)

Publication Number Publication Date
KR20220058661A KR20220058661A (ko) 2022-05-09
KR102650744B1 true KR102650744B1 (ko) 2024-03-22

Family

ID=66533292

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207017560A KR102392734B1 (ko) 2017-11-20 2018-11-07 프로세스 모듈의 서브시스템들의 액티브 피드백 제어
KR1020227014087A KR102650744B1 (ko) 2017-11-20 2018-11-07 프로세스 모듈의 서브시스템들의 액티브 피드백 제어

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020207017560A KR102392734B1 (ko) 2017-11-20 2018-11-07 프로세스 모듈의 서브시스템들의 액티브 피드백 제어

Country Status (4)

Country Link
US (1) US10366869B2 (ko)
KR (2) KR102392734B1 (ko)
CN (1) CN111630469A (ko)
WO (1) WO2019099258A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102438864B1 (ko) * 2018-09-28 2022-08-31 램 리써치 코포레이션 플라즈마 챔버의 전극으로 전력 전달 최적화를 위한 방법들 및 시스템들
JP2023180573A (ja) * 2022-06-09 2023-12-21 東京エレクトロン株式会社 基板処理装置、制御システム、および制御方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080226004A1 (en) 2007-03-12 2008-09-18 Hakjune Oh Methods and apparatus for clock signal synchronization in a configuration of series-connected semiconductor devices

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3163128B2 (ja) 1991-08-28 2001-05-08 アジレント・テクノロジー株式会社 電子部品等試験装置および電子部品等試験方法
US6323714B1 (en) 2000-02-03 2001-11-27 Hewlett-Packard Company System and method for deskewing synchronous clocks in a very large scale integrated circuit
US7035755B2 (en) 2001-08-17 2006-04-25 Credence Systems Corporation Circuit testing with ring-connected test instrument modules
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
JP5362660B2 (ja) 2010-07-14 2013-12-11 本田技研工業株式会社 燃料噴射制御装置
US20140045489A1 (en) 2011-05-03 2014-02-13 Nicolas Josso Reference Clock Management
US8847495B2 (en) 2011-11-29 2014-09-30 Lam Research Corporation Movable grounding arrangements in a plasma processing chamber and methods therefor
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080226004A1 (en) 2007-03-12 2008-09-18 Hakjune Oh Methods and apparatus for clock signal synchronization in a configuration of series-connected semiconductor devices

Also Published As

Publication number Publication date
KR102392734B1 (ko) 2022-04-28
KR20200079336A (ko) 2020-07-02
US20190157039A1 (en) 2019-05-23
CN111630469A (zh) 2020-09-04
KR20220058661A (ko) 2022-05-09
US10366869B2 (en) 2019-07-30
WO2019099258A1 (en) 2019-05-23

Similar Documents

Publication Publication Date Title
CN107564789B (zh) 用于基于rf功率的衬底支撑件前馈温度控制的系统与方法
KR102593566B1 (ko) Rf 밸런싱을 사용하는 멀티스테이션 플라즈마 반응기
US10957514B2 (en) Apparatus and method for deposition and etch in gap fill
CN107393799B (zh) 控制在连续波和脉冲等离子体之间转换的方法和装置
KR20190128744A (ko) 주파수 튜닝 보조된 듀얼-레벨 펄싱 (dual-level pulsing) 을 위한 RF 매칭 네트워크의 보조 회로
JP2018182322A (ja) 原子層エッチングのリセットを伴う選択的堆積
KR102556145B1 (ko) 막 균일성 개선을 위한 하드웨어 및 프로세스
KR102302800B1 (ko) 멀티-스테이션 증착 시스템에서 막 두께 매칭을 위한 가변 사이클 및 시간 rf 활성화 방법
KR20200043499A (ko) 웨이퍼 대 웨이퍼 막 두께 매칭을 위해 챔버 축적물의 함수로서 증착 사이클들의 수의 조절에 의한 두께 보상
KR102650744B1 (ko) 프로세스 모듈의 서브시스템들의 액티브 피드백 제어
US10777386B2 (en) Methods for controlling plasma glow discharge in a plasma chamber
CN114600223A (zh) 在多站式集成电路制造室中的射频(rf)功率不均衡化
TW201511160A (zh) 法拉第屏蔽之控制溫度
TW202213431A (zh) 藉由rf耦接結構之電漿形成的控制
WO2021092197A1 (en) Plasma-enhanced atomic layer deposition with radio-frequency power ramping

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant