KR102299122B1 - Method for acquiring data indicating electrostatic capacitance - Google Patents

Method for acquiring data indicating electrostatic capacitance Download PDF

Info

Publication number
KR102299122B1
KR102299122B1 KR1020170038184A KR20170038184A KR102299122B1 KR 102299122 B1 KR102299122 B1 KR 102299122B1 KR 1020170038184 A KR1020170038184 A KR 1020170038184A KR 20170038184 A KR20170038184 A KR 20170038184A KR 102299122 B1 KR102299122 B1 KR 102299122B1
Authority
KR
South Korea
Prior art keywords
data sets
electrode
processor
sensor
measuring device
Prior art date
Application number
KR1020170038184A
Other languages
Korean (ko)
Other versions
KR20170113262A (en
Inventor
깃페이 스기타
도모히데 미나미
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170113262A publication Critical patent/KR20170113262A/en
Application granted granted Critical
Publication of KR102299122B1 publication Critical patent/KR102299122B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations

Abstract

(과제) 측정기와 포커스 링의 사이의 정전 용량을 나타내는 측정 데이터의 취득에 있어서, 측정기의 전원의 소비 전력을 억제한다.
(해결 수단) 측정기의 프로세서가, 하나 이상의 제 1 데이터 세트를, 미리 설정된 시간 간격으로 취득한다. 하나 이상의 제 1 데이터 세트의 각각은, 측정기의 하나 이상의 센서 전극 중 대응하는 센서 전극의 정전 용량을 나타내는 복수의 디지털 값을 포함한다. 프로세서는, 하나 이상의 제 1 데이터 세트의 각각에 포함되는 복수의 디지털 값의 평균치가 제 1 임계치 이상이 된 것에 응답하여, 복수의 제 2 데이터 세트를 취득한다. 복수의 제 2 데이터 세트의 각각은, 측정기의 복수의 센서 전극 중 대응하는 센서 전극의 정전 용량을 나타내는 복수의 디지털 값을 포함한다. 프로세서는, 복수의 제 2 데이터 세트에 근거하는 측정 데이터를 측정기의 기억 장치에 기억시킨다. 그 다음에, 측정기가, 챔버로부터 반출된다.
(Problem) Acquisition of measurement data indicating the electrostatic capacity between the measuring instrument and the focus ring WHEREIN: The power consumption of the power supply of a measuring instrument is suppressed.
(Solution means) The processor of the measuring instrument acquires one or more first data sets at preset time intervals. Each of the one or more first data sets includes a plurality of digital values indicative of a capacitance of a corresponding one of the one or more sensor electrodes of the meter. The processor acquires the plurality of second data sets in response to the average value of the plurality of digital values included in each of the one or more first data sets being equal to or greater than the first threshold. Each of the plurality of second data sets includes a plurality of digital values representing capacitance of a corresponding one of the plurality of sensor electrodes of the meter. The processor stores measurement data based on the plurality of second data sets in a storage device of the measuring device. Then, the measuring instrument is taken out from the chamber.

Description

정전 용량을 나타내는 데이터를 취득하는 방법{METHOD FOR ACQUIRING DATA INDICATING ELECTROSTATIC CAPACITANCE}METHOD FOR ACQUIRING DATA INDICATING ELECTROSTATIC CAPACITANCE

본 발명의 실시 형태는, 처리 시스템의 반송 장치에 의해 챔버 내에 반송되는 측정기와 포커스 링의 사이의 정전 용량을 나타내는 데이터를 취득하는 방법에 관한 것이다.An embodiment of the present invention relates to a method of acquiring data indicative of an electrostatic capacitance between a focus ring and a measuring device carried in a chamber by a transport device of a processing system.

반도체 디바이스라고 하는 전자 디바이스의 제조에서는, 피가공물(Workpiece)을 처리하기 위한 프로세스 모듈을 갖는 처리 시스템이 이용된다. 프로세스 모듈은, 일반적으로, 챔버 본체 및 탑재대를 갖고 있다. 또한, 처리 시스템은, 반송 장치를 구비하고 있다. 피가공물은, 챔버 본체에 의해 제공되는 챔버 내에 반송 장치에 의해 반입되고, 탑재대상에 탑재된다. 그리고, 피가공물은, 챔버 내에서 처리된다.In the manufacture of an electronic device called a semiconductor device, a processing system having a process module for processing a workpiece is used. A process module generally has a chamber body and a mounting table. Moreover, the processing system is equipped with the conveying apparatus. A to-be-processed object is carried in by a conveyance apparatus into the chamber provided by the chamber main body, and is mounted on a mounting object. And the to-be-processed object is processed in a chamber.

탑재대상에서의 피가공물의 위치는, 해당 피가공물의 처리의 면 내 균일성이라고 하는 다양한 요구를 만족시키기 위해, 중요한 요소이다. 따라서, 반송 장치는, 탑재대상의 적절한 위치에 피가공물을 반송할 필요가 있다. 피가공물이 적절한 위치에 반송되지 않는 경우에는, 반송 장치의 반송처 위치를 특정하는 좌표 정보가 보정되지 않으면 안 된다.The position of the workpiece on the target is an important factor in order to satisfy various demands such as in-plane uniformity of processing of the workpiece. Therefore, it is necessary for the conveying apparatus to convey a to-be-processed object to the appropriate position of a mounting object. When a workpiece is not conveyed to an appropriate position, coordinate information specifying the conveyance destination position of the conveying apparatus must be corrected.

좌표 정보의 보정을 위해서는, 탑재대상에서의 피가공물의 위치를 검출할 필요가 있다. 종래, 이와 같은 위치의 검출에는, 정전 용량을 측정하는 측정기가 이용되고 있다. 이와 같은 측정기를 이용한 위치의 검출에 대해서는, 예컨대, 하기의 특허 문헌 1에 기재되어 있다. 또, 특허 문헌 1에 기재된 측정기는, 챔버의 외부에 마련된 제어부에, 측정한 정전 용량을 무선 송신하도록 되어 있다.In order to correct the coordinate information, it is necessary to detect the position of the workpiece on the mounting target. Conventionally, a measuring instrument for measuring an electrostatic capacity has been used to detect such a position. The detection of a position using such a measuring device is described, for example, in Patent Document 1 below. Moreover, the measuring device described in Patent Document 1 wirelessly transmits the measured capacitance to a control unit provided outside the chamber.

또한, 특허 문헌 2에는, 프로세스 모듈의 챔버 내에 배치되고, 프로세스 중에 측정한 데이터를 챔버의 외부에 마련된 수신기에 무선 송신하는 와이어리스 센서에 대하여 기재되어 있다.Further, Patent Document 2 describes a wireless sensor that is disposed in a chamber of a process module and wirelessly transmits data measured during the process to a receiver provided outside the chamber.

(선행 기술 문헌)(Prior art literature)

(특허 문헌)(Patent Literature)

(특허 문헌 1) 일본 특허 제 4956328호 명세서(Patent Document 1) Japanese Patent No. 4956328 Specification

(특허 문헌 2) 일본 특허 제 4251814호 명세서(Patent Document 2) Japanese Patent No. 4251814 Specification

그런데, 챔버 본체는 일반적으로 금속제이므로, 챔버 내에 놓인 측정기와 챔버의 외부에 놓인 기기는 무선 통신을 행할 수는 없다. 따라서, 측정기는, 챔버 내에 있는 동안, 자율적으로 측정 데이터를 취득하고, 해당 측정 데이터를 기억할 필요가 있다. 이와 같은 측정기에 있어서의 자율적인 동작을 위해, 해당 측정기는 배터리라고 하는 전원을 구비할 필요가 있다. 이 전원의 소비 전력을 억제하는 것이 필요하다.However, since the chamber body is generally made of metal, the measuring instrument placed in the chamber and the device placed outside the chamber cannot perform wireless communication. Therefore, it is necessary for the measuring instrument to autonomously acquire measurement data and store the measurement data while in the chamber. For autonomous operation of such a measuring device, the measuring device needs to be provided with a power source called a battery. It is necessary to suppress the power consumption of this power supply.

일 양태에 있어서는, 정전 용량을 나타내는 데이터를 취득하는 방법이 제공된다. 이 방법에서는, 처리 시스템의 반송 장치에 의해 챔버 내에 반송되는 측정기와 포커스 링의 사이의 정전 용량이 취득된다. 처리 시스템은, 프로세스 모듈, 반송 장치, 및, 제어부를 구비한다. 프로세스 모듈은, 챔버 본체 및 탑재대를 구비한다. 챔버 본체는 챔버를 제공한다. 탑재대는, 챔버 내에 마련되어 있고, 그 위에 측정기가 탑재되도록 되어 있다. 제어부는, 반송 장치를 제어한다.In one aspect, a method of obtaining data indicative of an electrostatic capacity is provided. In this method, the electrostatic capacitance between the focus ring and the measuring instrument conveyed in the chamber by the conveying apparatus of the processing system is acquired. The processing system includes a process module, a conveying device, and a control unit. The process module includes a chamber body and a mounting table. The chamber body provides a chamber. The mounting table is provided in the chamber, and the measuring instrument is mounted thereon. The control unit controls the conveying apparatus.

측정기는, 베이스 기판, 복수의 센서부, 및, 회로 기판을 구비한다. 베이스 기판은, 원반 형상을 갖는다. 복수의 센서부는, 베이스 기판의 에지를 따라 배열되어 있다. 회로 기판은, 베이스 기판상에 탑재되어 있다. 복수의 센서부의 각각은, 베이스 기판의 에지를 따라 연장되는 전면을 갖는다. 회로 기판은, 고주파 발진기, 복수의 C/V 변환 회로, A/D 변환기, 프로세서, 기억 장치, 통신 장치, 및, 전원을 갖는다. 고주파 발진기는, 고주파 신호를 발생시키도록 구성되어 있고, 복수의 센서부의 각각의 센서 전극에 전기적으로 접속되어 있다. 복수의 C/V 변환 회로의 각각은, 복수의 센서부 중 대응하는 센서부의 센서 전극에 있어서의 전압 진폭을, 정전 용량을 나타내는 전압 신호로 변환하도록 구성되어 있다. A/D 변환기는, 복수의 C/V 변환 회로의 각각으로부터 출력되는 전압 신호를 디지털 값으로 변환하도록 구성되어 있다. 프로세서는, A/D 변환기에 접속되어 있다. 기억 장치는, 프로세서에 접속되어 있다. 통신 장치는, 기억 장치에 기억된 데이터를 무선 송신하도록 구성되어 있다. 전원은, 프로세서, 고주파 발진기, 및, 통신 장치에 전력을 공급하도록 구성되어 있다.The measuring device includes a base board, a plurality of sensor units, and a circuit board. The base substrate has a disk shape. The plurality of sensor units are arranged along the edge of the base substrate. The circuit board is mounted on the base board. Each of the plurality of sensor units has a front surface extending along an edge of the base substrate. The circuit board includes a high-frequency oscillator, a plurality of C/V conversion circuits, an A/D converter, a processor, a storage device, a communication device, and a power supply. The high-frequency oscillator is configured to generate a high-frequency signal, and is electrically connected to each sensor electrode of the plurality of sensor units. Each of the plurality of C/V conversion circuits is configured to convert a voltage amplitude at a sensor electrode of a corresponding sensor portion among the plurality of sensor portions into a voltage signal indicating capacitance. The A/D converter is configured to convert a voltage signal output from each of the plurality of C/V conversion circuits into a digital value. The processor is connected to the A/D converter. The storage device is connected to the processor. The communication device is configured to wirelessly transmit data stored in the storage device. The power supply is configured to supply power to the processor, the high-frequency oscillator, and the communication device.

일 양태와 관련되는 방법은, (ⅰ) 프로세서가, 미리 설정된 시간 간격으로, 하나 이상의 제 1 데이터 세트를 취득하는 공정으로서, 상기 하나 이상의 데이터 세트의 각각은, 복수의 센서부에 포함되는 하나 이상의 센서부 중 대응하는 센서부의 정전 용량을 나타내는 디지털 값을 제 1 샘플링 주기로 취득하는 것에 의해 얻어지는 복수의 디지털 값을 포함하는, 상기 공정과, (ⅱ) 탑재대상에서 포커스 링에 의해 둘러싸인 영역에 반송 장치에 의해 측정기를 반송하는 공정과, (ⅲ) 하나 이상의 제 1 데이터 세트의 각각에 포함되는 복수의 디지털 값 중 하나 이상 또는 하나 이상의 제 1 데이터 세트의 각각에 포함되는 복수의 디지털 값의 평균치가 제 1 임계치 이상이 된 것에 응답하여, 프로세서가, 측정 기간에 있어서, 복수의 제 2 데이터 세트를 취득하는 공정으로서, 상기 복수의 제 2 데이터 세트의 각각은, 복수의 센서부 중 대응하는 센서부의 정전 용량을 나타내는 디지털 값을 측정 기간 내에 있어서 제 2 샘플링 주기로 취득하는 것에 의해 얻어지는 복수의 디지털 값을 포함하는, 상기 공정과, (ⅳ) 프로세서가, 측정 데이터를 기억 장치에 기억시키는 공정으로서, 상기 측정 데이터는, 복수의 제 2 데이터 세트, 또는, 복수의 제 2 데이터 세트의 각각에 포함되는 복수의 디지털 값의 평균치를 구하는 것에 의해 얻어지는 복수의 평균치를 포함하는, 상기 공정과, (ⅴ) 반송 장치에 의해, 챔버로부터 측정기를 반출하는 공정을 포함한다.A method related to an aspect includes: (i) a processor acquiring, at a preset time interval, one or more first data sets, wherein each of the one or more data sets includes one or more data sets included in a plurality of sensor units. the above process comprising a plurality of digital values obtained by acquiring digital values representing the capacitance of a corresponding one of the sensor units at a first sampling period; (iii) at least one of a plurality of digital values included in each of the one or more first data sets, or an average value of a plurality of digital values included in each of the one or more first data sets; A process in which the processor acquires, in a measurement period, a plurality of second data sets in response to being equal to or greater than one threshold, wherein each of the plurality of second data sets includes a power failure of a corresponding one of the plurality of sensor units the process comprising a plurality of digital values obtained by acquiring a digital value representing capacity at a second sampling period within a measurement period; the data includes a plurality of second data sets or a plurality of average values obtained by obtaining an average value of a plurality of digital values included in each of the plurality of second data sets; By this, the process of carrying out a measuring instrument from a chamber is included.

일 양태와 관련되는 방법에서는, 원반 형상의 베이스 기판의 에지를 따라 배열된 복수의 센서 전극을 구비한 측정기가 이용되고, 포커스 링의 내연과 측정기의 에지의 사이의 간격의 둘레 방향에 있어서의 분포를 반영하는 측정 데이터가 얻어진다. 또한, 복수의 센서 전극의 각각의 정전 용량을 나타내는 디지털 값은, 포커스 링에 의해 둘러싸인 영역에 측정기가 있을 때에 커진다. 이 방법에서는, 항상 측정 데이터를 취득하는 것이 아니라, 측정 기간보다 앞의 기간에 있어서는, 미리 설정된 시간 간격으로 하나 이상의 제 1 데이터 세트가 취득된다. 그리고, 하나 이상의 제 1 데이터 세트의 각각에 포함되는 복수의 디지털 값 중 하나 이상 또는 하나 이상의 제 1 데이터 세트의 각각에 포함되는 복수의 디지털 값의 평균치가 제 1 임계치 이상이 되었을 때에, 측정 기간에 있어서 제 2 데이터 세트의 취득이 행해지고, 그리고, 측정 데이터의 기억이 행해진다. 이와 같이, 본 방법에서는, 측정 기간보다 앞의 기간에 있어서는, 측정기에 있어서 단속적인 동작이 행해지므로, 측정기의 전원의 소비 전력이 억제된다.In the method related to one aspect, a measuring device provided with a plurality of sensor electrodes arranged along an edge of a disk-shaped base substrate is used, and the distribution in the circumferential direction of the interval between the inner edge of the focus ring and the edge of the measuring device Measurement data reflecting the In addition, the digital value representing the capacitance of each of the plurality of sensor electrodes increases when the measuring device is located in the area surrounded by the focus ring. In this method, measurement data is not always acquired, but in a period preceding the measurement period, one or more first data sets are acquired at preset time intervals. And, when the average value of one or more of the plurality of digital values included in each of the one or more first data sets or the plurality of digital values included in each of the one or more first data sets is equal to or greater than the first threshold, in the measurement period In this case, the acquisition of the second data set is performed, and the storage of the measurement data is performed. In this way, in this method, in the period preceding the measurement period, intermittent operation is performed in the measuring instrument, so that power consumption of the power supply of the measuring instrument is suppressed.

일 실시 형태에 있어서, 방법은, (ⅵ) 측정 기간의 종료 후에, 프로세서가, 미리 설정된 시간 간격으로, 하나 이상의 제 3 데이터 세트를 취득하는 공정으로서, 상기 하나 이상의 데이터 세트의 각각은, 복수의 센서부에 포함되는 하나 이상의 센서부 중 대응하는 센서부의 정전 용량을 나타내는 디지털 값을 제 3 샘플링 주기로 취득하는 것에 의해 얻어지는 복수의 디지털 값을 포함하는, 상기 공정과, (ⅶ) 하나 이상의 제 3 데이터 세트의 각각에 포함되는 복수의 디지털 값 중 하나 이상 또는 하나 이상의 제 3 데이터 세트의 각각에 포함되는 복수의 디지털 값의 평균치가 제 2 임계치 이상이 된 것에 응답하여, 프로세서가, 통신 장치에 상기 측정 데이터를 무선 송신시키는 공정을 더 포함한다.In an embodiment, the method comprises: (vi) after the end of the measurement period, the processor acquires, at a preset time interval, one or more third data sets, each of the one or more data sets comprising: (vii) one or more third data, comprising a plurality of digital values obtained by acquiring, at a third sampling period, a digital value representing the capacitance of a corresponding one of the one or more sensor units included in the sensor unit; In response to an average value of at least one of the plurality of digital values included in each of the set or a plurality of digital values included in each of the one or more third data sets being greater than or equal to a second threshold, the processor sends the measurement to the communication device. The method further includes a step of wirelessly transmitting data.

챔버로부터 반출된 측정기는, 후프(FOUP)라고 칭해지는 용기에 수용된다. 이 용기 내에 있을 때에, 복수의 센서부의 센서 전극의 정전 용량은 증가한다. 따라서, 하나 이상의 제 3 데이터 세트의 각각에 포함되는 복수의 디지털 값 중 하나 이상 또는 하나 이상의 제 3 데이터 세트의 각각에 포함되는 복수의 디지털 값의 평균치가 제 2 임계치 이상이 된 것에 응답하여, 통신 장치에 측정 데이터를 무선 송신시키는 것에 의해, 측정기는 챔버의 외부에 있을 때에 자율적으로 측정 데이터를 무선 송신할 수 있다. 또한, 본 실시 형태에 의하면, 측정 기간의 뒤에 있어서도, 측정기에 있어서 단속적인 동작이 행해지므로, 측정기의 전원의 소비 전력이 더 억제된다.The measuring instrument taken out from the chamber is accommodated in a container called a FOUP. When in this container, the capacitance of the sensor electrodes of the plurality of sensor portions increases. Accordingly, in response to an average value of at least one of a plurality of digital values included in each of the one or more third data sets or a plurality of digital values included in each of the one or more third data sets being greater than or equal to a second threshold, the communication By wirelessly transmitting the measurement data to the device, the meter can autonomously wirelessly transmit the measurement data while outside the chamber. In addition, according to this embodiment, even after the measurement period, the intermittent operation is performed in the measuring device, so that the power consumption of the power supply of the measuring device is further suppressed.

일 실시 형태에 있어서는, 하나 이상의 제 1 데이터 세트가 취득되는 기간과, 다음으로 하나 이상의 제 1 데이터 세트가 취득되는 기간의 사이에 있어서, 전원으로부터의 고주파 발진기로의 전력 공급이 정지되더라도 좋다. 또한, 일 실시 형태에 있어서는, 하나 이상의 제 3 데이터 세트가 취득되는 기간과, 다음으로 하나 이상의 제 3 데이터 세트가 취득되는 기간의 사이에 있어서, 전원으로부터의 고주파 발진기로의 전력 공급이 정지되더라도 좋다.In one embodiment, the power supply from the power supply to the high-frequency oscillator may be stopped between a period in which one or more first data sets are acquired and a period in which the next one or more first data sets are acquired. Further, in one embodiment, the power supply from the power supply to the high-frequency oscillator may be stopped between a period in which one or more third data sets are acquired and a period in which the next one or more third data sets are acquired. .

일 실시 형태에 있어서는, 측정기를 반송하는 공정에 있어서, 제어부는, 미리 설정된 좌표 정보로 반송처 위치에 측정기를 반송하도록, 반송 장치를 제어한다. 이 실시 형태의 방법은, 제어부가, 측정 데이터로부터 특정되는, 포커스 링과 측정기의 에지의 사이의 간격의 둘레 방향에 있어서의 차이가 저감되도록, 좌표 정보를 보정하는 공정을 더 포함한다.In one embodiment, in the process of conveying a measuring device, a control part controls a conveyance apparatus so that a measuring instrument may be conveyed to a conveyance destination position with preset coordinate information. The method of this embodiment further includes the step of the control unit correcting the coordinate information so that the difference in the circumferential direction of the interval between the focus ring and the edge of the measuring device, which is specified from the measurement data, is reduced.

이상 설명한 바와 같이, 측정기와 포커스 링의 사이의 정전 용량을 나타내는 측정 데이터의 취득에 있어서, 측정기의 전원의 소비 전력을 억제하는 것이 가능하게 된다.As described above, it is possible to suppress the power consumption of the power supply of the measuring device in acquisition of measurement data indicating the electrostatic capacity between the measuring device and the focus ring.

도 1은 일 실시 형태와 관련되는 정전 용량을 측정하는 방법을 나타내는 흐름도이다.
도 2는 처리 시스템을 예시하는 도면이다.
도 3은 용기를 예시하는 단면도이다.
도 4는 얼라이너를 예시하는 사시도이다.
도 5는 플라즈마 처리 장치의 일례를 나타내는 도면이다.
도 6은 측정기를 예시하는 사시도이다.
도 7은 센서부의 일례를 나타내는 사시도이다.
도 8은 도 7의 Ⅷ-Ⅷ선을 따라 취한 단면도이다.
도 9는 도 8의 Ⅸ-Ⅸ선을 따라 취한 단면도이다.
도 10은 측정기의 회로 기판의 구성을 예시하는 도면이다.
도 11은 도 1에 나타내는 방법에 관련되는 타이밍 차트이다.
도 12는 센서부의 다른 예를 나타내는 종단면도이다.
도 13은 센서부의 또 다른 예를 나타내는 종단면도이다.
도 14는 다른 실시 형태와 관련되는 측정기의 회로 기판의 구성을 예시하는 도면이다.
1 is a flowchart illustrating a method of measuring capacitance according to an embodiment.
2 is a diagram illustrating a processing system.
3 is a cross-sectional view illustrating a container.
4 is a perspective view illustrating an aligner.
5 is a diagram illustrating an example of a plasma processing apparatus.
6 is a perspective view illustrating a measuring device.
7 is a perspective view showing an example of a sensor unit.
FIG. 8 is a cross-sectional view taken along line VIII-VIII of FIG. 7 .
9 is a cross-sectional view taken along line IX-IX of FIG. 8 .
10 is a diagram illustrating a configuration of a circuit board of a measuring instrument.
Fig. 11 is a timing chart related to the method shown in Fig. 1;
12 is a longitudinal cross-sectional view showing another example of the sensor unit.
13 is a longitudinal cross-sectional view showing another example of the sensor unit.
14 is a diagram illustrating a configuration of a circuit board of a measuring instrument according to another embodiment.

이하, 도면을 참조하여 다양한 실시 형태에 대하여 상세하게 설명한다. 또, 각 도면에 있어서 동일 또는 상당하는 부분에 대해서는 동일한 부호를 붙이는 것으로 한다.Hereinafter, various embodiments will be described in detail with reference to the drawings. In addition, in each figure, the same code|symbol shall be attached|subjected about the same or corresponding part.

도 1은 일 실시 형태와 관련되는 정전 용량을 측정하는 방법을 나타내는 흐름도이다. 도 1에 나타내는 방법 MT는, 처리 시스템의 반송 장치에 의해 챔버 내에 반송되는 측정기와 포커스 링의 사이의 정전 용량을 나타내는 데이터를 취득하는 방법이다. 일 실시 형태에서는, 방법 MT에서는, 취득된 데이터를 이용하여, 반송 장치의 반송처 위치의 좌표 정보가 수정된다.1 is a flowchart illustrating a method of measuring capacitance according to an embodiment. The method MT shown in FIG. 1 is a method of acquiring data indicating the electrostatic capacitance between the focus ring and the measuring device conveyed in the chamber by the conveying device of the processing system. In one embodiment, in the method MT, coordinate information of the transfer destination position of the transfer apparatus is corrected using the acquired data.

도 2는 처리 시스템을 예시하는 도면이다. 도 2에 나타내는 처리 시스템(1)은, 방법 MT를 적용할 수 있는 처리 시스템이다. 처리 시스템(1)은, 받침대(2a~2d), 용기(4a~4d), 로더 모듈 LM, 얼라이너 AN, 로드 록 모듈 LL1, LL2, 프로세스 모듈 PM1~PM6, 트랜스퍼 모듈 TF, 및, 제어부 MC를 구비하고 있다. 또, 받침대(2a~2d)의 개수, 용기(4a~4d)의 개수, 로드 록 모듈 LL1, LL2의 개수, 및, 프로세스 모듈 PM1~PM6의 개수는 한정되는 것이 아니고, 하나 이상의 임의의 개수일 수 있다.2 is a diagram illustrating a processing system. The processing system 1 shown in FIG. 2 is a processing system to which the method MT can be applied. The processing system 1 includes pedestals 2a to 2d, containers 4a to 4d, loader module LM, aligner AN, load lock modules LL1, LL2, process modules PM1 to PM6, transfer module TF, and control unit MC. is provided In addition, the number of pedestals 2a to 2d, the number of containers 4a to 4d, the number of load lock modules LL1 and LL2, and the number of process modules PM1 to PM6 are not limited, and may be one or more arbitrary numbers. can

받침대(2a~2d)는, 로더 모듈 LM의 한 가장자리를 따라 배열되어 있다. 용기(4a~4d)는 각각, 받침대(2a~2d)상에 탑재되어 있다. 용기(4a~4d)의 각각은, 예컨대, FOUP(Front Opening Unified Pod)라고 칭해지는 용기이다. 용기(4a~4d)의 각각은, 피가공물 W를 수용하도록 구성되어 있다. 피가공물 W는, 대략 원반 형상을 갖는다.The pedestals 2a to 2d are arranged along one edge of the loader module LM. The containers 4a to 4d are mounted on the pedestals 2a to 2d, respectively. Each of the containers 4a to 4d is, for example, a container called FOUP (Front Opening Unified Pod). Each of the containers 4a - 4d is comprised so that the to-be-processed object W may be accommodated. The to-be-processed object W has a substantially disk shape.

도 3은 용기를 예시하는 단면도이다. 도 3에는, 용기(4a~4d)의 각각으로서 채용될 수 있는 용기(4)의 종단면도가 나타나 있다. 도 3에 나타내는 바와 같이, 용기(4)는, 용기 본체(4M), 및, 한 쌍의 지지 부재(4S)를 갖고 있다. 용기 본체(4M)는, 그 내부에 공간을 제공하고 있다. 한 쌍의 지지 부재(4S)는, 용기 본체(4M)에 의해 제공된 공간 내에 있어서, 용기 본체(4M)의 한 쌍의 측벽을 따라 마련되어 있다. 한 쌍의 지지 부재(4S)는, 복수의 슬롯(4L)을 제공하고 있다. 복수의 슬롯(4L)은, 연직 방향으로 배열되어 있고, 용기 본체(4M)에 의해 제공된 공간의 깊이 방향(예컨대, 상기 연직 방향에 수직인 방향)으로 연장되어 있다. 복수의 슬롯(4L)의 각각에는, 피가공물 W가 수용될 수 있다. 복수의 슬롯(4L)의 어느 하나에 수용된 피가공물 W는, 한 쌍의 지지 부재(4S)에 의해 지지되도록 되어 있다.3 is a cross-sectional view illustrating a container. Fig. 3 shows a longitudinal sectional view of a container 4 which can be employed as each of the containers 4a to 4d. As shown in FIG. 3 , the container 4 includes a container body 4M and a pair of supporting members 4S. The container body 4M provides a space therein. The pair of supporting members 4S are provided along the pair of sidewalls of the container body 4M in the space provided by the container body 4M. The pair of support members 4S are provided with a plurality of slots 4L. The plurality of slots 4L are arranged in the vertical direction and extend in the depth direction of the space provided by the container body 4M (eg, the direction perpendicular to the vertical direction). A workpiece W can be accommodated in each of the plurality of slots 4L. The workpiece W accommodated in any one of the plurality of slots 4L is supported by a pair of supporting members 4S.

도 2로 돌아와서, 로더 모듈 LM은, 대기압 상태의 반송 공간을 그 내부에 규정하는 챔버벽을 갖고 있다. 이 반송 공간 내에는 반송 장치 TU1이 마련되어 있다. 반송 장치 TU1은, 예컨대, 다관절 로봇이고, 제어부 MC에 의해 제어된다. 반송 장치 TU1은, 용기(4a~4d)와 얼라이너 AN의 사이, 얼라이너 AN과 로드 록 모듈 LL1~LL2의 사이, 로드 록 모듈 LL1~LL2와 용기(4a~4d)의 사이에서 피가공물 W를 반송하도록 구성되어 있다.2, the loader module LM has a chamber wall which prescribes|regulates the conveyance space of an atmospheric pressure state therein. The conveying apparatus TU1 is provided in this conveyance space. The transfer device TU1 is, for example, an articulated robot, and is controlled by the control unit MC. The conveying device TU1 is a workpiece W between the containers 4a to 4d and the aligner AN, between the aligner AN and the load lock modules LL1 to LL2, and between the load lock modules LL1 to LL2 and the containers 4a to 4d. is configured to return

얼라이너 AN은, 로더 모듈 LM과 접속되어 있다. 얼라이너 AN은, 피가공물 W의 위치의 조정(위치의 교정)을 행하도록 구성되어 있다. 도 4는 얼라이너를 예시하는 사시도이다. 얼라이너 AN은, 지지대(6T), 구동 장치(6D), 및, 센서(6S)를 갖고 있다. 지지대(6T)는, 상기 연직 방향으로 연장되는 축선 중심으로 회전 가능한 받침대이고, 그 위에 피가공물 W를 지지하도록 구성되어 있다. 지지대(6T)는, 구동 장치(6D)에 의해 회전된다. 구동 장치(6D)는, 제어부 MC에 의해 제어된다. 구동 장치(6D)로부터의 동력에 의해 지지대(6T)가 회전하면, 해당 지지대(6T)상에 탑재된 피가공물 W도 회전하도록 되어 있다.The aligner AN is connected to the loader module LM. The aligner AN is configured to adjust the position of the workpiece W (correction of the position). 4 is a perspective view illustrating an aligner. The aligner AN has a support base 6T, a drive device 6D, and a sensor 6S. The support base 6T is a base which is rotatable about the axis line extending in the said perpendicular direction, and is comprised so that the to-be-processed object W may be supported thereon. The support 6T is rotated by the driving device 6D. The drive device 6D is controlled by the control unit MC. When the support 6T is rotated by the power from the driving device 6D, the workpiece W mounted on the support 6T is also rotated.

센서(6S)는, 광학 센서이고, 피가공물 W가 회전되고 있는 동안, 피가공물 W의 에지를 검출한다. 센서(6S)는, 에지의 검출 결과로부터, 기준 각도 위치에 대한 피가공물 W의 노치 WN(혹은, 다른 마커)의 각도 위치의 어긋남량, 및, 기준 위치에 대한 피가공물 W의 중심 위치의 어긋남량을 검출한다. 센서(6S)는, 노치 WN의 각도 위치의 어긋남량 및 피가공물 W의 중심 위치의 어긋남량을 제어부 MC에 출력한다. 제어부 MC는, 노치 WN의 각도 위치의 어긋남량에 근거하여, 노치 WN의 각도 위치를 기준 각도 위치로 보정하기 위한 지지대(6T)의 회전량을 산출한다. 제어부 MC는, 이 회전량만큼 지지대(6T)를 회전시키도록, 구동 장치(6D)를 제어한다. 이것에 의해, 노치 WN의 각도 위치를 기준 각도 위치로 보정할 수 있다. 또한, 제어부 MC는, 반송 장치 TU1의 엔드 이펙터(end effector)상의 소정 위치에 피가공물 W의 중심 위치가 일치하도록, 얼라이너 AN으로부터 피가공물 W를 받을 때의 반송 장치 TU1의 엔드 이펙터의 위치를, 피가공물 W의 중심 위치의 어긋남량에 근거하여 제어한다.The sensor 6S is an optical sensor and detects the edge of the workpiece W while the workpiece W is being rotated. The sensor 6S determines, from the detection result of the edge, the amount of deviation of the angular position of the notch WN (or other marker) of the workpiece W with respect to the reference angular position, and the deviation of the center position of the workpiece W with respect to the reference position. detect the amount. The sensor 6S outputs the amount of deviation of the angular position of the notch WN and the amount of deviation of the center position of the workpiece W to the control unit MC. The control unit MC calculates the amount of rotation of the support base 6T for correcting the angular position of the notch WN to the reference angular position based on the shift amount of the angular position of the notch WN. The control unit MC controls the driving device 6D to rotate the support 6T by this rotation amount. Thereby, the angular position of the notch WN can be corrected to the reference angular position. Further, the control unit MC determines the position of the end effector of the conveying device TU1 when receiving the workpiece W from the aligner AN so that the center position of the workpiece W coincides with a predetermined position on the end effector of the conveying device TU1. , control based on the shift amount of the center position of the workpiece W.

도 2로 돌아와서, 로드 록 모듈 LL1 및 로드 록 모듈 LL2의 각각은, 로더 모듈 LM과 트랜스퍼 모듈 TF의 사이에 마련되어 있다. 로드 록 모듈 LL1 및 로드 록 모듈 LL2의 각각은, 예비 감압실을 제공하고 있다. 로드 록 모듈 LL1과 로더 모듈 LM의 사이에는 게이트 밸브가 마련되어 있다. 로드 록 모듈 LL1의 예비 감압실과 로더 모듈 LM의 반송 공간은, 게이트 밸브를 여는 것에 의해 연통되고, 게이트 밸브를 닫는 것에 의해 서로 분리된다. 또한, 로드 록 모듈 LL2와 로더 모듈 LM의 사이에는 다른 게이트 밸브가 마련되어 있다. 로드 록 모듈 LL2의 예비 감압실과 로더 모듈 LM의 반송 공간은, 게이트 밸브를 개방하는 것에 의해 연통되고, 게이트 밸브를 닫는 것에 의해 서로 분리된다.2 , each of the load lock module LL1 and the load lock module LL2 is provided between the loader module LM and the transfer module TF. Each of the load lock module LL1 and the load lock module LL2 provides a spare decompression chamber. A gate valve is provided between the load lock module LL1 and the loader module LM. The preliminary pressure reduction chamber of the load lock module LL1 and the conveyance space of the loader module LM are communicated by opening the gate valve, and are separated from each other by closing the gate valve. Further, another gate valve is provided between the load lock module LL2 and the loader module LM. The preliminary pressure reduction chamber of the load lock module LL2 and the conveyance space of the loader module LM are communicated by opening the gate valve, and are separated from each other by closing the gate valve.

트랜스퍼 모듈 TF는, 로드 록 모듈 LL1 및 로드 록 모듈 LL2에 게이트 밸브를 거쳐서 접속되어 있다. 트랜스퍼 모듈 TF는, 감압 가능한 감압실을 제공하고 있다. 이 감압실에는, 반송 장치 TU2가 마련되어 있다. 반송 장치 TU2는, 예컨대, 다관절 로봇이고, 제어부 MC에 의해 제어된다. 반송 장치 TU2는, 로드 록 모듈 LL1~LL2와 프로세스 모듈 PM1~PM6의 사이, 및, 프로세스 모듈 PM1~PM6 중 임의의 2개의 프로세스 모듈 사이에 있어서, 피가공물 W를 반송하도록 구성되어 있다.The transfer module TF is connected to the load lock module LL1 and the load lock module LL2 via a gate valve. The transfer module TF is providing the decompression chamber which can depressurize. In this decompression chamber, the conveying apparatus TU2 is provided. The transfer device TU2 is, for example, an articulated robot, and is controlled by the control unit MC. The conveying device TU2 is configured to convey the workpiece W between the load lock modules LL1 to LL2 and the process modules PM1 to PM6, and between any two process modules among the process modules PM1 to PM6.

프로세스 모듈 PM1~PM6의 각각은, 트랜스퍼 모듈 TF에 게이트 밸브를 거쳐서 접속되어 있다. 프로세스 모듈 PM1~PM6의 각각은, 피가공물 W에 대하여 플라즈마 처리라고 하는 전용의 처리를 행하도록 구성된 처리 장치이다. 프로세스 모듈 PM1~PM6의 각각의 챔버와 트랜스퍼 모듈 TF의 감압실은, 게이트 밸브를 여는 것에 의해 연통되고, 게이트 밸브를 닫는 것에 의해 서로 분리된다.Each of the process modules PM1 to PM6 is connected to the transfer module TF via a gate valve. Each of the process modules PM1 to PM6 is a processing apparatus configured to perform a dedicated processing called plasma processing on the workpiece W. Each chamber of the process modules PM1 to PM6 and the decompression chamber of the transfer module TF communicate with each other by opening the gate valve, and are separated from each other by closing the gate valve.

이 처리 시스템(1)에 있어서 피가공물 W의 처리가 행해질 때의 일련의 동작은 이하와 같이 예시된다. 로더 모듈 LM의 반송 장치 TU1이, 용기(4a~4d)의 어느 한쪽으로부터 피가공물 W를 꺼내고, 해당 피가공물 W를 얼라이너 AN에 반송한다. 그 다음에, 반송 장치 TU1은, 그 위치가 조정된 피가공물 W를 얼라이너 AN으로부터 꺼내서, 해당 피가공물 W를 로드 록 모듈 LL1 및 로드 록 모듈 LL2 중 한쪽의 로드 록 모듈에 반송한다. 그 다음에, 한쪽의 로드 록 모듈이, 예비 감압실의 압력을 소정의 압력으로 감압한다. 그 다음에, 트랜스퍼 모듈 TF의 반송 장치 TU2가, 한쪽의 로드 록 모듈로부터 피가공물 W를 꺼내고, 해당 피가공물 W를 프로세스 모듈 PM1~PM6 중 어느 한쪽에 반송한다. 그리고, 프로세스 모듈 PM1~PM6 중 하나 이상의 프로세스 모듈이 피가공물 W를 처리한다. 그리고, 반송 장치 TU2가, 처리 후의 피가공물 W를 프로세스 모듈로부터 로드 록 모듈 LL1 및 로드 록 모듈 LL2 중 한쪽의 로드 록 모듈에 반송한다. 그 다음에, 반송 장치 TU1이 피가공물 W를 한쪽의 로드 록 모듈로부터 용기(4a~4d)의 어느 한쪽에 반송한다.A series of operations when the processing of the workpiece W is performed in the processing system 1 is exemplified as follows. The conveyance apparatus TU1 of the loader module LM takes out the to-be-processed object W from either one of the containers 4a-4d, and conveys the said to-be-processed object W to the aligner AN. Next, the conveying apparatus TU1 takes out the workpiece W whose position has been adjusted from the aligner AN, and conveys the workpiece W to one of the load lock module LL1 and the load lock module LL2. Then, one of the load lock modules depressurizes the pressure in the preliminary decompression chamber to a predetermined pressure. Next, the transfer device TU2 of the transfer module TF takes out the workpiece W from one of the load lock modules, and transports the workpiece W to any one of the process modules PM1 to PM6. Then, one or more of the process modules PM1 to PM6 processes the workpiece W. Then, the transport device TU2 transports the processed workpiece W from the process module to one of the load lock module LL1 and the load lock module LL2. Then, the conveying apparatus TU1 conveys the to-be-processed object W from one load-lock module to any one of the containers 4a-4d.

이 처리 시스템(1)은, 상술한 바와 같이 제어부 MC를 구비하고 있다. 제어부 MC는, 프로세서, 메모리라고 하는 기억 장치, 표시 장치, 입출력 장치, 통신 장치 등을 구비하는 컴퓨터일 수 있다. 상술한 처리 시스템(1)의 일련의 동작은, 기억 장치에 기억된 프로그램에 따른 제어부 MC에 의한 처리 시스템(1)의 각 부의 제어에 의해, 실현되도록 되어 있다.This processing system 1 is equipped with the control part MC as mentioned above. The control unit MC may be a computer including a processor, a storage device called a memory, a display device, an input/output device, a communication device, and the like. The series of operations of the processing system 1 described above are realized by the control of each unit of the processing system 1 by the control unit MC according to the program stored in the storage device.

도 5는 프로세스 모듈 PM1~PM6의 어느 하나로서 채용될 수 있는 플라즈마 처리 장치의 일례를 나타내는 도면이다. 도 5에 나타내는 플라즈마 처리 장치(10)는, 용량 결합형 플라즈마 에칭 장치이다. 플라즈마 처리 장치(10)는, 대략 원통 형상의 챔버 본체(12)를 구비하고 있다. 챔버 본체(12)는, 예컨대, 알루미늄으로 형성되어 있고, 그 내벽면에는, 양극 산화 처리가 실시될 수 있다. 이 챔버 본체(12)는 보안 접지되어 있다.5 is a diagram illustrating an example of a plasma processing apparatus that can be employed as any one of process modules PM1 to PM6. The plasma processing apparatus 10 shown in FIG. 5 is a capacitively coupled plasma etching apparatus. The plasma processing apparatus 10 includes a chamber body 12 having a substantially cylindrical shape. The chamber body 12 is made of, for example, aluminum, and the inner wall surface thereof may be subjected to an anodization treatment. This chamber body 12 is securely grounded.

챔버 본체(12)의 저부상에는, 대략 원통 형상의 지지부(14)가 마련되어 있다. 지지부(14)는, 예컨대, 절연 재료로 구성되어 있다. 지지부(14)는, 챔버 본체(12) 내에 마련되어 있고, 챔버 본체(12)의 저부로부터 위쪽으로 연장되어 있다. 또한, 챔버 본체(12)에 의해 제공되는 챔버 S 내에는, 탑재대 PD가 마련되어 있다. 탑재대 PD는, 지지부(14)에 의해 지지되어 있다.On the bottom of the chamber main body 12, a substantially cylindrical support portion 14 is provided. The support portion 14 is made of, for example, an insulating material. The support part 14 is provided in the chamber main body 12, and extends upward from the bottom of the chamber main body 12. As shown in FIG. In addition, in the chamber S provided by the chamber main body 12, a mounting table PD is provided. The mounting table PD is supported by the support 14 .

탑재대 PD는, 하부 전극 LE 및 정전 척 ESC를 갖고 있다. 하부 전극 LE는, 제 1 플레이트(18a) 및 제 2 플레이트(18b)를 포함하고 있다. 제 1 플레이트(18a) 및 제 2 플레이트(18b)는, 예컨대 알루미늄이라고 하는 금속으로 구성되어 있고, 대략 원반 형상을 이루고 있다. 제 2 플레이트(18b)는, 제 1 플레이트(18a)상에 마련되어 있고, 제 1 플레이트(18a)에 전기적으로 접속되어 있다.The mounting table PD has a lower electrode LE and an electrostatic chuck ESC. The lower electrode LE includes a first plate 18a and a second plate 18b. The first plate 18a and the second plate 18b are made of, for example, a metal such as aluminum, and have a substantially disk shape. The second plate 18b is provided on the first plate 18a and is electrically connected to the first plate 18a.

제 2 플레이트(18b)상에는, 정전 척 ESC가 마련되어 있다. 정전 척 ESC는, 도전막인 전극을 한 쌍의 절연층 또는 절연 시트 사이에 배치한 구조를 갖고 있고, 대략 원반 형상을 갖고 있다. 정전 척 ESC의 전극에는, 직류 전원(22)이 스위치(23)를 거쳐서 전기적으로 접속되어 있다. 이 정전 척 ESC는, 직류 전원(22)으로부터의 직류 전압에 의해 생긴 쿨롱력 등의 정전력에 의해 피가공물 W를 흡착한다. 이것에 의해, 정전 척 ESC는, 피가공물 W를 유지할 수 있다.On the second plate 18b, an electrostatic chuck ESC is provided. The electrostatic chuck ESC has a structure in which an electrode, which is a conductive film, is disposed between a pair of insulating layers or insulating sheets, and has a substantially disk shape. A DC power supply 22 is electrically connected to the electrode of the electrostatic chuck ESC via a switch 23 . The electrostatic chuck ESC attracts the workpiece W by an electrostatic force such as a Coulomb force generated by a DC voltage from the DC power supply 22 . Thereby, the electrostatic chuck ESC can hold the workpiece W.

제 2 플레이트(18b)의 주연부상에는, 포커스 링 FR이 마련되어 있다. 이 포커스 링 FR은, 피가공물 W의 에지 및 정전 척 ESC를 둘러싸도록 마련되어 있다. 포커스 링 FR은, 제 1 부분 P1 및 제 2 부분 P2를 갖고 있다(도 8 참조). 제 1 부분 P1 및 제 2 부분 P2는 환상(環狀) 판 형상을 갖고 있다. 제 2 부분 P2는, 제 1 부분 P1상에 마련되어 있다. 제 2 부분 P2의 내연 P2i는 제 1 부분 P1의 내연 P1i의 직경보다 큰 직경을 갖고 있다. 피가공물 W는, 그 에지 영역이, 포커스 링 FR의 제 1 부분 P1상에 위치하도록, 정전 척 ESC상에 탑재된다. 이 포커스 링 FR은, 실리콘, 탄화규소, 산화실리콘이라고 하는 다양한 재료 중 어느 하나로 형성될 수 있다.A focus ring FR is provided on the periphery of the second plate 18b. The focus ring FR is provided so as to surround the edge of the workpiece W and the electrostatic chuck ESC. The focus ring FR has a first portion P1 and a second portion P2 (see Fig. 8). The first part P1 and the second part P2 have an annular plate shape. The second part P2 is provided on the first part P1. The inner edge P2i of the second portion P2 has a larger diameter than the diameter of the inner edge P1i of the first portion P1. The workpiece W is mounted on the electrostatic chuck ESC so that its edge region is located on the first portion P1 of the focus ring FR. The focus ring FR may be formed of any one of various materials such as silicon, silicon carbide, and silicon oxide.

제 2 플레이트(18b)의 내부에는, 냉매 유로(24)가 마련되어 있다. 냉매 유로(24)는, 온조 기구를 구성하고 있다. 냉매 유로(24)에는, 챔버 본체(12)의 외부에 마련된 칠러 유닛으로부터 배관(26a)을 거쳐서 냉매가 공급된다. 냉매 유로(24)에 공급된 냉매는, 배관(26b)을 거쳐서 칠러 유닛으로 되돌려진다. 이와 같이, 냉매 유로(24)와 칠러 유닛의 사이에서는, 냉매가 순환된다. 이 냉매의 온도를 제어하는 것에 의해, 정전 척 ESC에 의해 지지된 피가공물 W의 온도가 제어된다.A refrigerant passage 24 is provided inside the second plate 18b. The refrigerant passage 24 constitutes a temperature control mechanism. A refrigerant is supplied to the refrigerant passage 24 from a chiller unit provided outside the chamber main body 12 through a pipe 26a. The refrigerant supplied to the refrigerant passage 24 is returned to the chiller unit via the pipe 26b. In this way, the refrigerant circulates between the refrigerant passage 24 and the chiller unit. By controlling the temperature of this refrigerant, the temperature of the workpiece W supported by the electrostatic chuck ESC is controlled.

또한, 플라즈마 처리 장치(10)에는, 가스 공급 라인(28)이 마련되어 있다. 가스 공급 라인(28)은, 전열 가스 공급 기구로부터의 전열 가스, 예컨대 He 가스를, 정전 척 ESC의 상면과 피가공물 W의 이면의 사이에 공급한다.In addition, the plasma processing apparatus 10 is provided with a gas supply line 28 . The gas supply line 28 supplies a heat transfer gas, for example, He gas, from the heat transfer gas supply mechanism between the upper surface of the electrostatic chuck ESC and the back surface of the workpiece W.

또한, 플라즈마 처리 장치(10)는, 상부 전극(30)을 구비하고 있다. 상부 전극(30)은, 탑재대 PD의 위쪽에 있어서, 해당 탑재대 PD와 대향 배치되어 있다. 상부 전극(30)은, 절연성 차폐 부재(32)를 통해서, 챔버 본체(12)의 상부에 지지되어 있다. 상부 전극(30)은, 천판(34) 및 지지체(36)를 포함할 수 있다. 천판(34)은 챔버 S에 면하고 있고, 해당 천판(34)에는 복수의 가스 토출 구멍(34a)이 마련되어 있다. 이 천판(34)은, 실리콘 또는 석영으로 형성될 수 있다. 혹은, 천판(34)은, 알루미늄제의 모재의 표면에 산화이트륨이라고 하는 플라즈마 내성의 막을 형성하는 것에 의해 구성될 수 있다.In addition, the plasma processing apparatus 10 includes an upper electrode 30 . The upper electrode 30 is disposed above the mounting table PD to face the mounting table PD. The upper electrode 30 is supported on the upper part of the chamber body 12 via the insulating shield member 32 . The upper electrode 30 may include a top plate 34 and a support body 36 . The top plate 34 faces the chamber S, and the top plate 34 is provided with a plurality of gas discharge holes 34a. The top plate 34 may be formed of silicon or quartz. Alternatively, the top plate 34 may be constituted by forming a plasma-resistant film called yttrium oxide on the surface of a base material made of aluminum.

지지체(36)는, 천판(34)을 착탈이 자유롭게 지지하는 것이고, 예컨대 알루미늄이라고 하는 도전성 재료로 구성될 수 있다. 이 지지체(36)는, 수랭 구조를 가질 수 있다. 지지체(36)의 내부에는, 가스 확산실(36a)이 마련되어 있다. 이 가스 확산실(36a)로부터는, 가스 토출 구멍(34a)에 연통하는 복수의 가스 통류 구멍(36b)이 아래쪽으로 연장되어 있다. 또한, 지지체(36)에는, 가스 확산실(36a)에 처리 가스를 유도하는 가스 도입구(36c)가 형성되어 있고, 이 가스 도입구(36c)에는, 가스 공급관(38)이 접속되어 있다.The support body 36 is for freely supporting the top plate 34, and may be comprised with the electroconductive material called aluminum, for example. This support body 36 may have a water cooling structure. A gas diffusion chamber 36a is provided inside the support body 36 . A plurality of gas flow holes 36b communicating with the gas discharge holes 34a extend downward from the gas diffusion chamber 36a. In addition, the support body 36 is provided with a gas inlet 36c that guides the process gas into the gas diffusion chamber 36a, and a gas supply pipe 38 is connected to the gas inlet 36c.

가스 공급관(38)에는, 밸브군(42) 및 유량 제어기군(44)을 거쳐서, 가스 소스군(40)이 접속되어 있다. 가스 소스군(40)은, 복수 종류의 가스용의 복수의 가스 소스를 포함하고 있다. 밸브군(42)은 복수의 밸브를 포함하고 있고, 유량 제어기군(44)은 매스 플로우 컨트롤러라고 하는 복수의 유량 제어기를 포함하고 있다. 가스 소스군(40)의 복수의 가스 소스는 각각, 밸브군(42)의 대응하는 밸브 및 유량 제어기군(44)의 대응하는 유량 제어기를 거쳐서, 가스 공급관(38)에 접속되어 있다.A gas source group 40 is connected to the gas supply pipe 38 via a valve group 42 and a flow rate controller group 44 . The gas source group 40 includes a plurality of gas sources for a plurality of types of gases. The valve group 42 includes a plurality of valves, and the flow controller group 44 includes a plurality of flow controllers called mass flow controllers. The plurality of gas sources of the gas source group 40 are respectively connected to the gas supply pipe 38 via a corresponding valve of the valve group 42 and a corresponding flow controller of the flow rate controller group 44 .

또한, 플라즈마 처리 장치(10)에서는, 챔버 본체(12)의 내벽을 따라 퇴적물 실드(46)가 착탈이 자유롭게 마련되어 있다. 퇴적물 실드(46)는, 지지부(14)의 외주에도 마련되어 있다. 퇴적물 실드(46)는, 챔버 본체(12)에 에칭 부생물(퇴적물)이 부착되는 것을 방지하는 것이고, 알루미늄재에 Y2O3 등의 세라믹스를 피복하는 것에 의해 구성될 수 있다.In addition, in the plasma processing apparatus 10 , the deposit shield 46 is freely provided along the inner wall of the chamber main body 12 . The deposit shield 46 is also provided on the outer periphery of the support part 14 . The deposit shield 46 prevents etching by-products (deposits) from adhering to the chamber body 12 , and can be configured by coating an aluminum material with ceramics such as Y 2 O 3 .

챔버 본체(12)의 저부측, 또한, 지지부(14)와 챔버 본체(12)의 측벽의 사이에는 배기 플레이트(48)가 마련되어 있다. 배기 플레이트(48)는, 예컨대, 알루미늄재에 Y2O3 등의 세라믹스를 피복하는 것에 의해 구성될 수 있다. 배기 플레이트(48)에는, 그 판 두께 방향으로 관통하는 복수의 구멍이 형성되어 있다. 이 배기 플레이트(48)의 아래쪽, 또한, 챔버 본체(12)에는, 배기구(12e)가 마련되어 있다. 배기구(12e)에는, 배기관(52)을 거쳐서 배기 장치(50)가 접속되어 있다. 배기 장치(50)는, 압력 조정 밸브 및 터보 분자 펌프 등의 진공 펌프를 갖고 있고, 챔버 본체(12) 내의 공간을 소망하는 진공도까지 감압할 수 있다. 또한, 챔버 본체(12)의 측벽에는 피가공물 W의 반입출구(12g)가 마련되어 있고, 이 반입출구(12g)는 게이트 밸브(54)에 의해 개폐 가능하게 되어 있다.An exhaust plate 48 is provided on the bottom side of the chamber body 12 and between the support 14 and the side wall of the chamber body 12 . The exhaust plate 48 may be constituted by , for example, coating an aluminum material with ceramics such as Y 2 O 3 . The exhaust plate 48 is provided with a plurality of holes penetrating in the plate thickness direction. An exhaust port 12e is provided below the exhaust plate 48 and in the chamber main body 12 . An exhaust device 50 is connected to the exhaust port 12e via an exhaust pipe 52 . The exhaust device 50 includes a pressure regulating valve and a vacuum pump such as a turbo molecular pump, and can decompress the space in the chamber body 12 to a desired degree of vacuum. Further, on the side wall of the chamber main body 12, a carry-in/outlet 12g for the workpiece W is provided, and the carry-in/outlet 12g is opened and closed by a gate valve 54 .

또한, 플라즈마 처리 장치(10)는, 제 1 고주파 전원(62) 및 제 2 고주파 전원(64)을 더 구비하고 있다. 제 1 고주파 전원(62)은, 플라즈마 생성용의 제 1 고주파를 발생시키는 전원이고, 예컨대, 27~100㎒의 주파수를 갖는 고주파를 발생시킨다. 제 1 고주파 전원(62)은, 정합기(66)를 거쳐서 상부 전극(30)에 접속되어 있다. 정합기(66)는, 제 1 고주파 전원(62)의 출력 임피던스와 부하측(상부 전극(30)측)의 입력 임피던스를 정합시키기 위한 회로를 갖고 있다. 또, 제 1 고주파 전원(62)은, 정합기(66)를 거쳐서 하부 전극 LE에 접속되어 있더라도 좋다.In addition, the plasma processing apparatus 10 further includes a first high frequency power supply 62 and a second high frequency power supply 64 . The first high frequency power supply 62 is a power supply for generating a first high frequency wave for plasma generation, and generates a high frequency wave having a frequency of, for example, 27 to 100 MHz. The first high frequency power supply 62 is connected to the upper electrode 30 via a matching device 66 . The matching device 66 has a circuit for matching the output impedance of the first high frequency power supply 62 with the input impedance of the load side (upper electrode 30 side). Further, the first high frequency power supply 62 may be connected to the lower electrode LE via a matching unit 66 .

제 2 고주파 전원(64)은, 피가공물 W에 이온을 끌어들이기 위한 제 2 고주파를 발생시키는 전원이고, 예컨대, 400㎑~13.56㎒의 범위 내의 주파수의 고주파를 발생시킨다. 제 2 고주파 전원(64)은, 정합기(68)를 거쳐서 하부 전극 LE에 접속되어 있다. 정합기(68)는, 제 2 고주파 전원(64)의 출력 임피던스와 부하측(하부 전극 LE측)의 입력 임피던스를 정합시키기 위한 회로를 갖고 있다.The second high frequency power supply 64 is a power supply that generates a second high frequency for attracting ions to the workpiece W, and generates a high frequency wave having a frequency within the range of 400 kHz to 13.56 MHz, for example. The second high frequency power supply 64 is connected to the lower electrode LE via a matching device 68 . The matching device 68 has a circuit for matching the output impedance of the second high frequency power supply 64 with the input impedance of the load side (lower electrode LE side).

이 플라즈마 처리 장치(10)에서는, 복수의 가스 소스 중 선택된 하나 이상의 가스 소스로부터의 가스가 챔버 S에 공급된다. 또한, 챔버 S의 압력이 배기 장치(50)에 의해 소정의 압력으로 설정된다. 또한, 제 1 고주파 전원(62)으로부터의 제 1 고주파에 의해 챔버 S 내의 가스가 여기된다. 이것에 의해, 플라즈마가 생성된다. 그리고, 발생한 활성종에 의해 피가공물 W가 처리된다. 또, 필요에 따라서, 제 2 고주파 전원(64)의 제 2 고주파에 근거하는 바이어스에 의해, 피가공물 W에 이온이 끌어들여지더라도 좋다.In the plasma processing apparatus 10, gas from one or more gas sources selected from among a plurality of gas sources is supplied to the chamber S. Further, the pressure in the chamber S is set to a predetermined pressure by the exhaust device 50 . In addition, the gas in the chamber S is excited by the first radio frequency from the first radio frequency power supply 62 . Thereby, plasma is generated. And the to-be-processed object W is processed by the generated active species. In addition, ions may be attracted to the workpiece W by a bias based on the second high frequency of the second high frequency power supply 64 as needed.

이하, 방법 MT에 있어서 이용되는 측정기에 대하여 설명한다. 도 6은 측정기를 예시하는 사시도이다. 도 6에 나타내는 측정기(100)는, 베이스 기판(102)을 구비하고 있다. 베이스 기판(102)은, 예컨대, 실리콘으로 형성되어 있고, 피가공물 W의 형상과 마찬가지의 형상, 즉 대략 원반 형상을 갖고 있다. 베이스 기판(102)의 직경은, 피가공물 W와 마찬가지의 직경이고, 예컨대, 300㎜이다. 측정기(100)의 형상 및 치수는, 이 베이스 기판(102)의 형상 및 치수에 의해 규정된다. 따라서, 측정기(100)는, 피가공물 W의 형상과 마찬가지의 형상을 갖고, 또한, 피가공물 W의 치수와 마찬가지의 치수를 갖는다. 또한, 베이스 기판(102)의 에지에는, 노치(102N)(혹은, 다른 마커)가 형성되어 있다.Hereinafter, the measuring device used in method MT is demonstrated. 6 is a perspective view illustrating a measuring device. The measuring device 100 shown in FIG. 6 includes a base substrate 102 . The base substrate 102 is made of, for example, silicon, and has a shape similar to that of the workpiece W, that is, a substantially disk shape. The diameter of the base substrate 102 is the same as that of the workpiece W, for example, 300 mm. The shape and dimensions of the measuring device 100 are defined by the shape and dimensions of the base substrate 102 . Therefore, the measuring device 100 has a shape similar to the shape of the to-be-processed object W, and has the same dimension as the dimension of the to-be-processed object W. As shown in FIG. In addition, a notch 102N (or another marker) is formed in the edge of the base substrate 102 .

베이스 기판(102)은, 아래쪽 부분(102a) 및 위쪽 부분(102b)을 갖고 있다. 아래쪽 부분(102a)은, 측정기(100)가 정전 척 ESC의 위쪽에 배치될 때에, 위쪽 부분(102b)보다 정전 척 ESC의 가까이에 위치하는 부분이다. 베이스 기판(102)의 아래쪽 부분(102a)에는, 정전 용량 측정용의 복수의 센서부(104A~104H)가 마련되어 있다. 또, 측정기(100)에 마련되는 센서부의 개수는, 3개 이상의 임의의 개수일 수 있다. 복수의 센서부(104A~104H)는, 베이스 기판(102)의 에지를 따라, 예컨대 해당 에지의 전체 둘레에 있어서 등간격으로 배열되어 있다. 구체적으로는, 복수의 센서부(104A~104H)의 각각의 앞쪽 단면(104f)이 베이스 기판(102)의 아래쪽 부분(102a)의 에지를 따르도록 마련되어 있다. 또, 도 6에서는, 복수의 센서부(104A~104H) 중 센서부(104A~104C)가 보이고 있다.The base substrate 102 has a lower portion 102a and an upper portion 102b. The lower portion 102a is a portion located closer to the electrostatic chuck ESC than the upper portion 102b when the measuring device 100 is disposed above the electrostatic chuck ESC. A plurality of sensor units 104A to 104H for capacitance measurement are provided in the lower portion 102a of the base substrate 102 . In addition, the number of sensor units provided in the measuring device 100 may be any number of three or more. The plurality of sensor units 104A to 104H are arranged along the edge of the base substrate 102 at equal intervals, for example, around the entire periphery of the edge. Specifically, the front end face 104f of each of the plurality of sensor portions 104A to 104H is provided along the edge of the lower portion 102a of the base substrate 102 . Moreover, in FIG. 6, sensor part 104A-104C is shown among several sensor part 104A-104H.

베이스 기판(102)의 위쪽 부분(102b)의 상면은, 오목부(102r)를 제공하고 있다. 오목부(102r)는, 중앙 영역(102c) 및 복수의 방사 영역(102h)을 포함하고 있다. 중앙 영역(102c)은, 중심 축선 AX(100)와 교차하는 영역이다. 중심 축선 AX(100)는, 베이스 기판(102)의 중심을 판 두께 방향으로 통과하는 축선이다. 중앙 영역(102c)에는, 회로 기판(106)이 마련되어 있다. 복수의 방사 영역(102h)은, 중앙 영역(102c)으로부터 복수의 센서부(104A~104H)가 배치되어 있는 영역의 위쪽까지 중심 축선 AX(100)에 대하여 방사 방향으로 연장되어 있다. 복수의 방사 영역(102h)에는, 복수의 센서부(104A~104H)와 회로 기판(106)을 각각 전기적으로 접속하기 위한 배선군(108A~108H)이 마련되어 있다. 또, 복수의 센서부(104A~104H)는 베이스 기판(102)의 위쪽 부분(102b)에 마련되어 있더라도 좋다.The upper surface of the upper portion 102b of the base substrate 102 is provided with a concave portion 102r. The recessed portion 102r includes a central region 102c and a plurality of radiation regions 102h. The central region 102c is a region that intersects the central axis AX100. The central axis AX100 is an axis passing through the center of the base substrate 102 in the plate thickness direction. A circuit board 106 is provided in the central region 102c. The plurality of radiation regions 102h extend in the radial direction with respect to the central axis line AX 100 from the central region 102c to above the region where the plurality of sensor units 104A to 104H are arranged. In the plurality of radiation regions 102h, wiring groups 108A to 108H for electrically connecting the plurality of sensor portions 104A to 104H and the circuit board 106, respectively, are provided. In addition, the plurality of sensor units 104A to 104H may be provided in the upper portion 102b of the base substrate 102 .

이하, 센서부에 대하여 상세하게 설명한다. 도 7은 센서부의 일례를 나타내는 사시도이다. 도 8은 도 7의 Ⅷ-Ⅷ선을 따라 취한 단면도이고, 센서부와 함께 측정기의 베이스 기판 및 포커스 링을 나타내고 있다. 도 9는 도 8의 Ⅸ-Ⅸ선을 따라 취한 단면도이다. 도 7~도 9에 나타내는 센서부(104)는, 측정기(100)의 복수의 센서부(104A~104H)로서 이용되는 센서부이고, 일례에서는, 칩 형상의 부품으로서 구성되어 있다. 또, 이하의 설명에서는, XYZ 직교 좌표계를 적당히 참조한다. X 방향은, 센서부(104)의 앞 방향을 나타내고 있고, Y 방향은, X 방향에 직교하는 한 방향으로서 센서부(104)의 폭 방향을 나타내고 있고, Z 방향은, X 방향 및 Y 방향에 직교하는 방향으로서 센서부(104)의 위쪽 방향을 나타내고 있다.Hereinafter, the sensor unit will be described in detail. 7 is a perspective view showing an example of a sensor unit. FIG. 8 is a cross-sectional view taken along line VIII-VIII of FIG. 7 and shows a base substrate and a focus ring of the measuring device together with the sensor unit. 9 is a cross-sectional view taken along line IX-IX of FIG. 8 . The sensor part 104 shown in FIGS. 7-9 is a sensor part used as some sensor part 104A-104H of the measuring instrument 100, and is comprised as a chip-shaped component in an example. In addition, in the following description, the XYZ rectangular coordinate system is suitably referred. The X direction represents the front direction of the sensor unit 104 , the Y direction represents the width direction of the sensor unit 104 as one direction orthogonal to the X direction, and the Z direction is the X direction and the Y direction. As a direction orthogonal, the upward direction of the sensor part 104 is shown.

도 7~도 9에 나타내는 바와 같이, 센서부(104)는, 앞쪽 단면(104f), 상면(104t), 하면(104b), 한 쌍의 측면(104s), 및 뒤쪽 단면(104r)을 갖고 있다. 앞쪽 단면(104f)은, X 방향에 있어서 센서부(104)의 앞쪽 표면을 구성하고 있다. 센서부(104)는, 앞쪽 단면(104f)이 중심 축선 AX(100)에 대하여 방사 방향으로 향하도록, 측정기(100)의 베이스 기판(102)에 탑재된다(도 6 참조). 또한, 센서부(104)가 베이스 기판(102)에 탑재되어 있는 상태에서는, 앞쪽 단면(104f)은, 베이스 기판(102)의 에지를 따라 연장된다. 따라서, 측정기(100)가 정전 척 ESC상에 배치될 때에, 앞쪽 단면(104f)은, 포커스 링 FR의 내연에 대면한다.7 to 9 , the sensor unit 104 has a front end surface 104f, an upper surface 104t, a lower surface 104b, a pair of side surfaces 104s, and a rear end surface 104r. . The front end face 104f constitutes the front surface of the sensor unit 104 in the X direction. The sensor unit 104 is mounted on the base substrate 102 of the measuring instrument 100 such that the front end face 104f faces radially with respect to the central axis AX 100 (see FIG. 6 ). Further, in the state where the sensor unit 104 is mounted on the base substrate 102 , the front end face 104f extends along the edge of the base substrate 102 . Accordingly, when the measuring instrument 100 is placed on the electrostatic chuck ESC, the front end face 104f faces the inner edge of the focus ring FR.

뒤쪽 단면(104r)은, X 방향에 있어서 센서부(104)의 뒤쪽 표면을 구성하고 있다. 센서부(104)가 베이스 기판(102)에 탑재되어 있는 상태에서는, 뒤쪽 단면(104r)은, 앞쪽 단면(104f)보다 중심 축선 AX(100)의 가까이에 위치한다. 상면(104t)은 Z 방향에 있어서 센서부(104)의 위쪽 표면을 구성하고 있고, 하면(104b)은 Z 방향에 있어서 센서부(104)의 아래쪽 표면을 구성하고 있다. 또한, 한 쌍의 측면(104s)은, Y 방향에 있어서 센서부(104)의 표면을 구성하고 있다.The rear end surface 104r constitutes the rear surface of the sensor unit 104 in the X direction. In a state in which the sensor unit 104 is mounted on the base substrate 102 , the rear end face 104r is located closer to the central axis AX100 than the front end face 104f . The upper surface 104t constitutes the upper surface of the sensor unit 104 in the Z direction, and the lower surface 104b constitutes the lower surface of the sensor unit 104 in the Z direction. In addition, a pair of side surface 104s comprises the surface of the sensor part 104 in the Y direction.

센서부(104)는, 전극(143)을 갖고 있다. 센서부(104)는, 전극(141) 및 전극(142)을 더 갖고 있더라도 좋다. 전극(141)은, 도체로 형성되어 있다. 전극(141)은, 제 1 부분(141a)을 갖고 있다. 도 7 및 도 8에 나타내는 바와 같이, 제 1 부분(141a)은, X 방향 및 Y 방향으로 연장되어 있다.The sensor unit 104 has an electrode 143 . The sensor unit 104 may further include an electrode 141 and an electrode 142 . The electrode 141 is formed of a conductor. The electrode 141 has a first portion 141a. 7 and 8 , the first portion 141a extends in the X direction and the Y direction.

전극(142)은, 도체로 형성되어 있다. 전극(142)은, 제 2 부분(142a)을 갖고 있다. 제 2 부분(142a)은, 제 1 부분(141a)의 위에서 연장되어 있다. 센서부(104) 내에 있어서, 전극(142)은, 전극(141)으로부터 절연되어 있다. 도 7 및 도 8에 나타내는 바와 같이, 제 2 부분(142a)은, 제 1 부분(141a)의 위에서, X 방향 및 Y 방향으로 연장되어 있다.The electrode 142 is formed of a conductor. The electrode 142 has a second portion 142a. The second portion 142a extends above the first portion 141a. In the sensor unit 104 , the electrode 142 is insulated from the electrode 141 . 7 and 8 , the second part 142a extends above the first part 141a in the X direction and the Y direction.

전극(143)은, 도체로 형성된 센서 전극이다. 전극(143)은, 전극(141)의 제 1 부분(141a) 및 전극(142)의 제 2 부분(142a)의 위에 마련되어 있다. 전극(143)은, 센서부(104) 내에 있어서 전극(141) 및 전극(142)으로부터 절연되어 있다. 전극(143)은, 전면(143f)을 갖고 있다. 이 전면(143f)은, 제 1 부분(141a) 및 제 2 부분(142a)에 교차하는 방향으로 연장되어 있다. 또한, 전면(143f)은, 센서부(104)의 앞쪽 단면(104f)을 따라 연장되어 있다. 일 실시 형태에서는, 전면(143f)은, 센서부(104)의 앞쪽 단면(104f)의 일부를 구성하고 있다. 혹은, 센서부(104)는, 전극(143)의 전면(143f)의 앞쪽에 해당 전면(143f)을 덮는 절연막을 갖고 있더라도 좋다.The electrode 143 is a sensor electrode formed of a conductor. The electrode 143 is provided on the first portion 141a of the electrode 141 and the second portion 142a of the electrode 142 . The electrode 143 is insulated from the electrode 141 and the electrode 142 in the sensor unit 104 . The electrode 143 has a front surface 143f. This front surface 143f extends in a direction crossing the first part 141a and the second part 142a. Further, the front surface 143f extends along the front end surface 104f of the sensor unit 104 . In one embodiment, the front surface 143f constitutes a part of the front end surface 104f of the sensor unit 104 . Alternatively, the sensor unit 104 may have an insulating film covering the front surface 143f of the electrode 143 in front of the front surface 143f.

도 7~도 9에 나타내는 바와 같이, 전극(141) 및 전극(142)은, 전극(143)의 전면(143f)이 배치되어 있는 영역의 쪽(X 방향)에서 개구하고, 또한, 전극(143)의 주위를 둘러싸도록 연장되어 있더라도 좋다. 즉, 전극(141) 및 전극(142)은, 전극(143)의 위쪽, 뒤쪽, 및, 옆쪽에 있어서, 해당 전극(143)을 둘러싸도록 연장되어 있더라도 좋다.7 to 9 , the electrode 141 and the electrode 142 are opened from the side (X direction) of the region where the front surface 143f of the electrode 143 is disposed, and the electrode 143 ) may be extended so as to surround the periphery. That is, the electrode 141 and the electrode 142 may extend above, behind, and on the side of the electrode 143 so as to surround the electrode 143 .

또한, 센서부(104)의 앞쪽 단면(104f)은, 소정의 곡률을 갖는 곡면일 수 있다. 이 경우에, 앞쪽 단면(104f)은, 해당 앞쪽 단면의 임의의 위치에서 일정한 곡률을 갖고 있고, 해당 앞쪽 단면(104f)의 곡률은, 측정기(100)의 중심 축선 AX(100)와 해당 앞쪽 단면(104f)의 사이의 거리의 역수일 수 있다. 이 센서부(104)는, 앞쪽 단면(104f)의 곡률 중심이 중심 축선 AX(100)에 일치하도록, 베이스 기판(102)에 탑재된다.Also, the front end surface 104f of the sensor unit 104 may be a curved surface having a predetermined curvature. In this case, the front end face 104f has a constant curvature at an arbitrary position in the front end face, and the curvature of the front end face 104f is the central axis AX 100 of the measuring instrument 100 and the front end face. It may be the reciprocal of the distance between (104f). This sensor unit 104 is mounted on the base substrate 102 so that the center of curvature of the front end face 104f coincides with the central axis AX100.

또한, 센서부(104)는, 기판부(144), 절연 영역(146~148), 패드(151~153), 및, 비아 배선(154)을 더 가질 수 있다. 기판부(144)는, 본체부(144m) 및 표층부(144f)를 갖고 있다. 본체부(144m)는, 예컨대 실리콘으로 형성되어 있다. 표층부(144f)는, 본체부(144m)의 표면을 덮고 있다. 표층부(144f)는, 절연 재료로 형성되어 있다. 표층부(144f)는, 예컨대, 실리콘의 열산화막이다.Also, the sensor unit 104 may further include a substrate unit 144 , insulating regions 146 to 148 , pads 151 to 153 , and via wiring 154 . The board|substrate part 144 has the body part 144m and the surface layer part 144f. The body portion 144m is made of, for example, silicon. The surface layer portion 144f covers the surface of the main body portion 144m. The surface layer portion 144f is made of an insulating material. The surface layer portion 144f is, for example, a thermally oxidized film of silicon.

전극(142)의 제 2 부분(142a)은, 기판부(144)의 아래쪽에 있어서 연장되어 있고, 기판부(144)와 전극(142)의 사이에는, 절연 영역(146)이 마련되어 있다. 절연 영역(146)은, 예컨대, SiO2, SiN, Al2O3, 또는, 폴리이미드로 형성되어 있다.The second portion 142a of the electrode 142 extends below the substrate portion 144 , and an insulating region 146 is provided between the substrate portion 144 and the electrode 142 . The insulating region 146 is formed of, for example, SiO 2 , SiN, Al 2 O 3 , or polyimide.

전극(141)의 제 1 부분(141a)은, 기판부(144) 및 전극(142)의 제 2 부분(142a)의 아래쪽에 있어서 연장되어 있다. 전극(141)과 전극(142)의 사이에는 절연 영역(147)이 마련되어 있다. 절연 영역(147)은, 예컨대, SiO2, SiN, Al2O3, 또는, 폴리이미드로 형성되어 있다.The first portion 141a of the electrode 141 extends below the substrate portion 144 and the second portion 142a of the electrode 142 . An insulating region 147 is provided between the electrode 141 and the electrode 142 . The insulating region 147 is formed of, for example, SiO 2 , SiN, Al 2 O 3 , or polyimide.

절연 영역(148)은, 센서부(104)의 상면(104t)을 구성하고 있다. 절연 영역(148)은, 예컨대, SiO2, SiN, Al2O3, 또는, 폴리이미드로 형성되어 있다. 이 절연 영역(148)에는, 패드(151~153)가 형성되어 있다. 패드(153)는, 도체로 형성되어 있고, 전극(143)에 접속되어 있다. 구체적으로는, 절연 영역(146), 전극(142), 절연 영역(147), 및, 전극(141)을 관통하는 비아 배선(154)에 의해, 전극(143)과 패드(153)가 서로 접속되어 있다. 비아 배선(154)의 주위에는 절연체가 마련되어 있고, 해당 비아 배선(154)은 전극(141) 및 전극(142)으로부터 절연되어 있다. 패드(153)는, 베이스 기판(102) 내에 마련된 비아 배선(123), 및, 오목부(102r)의 방사 영역(102h)에 마련된 배선(183)을 거쳐서 회로 기판(106)에 접속되어 있다. 패드(151) 및 패드(152)도 마찬가지로 도체로 형성되어 있다. 패드(151) 및 패드(152)는 각각, 대응하는 비아 배선을 거쳐서, 전극(141), 전극(142)에 접속되어 있다. 또한, 패드(151) 및 패드(152)는, 베이스 기판(102)에 마련된 대응하는 비아 배선 및 오목부(102r)의 방사 영역(102h)에 마련된 대응하는 배선을 거쳐서 회로 기판(106)에 접속된다.The insulating region 148 constitutes the upper surface 104t of the sensor unit 104 . The insulating region 148 is formed of, for example, SiO 2 , SiN, Al 2 O 3 , or polyimide. Pads 151 to 153 are formed in the insulating region 148 . The pad 153 is formed of a conductor and is connected to the electrode 143 . Specifically, the electrode 143 and the pad 153 are connected to each other by the insulating region 146 , the electrode 142 , the insulating region 147 , and the via wiring 154 penetrating the electrode 141 . has been An insulator is provided around the via wiring 154 , and the via wiring 154 is insulated from the electrode 141 and the electrode 142 . The pad 153 is connected to the circuit board 106 via a via wiring 123 provided in the base substrate 102 and a wiring 183 provided in the radiation region 102h of the recessed portion 102r. The pad 151 and the pad 152 are also formed of a conductor. The pads 151 and 152 are respectively connected to the electrodes 141 and 142 via corresponding via wirings. Further, the pad 151 and the pad 152 are connected to the circuit board 106 via the corresponding via wiring provided in the base substrate 102 and the corresponding wiring provided in the radiation region 102h of the recessed portion 102r. do.

이하, 회로 기판(106)의 구성에 대하여 설명한다. 도 10은 측정기의 회로 기판의 구성을 예시하는 도면이다. 도 10에 나타내는 바와 같이, 회로 기판(106)은, 고주파 발진기(161), 복수의 C/V 변환 회로(162A~162H), A/D 변환기(163), 프로세서(164), 기억 장치(165), 통신 장치(166), 및, 전원(167)을 갖고 있다.Hereinafter, the structure of the circuit board 106 is demonstrated. 10 is a diagram illustrating a configuration of a circuit board of a measuring instrument. As shown in FIG. 10 , the circuit board 106 includes a high-frequency oscillator 161 , a plurality of C/V conversion circuits 162A to 162H, an A/D converter 163 , a processor 164 , and a storage device 165 . ), a communication device 166 , and a power supply 167 .

복수의 센서부(104A~104H)의 각각은, 복수의 배선군(108A~108H) 중 대응하는 배선군을 거쳐서 회로 기판(106)에 접속되어 있다. 또한, 복수의 센서부(104A~104H)의 각각은, 대응하는 배선군에 포함되는 몇 개의 배선을 거쳐서, 복수의 C/V 변환 회로(162A~162H) 중 대응하는 C/V 변환 회로에 접속되어 있다. 이하, 복수의 센서부(104A~104H)의 각각과 동일한 구성의 하나의 센서부(104), 복수의 배선군(108A~108H)의 각각과 동일한 구성의 하나의 배선군(108), 및 복수의 C/V 변환 회로(162A~162H)의 각각과 동일한 구성의 하나의 C/V 변환 회로(162)에 대하여 설명한다.Each of the plurality of sensor units 104A to 104H is connected to the circuit board 106 via a corresponding wiring group among the plurality of wiring groups 108A to 108H. In addition, each of the plurality of sensor units 104A to 104H is connected to a corresponding C/V conversion circuit among the plurality of C/V conversion circuits 162A to 162H via some wiring included in the corresponding wiring group. has been Hereinafter, one sensor unit 104 having the same configuration as each of the plurality of sensor units 104A to 104H, one wiring group 108 having the same configuration as each of the plurality of wiring groups 108A to 108H, and a plurality of One C/V conversion circuit 162 having the same configuration as each of the C/V conversion circuits 162A to 162H will be described.

배선군(108)은, 배선(181~183)을 포함하고 있다. 배선(181)의 일단은, 전극(141)에 접속된 패드(151)에 접속되어 있다. 이 배선(181)은, 회로 기판(106)의 그라운드 GC에 접속된 그라운드 전위선 GL에 접속되어 있다. 또, 배선(181)은, 그라운드 전위선 GL에 스위치 SWG를 거쳐서 접속되어 있더라도 좋다. 또한, 배선(182)의 일단은, 전극(142)에 접속된 패드(152)에 접속되어 있고, 배선(182)의 타단은 C/V 변환 회로(162)에 접속되어 있다. 또한, 배선(183)의 일단은, 전극(143)에 접속된 패드(153)에 접속되어 있고, 배선(183)의 타단은 C/V 변환 회로(162)에 접속되어 있다.The wiring group 108 includes wirings 181 to 183 . One end of the wiring 181 is connected to the pad 151 connected to the electrode 141 . This wiring 181 is connected to the ground potential line GL connected to the ground GC of the circuit board 106 . Note that the wiring 181 may be connected to the ground potential line GL via a switch SWG. Further, one end of the wiring 182 is connected to the pad 152 connected to the electrode 142 , and the other end of the wiring 182 is connected to the C/V conversion circuit 162 . Further, one end of the wiring 183 is connected to the pad 153 connected to the electrode 143 , and the other end of the wiring 183 is connected to the C/V conversion circuit 162 .

고주파 발진기(161)는, 배터리라고 하는 전원(167)에 접속되어 있고, 해당 전원(167)으로부터의 전력을 받아서 고주파 신호를 발생시키도록 구성되어 있다. 또, 전원(167)은, 프로세서(164), 기억 장치(165), 및, 통신 장치(166)에도 접속되어 있다. 고주파 발진기(161)는, 복수의 출력선을 갖고 있다. 고주파 발진기(161)는, 발생시킨 고주파 신호를 복수의 출력선을 거쳐서, 배선(182) 및 배선(183)에 주도록 되어 있다. 따라서, 고주파 발진기(161)는, 센서부(104)의 전극(142) 및 전극(143)에 전기적으로 접속되어 있고, 해당 고주파 발진기(161)로부터의 고주파 신호는, 전극(142) 및 전극(143)에 주어지도록 되어 있다.The high frequency oscillator 161 is connected to a power source 167 called a battery, and is configured to receive power from the power source 167 to generate a high frequency signal. The power supply 167 is also connected to the processor 164 , the storage device 165 , and the communication device 166 . The high frequency oscillator 161 has a plurality of output lines. The high frequency oscillator 161 applies the generated high frequency signal to the wiring 182 and the wiring 183 via a plurality of output lines. Accordingly, the high-frequency oscillator 161 is electrically connected to the electrodes 142 and 143 of the sensor unit 104, and the high-frequency signal from the high-frequency oscillator 161 receives the electrodes 142 and the electrodes ( 143) is to be given.

C/V 변환 회로(162)의 입력에는 배선(182) 및 배선(183)이 접속되어 있다. 즉, C/V 변환 회로(162)의 입력에는, 센서부(104)의 전극(142) 및 전극(143)이 접속되어 있다. C/V 변환 회로(162)는, 그 입력에 있어서의 전압 진폭으로부터, 해당 입력에 접속된 전극(전극(143))의 정전 용량을 나타내는 전압 신호를 생성하고, 해당 전압 신호를 출력하도록 구성되어 있다. 또, C/V 변환 회로(162)에 접속된 전극의 정전 용량이 클수록, 해당 C/V 변환 회로(162)가 출력하는 전압 신호의 전압의 크기는 커진다.A wiring 182 and a wiring 183 are connected to the input of the C/V conversion circuit 162 . That is, the electrode 142 and the electrode 143 of the sensor unit 104 are connected to the input of the C/V conversion circuit 162 . The C/V conversion circuit 162 is configured to generate a voltage signal representing the capacitance of an electrode (electrode 143) connected to the input from the voltage amplitude at the input, and output the voltage signal, have. In addition, the larger the capacitance of the electrode connected to the C/V conversion circuit 162, the larger the voltage of the voltage signal output from the C/V conversion circuit 162 becomes.

A/D 변환기(163)의 입력에는, 복수의 C/V 변환 회로(162A~162H)의 출력이 접속하고 있다. 또한, A/D 변환기(163)는, 프로세서(164)에 접속하고 있다. A/D 변환기(163)는, 프로세서(164)로부터의 제어 신호에 의해 제어되고, 복수의 C/V 변환 회로(162A~162H)의 출력 신호(전압 신호)를 디지털 값으로 변환한다. 즉, A/D 변환기(163)는, 전극(143)의 정전 용량을 나타내는 디지털 값을 생성하고, 해당 디지털 값을 프로세서(164)에 출력한다.The outputs of the plurality of C/V conversion circuits 162A to 162H are connected to the input of the A/D converter 163 . In addition, the A/D converter 163 is connected to the processor 164 . The A/D converter 163 is controlled by a control signal from the processor 164 and converts the output signals (voltage signals) of the plurality of C/V conversion circuits 162A to 162H into digital values. That is, the A/D converter 163 generates a digital value indicating the capacitance of the electrode 143 , and outputs the digital value to the processor 164 .

프로세서(164)에는 기억 장치(165)가 접속되어 있다. 기억 장치(165)는, 휘발성 메모리라고 하는 기억 장치이고, 후술하는 측정 데이터를 기억하도록 구성되어 있다. 또한, 프로세서(164)에는, 다른 기억 장치(168)가 접속되어 있다. 기억 장치(168)는, 비휘발성 메모리라고 하는 기억 장치이고, 프로세서(164)에 의해 판독되어 실행되는 프로그램이 기억되어 있다. 또한, 기억 장치(168)에는, 후술하는 파라미터도 기억될 수 있다.A storage device 165 is connected to the processor 164 . The storage device 165 is a storage device called a volatile memory, and is configured to store measurement data to be described later. In addition, another storage device 168 is connected to the processor 164 . The storage device 168 is a storage device referred to as a non-volatile memory, and a program read and executed by the processor 164 is stored therein. In addition, in the storage device 168, parameters to be described later can also be stored.

통신 장치(166)는, 임의의 무선 통신 규격에 준거한 통신 장치이다. 예컨대, 통신 장치(166)는, Bluetooth(등록상표)에 준거하고 있다. 통신 장치(166)는, 기억 장치(165)에 기억되어 있는 측정 데이터를 무선 송신하도록 구성되어 있다.The communication device 166 is a communication device that complies with any radio communication standard. For example, the communication device 166 is compliant with Bluetooth (registered trademark). The communication device 166 is configured to wirelessly transmit the measurement data stored in the storage device 165 .

프로세서(164)는, 상술한 프로그램을 실행하는 것에 의해, 방법 MT에 있어서 측정기(100)의 각 부를 제어하도록 구성되어 있다. 예컨대, 프로세서(164)는, 전극(142) 및 전극(143)에 대한 전원(167)으로부터의 고주파 신호의 공급, 기억 장치(165)에 대한 전원(167)으로부터의 전력 공급, 통신 장치(166)에 대한 전원(167)으로부터의 전력 공급 등을 제어하도록 되어 있다. 또한, 프로세서(164)는, 상술한 프로그램을 실행하는 것에 의해, 방법 MT에 있어서의 디지털 값의 취득, 측정 데이터의 기억 장치(165)로의 기억, 및, 측정 데이터의 송신 등을 실행하도록 되어 있다.The processor 164 is configured to control each part of the measuring instrument 100 in the method MT by executing the above-described program. For example, the processor 164 supplies the high frequency signal from the power source 167 to the electrodes 142 and the electrode 143 , supplies power from the power source 167 to the storage device 165 , and the communication device 166 . ) to control the power supply from the power source 167 and the like. In addition, the processor 164 executes the above-described program to obtain a digital value in the method MT, store the measurement data in the storage device 165, and transmit the measurement data. .

이 측정기(100)에서는, 센서부(104A~104H)가 베이스 기판(102)의 에지를 따라 배열되어 있다. 따라서, 이 측정기(100)를 정전 척 ESC상에 배치하면, 포커스 링 FR과 센서부(104A~104H)의 각각의 사이의 정전 용량을 나타내는 디지털 값을 취득할 수 있다. 또, 정전 용량 C는, C=εS/d로 나타내어진다. ε은 전극(143)의 전면(143f)과 포커스 링 FR의 내연의 사이의 매질의 유전율이고, S는 전극(143)의 전면(143f)의 면적이고, d는 전극(143)의 전면(143f)과 포커스 링 FR의 내연의 사이의 거리라고 볼 수 있다. 따라서, 측정기(100)에 의해 취득되는 복수의 디지털 값은, 전극(143)의 전면(143f)과 포커스 링 FR의 내연의 사이의 거리가 커질수록 작아진다.In the measuring instrument 100 , the sensor portions 104A to 104H are arranged along the edge of the base substrate 102 . Accordingly, when the measuring device 100 is disposed on the electrostatic chuck ESC, a digital value indicating the capacitance between the focus ring FR and each of the sensor units 104A to 104H can be acquired. In addition, the electrostatic capacitance C is represented by C=εS/d. ε is the dielectric constant of the medium between the front surface 143f of the electrode 143 and the inner edge of the focus ring FR, S is the area of the front surface 143f of the electrode 143, and d is the front surface 143f of the electrode 143 ) and the inner edge of the focus ring FR. Accordingly, the plurality of digital values acquired by the measuring device 100 becomes smaller as the distance between the front surface 143f of the electrode 143 and the inner edge of the focus ring FR increases.

또한, 상술한 바와 같이, 측정기(100)에 탑재되는 센서부(104)에서는, 전극(143)(센서 전극)이, 전극(141)의 제 1 부분(141a)의 위에 마련되어 있고, 전극(141)의 제 1 부분(141a)과 전극(143)의 사이에는 전극(142)의 제 2 부분(142a)이 개재되어 있다. 이 센서부(104)의 이용시에는, 스위치 SWG가 닫혀져 전극(141)의 전위가 그라운드 전위로 설정되고, 전극(142)과 전극(143)에는 고주파 신호가 공급된다. 이때, 전극(143)의 전압 진폭은, 해당 전극(143)에 대하여 전극(141)의 제 1 부분(141a)이 마련되어 있는 방향, 즉 센서부(104)의 아래쪽으로부터의 정전 용량의 영향을 받지 않고, 특정 방향, 즉, 전극(143)의 전면(143f)이 향하고 있는 방향(X 방향)에 있어서의 정전 용량을 반영한 전압 진폭이 된다. 따라서, 센서부(104)에 의하면, 특정 방향으로 높은 지향성을 갖고 정전 용량을 측정하는 것이 가능하게 된다. 또, 센서부(104)의 이용시에 스위치 SWG가 열리면, C/V 변환 회로(162)는, 전극(143)의 정전 용량과 전극(142)의 정전 용량의 합성 용량의 크기에 따른 크기의 전압을 갖는 전압 신호를 출력하게 된다.In addition, as described above, in the sensor unit 104 mounted on the measuring instrument 100 , the electrode 143 (sensor electrode) is provided on the first portion 141a of the electrode 141 , and the electrode 141 is ), a second portion 142a of the electrode 142 is interposed between the first portion 141a and the electrode 143 . When the sensor unit 104 is used, the switch SWG is closed, the potential of the electrode 141 is set to the ground potential, and a high-frequency signal is supplied to the electrode 142 and the electrode 143 . At this time, the voltage amplitude of the electrode 143 is not affected by the capacitance from the direction in which the first portion 141a of the electrode 141 is provided with respect to the electrode 143 , that is, the lower portion of the sensor unit 104 . Instead, it becomes the voltage amplitude reflecting the electrostatic capacity in a specific direction, ie, the direction (X direction) toward which the front surface 143f of the electrode 143 is facing. Therefore, according to the sensor unit 104, it is possible to measure the capacitance with high directivity in a specific direction. In addition, when the switch SWG is opened when the sensor unit 104 is used, the C/V conversion circuit 162 generates a voltage having a magnitude corresponding to the magnitude of the combined capacitance of the electrostatic capacitance of the electrode 143 and the electrostatic capacitance of the electrode 142 . to output a voltage signal with

또한, 전극(141) 및 전극(142)은, 전극(143)의 전면이 배치되어 있는 영역의 쪽(X 방향)에서 개구하고, 또한, 전극(143)의 주위를 둘러싸도록 연장되어 있다. 따라서, 전극(141) 및 전극(142)에 의해, 전극(143)이 특정 방향 이외의 방향에 대하여 차폐된다. 그러므로, 정전 용량의 측정에 있어서, 특정 방향에 대한 센서부(104)의 지향성이 더 향상된다.In addition, the electrode 141 and the electrode 142 are opened from the side (X direction) of the area|region where the front surface of the electrode 143 is arrange|positioned, and extend so that it may surround the periphery of the electrode 143 . Therefore, by the electrode 141 and the electrode 142 , the electrode 143 is shielded with respect to a direction other than a specific direction. Therefore, in the measurement of the capacitance, the directivity of the sensor unit 104 with respect to a specific direction is further improved.

또한, 센서부(104)의 앞쪽 단면(104f)은 소정의 곡률을 갖는 곡면으로서 구성되어 있고, 전극(143)의 전면(143f)은, 앞쪽 단면(104f)을 따라 연장되어 있다. 따라서, 전극(143)의 전면(143f)의 각 위치와 포커스 링 FR의 내연의 사이의 지름 방향의 거리를 대략 등거리로 설정할 수 있다. 그러므로, 정전 용량의 측정의 정밀도가 더 향상된다.Further, the front end surface 104f of the sensor unit 104 is configured as a curved surface having a predetermined curvature, and the front surface 143f of the electrode 143 extends along the front end surface 104f. Therefore, the distance in the radial direction between each position of the front surface 143f of the electrode 143 and the inner edge of the focus ring FR can be set to be substantially equidistant. Therefore, the precision of the measurement of the capacitance is further improved.

이하, 다시 도 1을 참조하여, 방법 MT에 대하여 상세하게 설명한다. 또한, 이하의 설명에서는, 도 1과 함께 도 11을 참조한다. 도 11은 도 1에 나타내는 방법에 관련되는 타이밍 차트이다. 도 1에 나타내는 바와 같이, 방법 MT에서는, 우선, 공정 ST1이 실행된다. 공정 ST1에서는, 측정기(100)의 전원(167)이 ON으로 설정된다. 그리고, 프로세서(164)가 기억 장치(168)에 기억된 프로그램의 실행을 개시한다. 이어지는 공정 ST2에서는, 측정기(100)가 용기(4a~4d) 중 어느 한쪽의 슬롯에 수용된다.Hereinafter, with reference to FIG. 1 again, the method MT will be described in detail. In addition, in the following description, reference is made to FIG. 11 together with FIG. Fig. 11 is a timing chart related to the method shown in Fig. 1; 1 , in the method MT, first, step ST1 is executed. In step ST1, the power supply 167 of the measuring instrument 100 is set to ON. Then, the processor 164 starts execution of the program stored in the storage device 168 . In subsequent step ST2, the measuring device 100 is accommodated in one of the slots of the containers 4a to 4d.

이어지는 공정 ST3에서는, 측정기(100)에 파라미터가 입력된다. 파라미터는, 제어부 MC로부터 측정기(100)에 무선 송신될 수 있다. 측정기(100)는, 수신한 파라미터를 기억 장치(168)에 기억한다. 파라미터는, 제 1 모니터링 기간의 시간 길이 TA, 시간 간격 IA, 제 1 샘플링 주기, 측정 기간의 시간 길이 TM, 제 2 샘플링 주기, 제 2 모니터링 기간의 시간 길이 TB, 시간 간격 IB, 제 3 샘플링 주기, 제 1 임계치 Th1, 및, 제 2 임계치 Th2를 포함한다. 또, 시간 길이 TA, 시간 간격 IA, 제 1 샘플링 주기는 각각, 시간 길이 TB, 시간 간격 IB, 제 3 샘플링 주기와 공통이더라도 좋다. 이 경우에는, 파라미터는, 시간 길이 TB, 시간 간격 IB, 및, 제 3 샘플링 주기를 포함하지 않는다. 이후, 방법 MT에서는, 병렬적으로 처리가 진행된다. 또, 도 1에 있어서 2개의 이중선의 사이에 그려진 2개의 처리의 흐름은, 병렬적으로 실행되는 처리의 흐름이다.In the subsequent step ST3, parameters are input to the measuring device 100 . The parameter may be wirelessly transmitted from the control unit MC to the measurement device 100 . The measuring device 100 stores the received parameter in the storage device 168 . The parameters are: time length TA of the first monitoring period, time interval IA, first sampling period, time length TM of measurement period, second sampling period, time length TB of second monitoring period, time interval IB, third sampling period , a first threshold Th1, and a second threshold Th2. Further, the time length TA, the time interval IA, and the first sampling period may be the same as the time length TB, the time interval IB, and the third sampling period, respectively. In this case, the parameters do not include the time length TB, the time interval IB, and the third sampling period. Thereafter, in the method MT, processing proceeds in parallel. In addition, in FIG. 1, the flow of two processes drawn between two double lines is a flow of a process performed in parallel.

공정 ST3에 이어지는 공정 ST4에서는, 제어부 MC에 의한 제어의 아래에서, 측정기(100)가, 반송 장치 TU1에 의해 얼라이너 AN에 반송된다. 그리고, 얼라이너 AN에서는, 제어부 MC에 의한 제어의 아래에서, 상술한 피가공물 W의 위치의 조정과 마찬가지로, 측정기(100)의 위치의 조정, 즉, 위치의 교정이 행해진다.In step ST4 following step ST3, the measuring device 100 is conveyed to the aligner AN by the conveying device TU1 under the control by the control unit MC. And in the aligner AN, under the control by the control part MC, adjustment of the position of the measuring instrument 100, ie, correction of a position, is performed similarly to adjustment of the position of the to-be-processed object W mentioned above.

이어지는 공정 ST5에서는, 측정기(100)가, 탑재대 PD상에서 포커스 링 FR에 의해 둘러싸인 영역 내에 반송된다. 구체적으로는, 제어부 MC에 의한 제어의 아래에서, 측정기(100)가, 반송 장치 TU1에 의해, 얼라이너 AN으로부터 로드 록 모듈 LL1 및 로드 록 모듈 LL2 중 한쪽의 로드 록 모듈에 반송된다. 그 다음에, 반송 장치 TU2에 의해, 한쪽의 로드 록 모듈로부터, 프로세스 모듈 PM1~PM6 중 하나의 프로세스 모듈의 챔버 내에 반송된다. 챔버 내에 있어서는, 측정기(100)는, 탑재대 PD상에서 포커스 링 FR에 의해 둘러싸인 영역 내에 배치된다. 또, 반송 장치 TU2에 의한 측정기(100)의 반송처 위치(탑재대 PD상의 위치)는, 미리 설정된 좌표 정보에 의해 특정된다. 그 후, 해당 하나의 프로세스 모듈과 트랜스퍼 모듈 TF의 사이의 게이트 밸브가 닫힌다.In the subsequent step ST5, the measuring device 100 is conveyed on the mounting table PD in an area surrounded by the focus ring FR. Specifically, under the control of the control unit MC, the measuring device 100 is conveyed from the aligner AN to one of the load-lock module LL1 and the load-lock module LL2 by the conveying device TU1. Then, it is conveyed from one load lock module into the chamber of one of the process modules PM1 - PM6 by the conveying apparatus TU2. In the chamber, the measuring instrument 100 is placed in an area surrounded by the focus ring FR on the mount PD. In addition, the transport destination position (position on the mounting base PD) of the measuring device 100 by the transport device TU2 is specified by preset coordinate information. After that, the gate valve between the one process module and the transfer module TF is closed.

공정 ST5에 이어지는 공정 ST6에서는, 측정기(100)가 챔버로부터 반출된다. 구체적으로는, 제어부 MC에 의한 제어의 아래에서, 그 후, 상기 하나의 프로세스 모듈과 트랜스퍼 모듈 TF의 사이의 게이트 밸브가 열리고, 그 다음에, 측정기(100)는, 반송 장치 TU2에 의해, 챔버로부터 꺼내져서, 로드 록 모듈 LL1 및 로드 록 모듈 LL2 중 한쪽의 로드 록 모듈에 반송된다. 이어지는 공정 ST7에서는, 측정기(100)가, 용기(4a~4d) 중 하나의 용기의 슬롯에 수용된다. 구체적으로는, 제어부 MC에 의한 제어의 아래에서, 측정기(100)는, 반송 장치 TU1에 의해, 한쪽의 로드 록 모듈로부터 하나의 용기의 슬롯에 반송된다.In step ST6 following step ST5, the measuring device 100 is taken out from the chamber. Specifically, under the control by the control unit MC, after that, the gate valve between the one process module and the transfer module TF is opened, and then, the measuring device 100 is transferred to the chamber by the transfer device TU2. It is taken out from and conveyed to the load lock module of one of the load lock module LL1 and the load lock module LL2. In the subsequent step ST7, the measuring device 100 is accommodated in a slot of one of the containers 4a to 4d. Specifically, under the control by the control unit MC, the measuring device 100 is conveyed from one load lock module to the slot of one container by the conveying device TU1.

한편, 공정 ST3의 뒤에, 공정 ST11에 있어서, 측정기(100)의 프로세서(164)가, 하나 이상의 제 1 데이터 세트를 취득한다. 이 공정 ST11은, 시간 길이 TA의 제 1 모니터링 기간에 있어서 실행된다. 시간 길이 TA는, 한정되는 것은 아니지만, 예컨대, 1초이다. 공정 ST11에 있어서 취득되는 하나 이상의 제 1 데이터 세트의 각각은, 프로세서(164)가, 복수의 센서부(104A~104H) 중 대응하는 센서부의 전극(143)의 정전 용량을 나타내는 디지털 값을 제 1 샘플링 주기에 취득하는 것으로써 얻어진다. 제 1 샘플링 주기는 한정되는 것은 아니지만, 예컨대, 0.1초이다. 또, 공정 ST11에 있어서 이용되는 센서부는, 복수의 센서부(104A~104H)의 전부이더라도 좋고, 혹은, 상술한 파라미터에 있어서 지정되는 하나 이상의 센서부이더라도 좋다.On the other hand, after step ST3, in step ST11, the processor 164 of the measuring device 100 acquires one or more first data sets. This step ST11 is executed in the first monitoring period of the time length TA. The time length TA is, but not limited to, for example, 1 second. In each of the one or more first data sets acquired in step ST11, the processor 164 first outputs a digital value representing the capacitance of the electrode 143 of the corresponding sensor unit among the plurality of sensor units 104A to 104H. It is obtained by acquiring in a sampling period. The first sampling period is not limited, but is, for example, 0.1 second. In addition, the sensor unit used in step ST11 may be all of the plurality of sensor units 104A to 104H, or may be one or more sensor units designated in the above-mentioned parameters.

이어지는 공정 ST12에서는, 프로세서(164)는, 공정 ST11에서 취득된 하나 이상의 제 1 데이터 세트의 각각에 포함되는 복수의 디지털 값의 평균치가 제 1 임계치 Th1 이상인지 아닌지를 판정한다. 또, 측정기(100)가 포커스 링 FR에 둘러싸인 영역에 배치되면, 상술한 하나 이상의 센서부의 전극(143)의 정전 용량이 커진다. 따라서, 제 1 임계치 Th1과 하나 이상의 데이터 세트의 각각에 포함되는 복수의 디지털 값의 평균치를 비교하는 것에 의해, 측정기(100)가 포커스 링 FR에 둘러싸인 영역에 배치되어 있는지 아닌지를 판정할 수 있다. 프로세서(164)는, 공정 ST12에 있어서 평균치가 제 1 임계치 Th1 이상이 아니라고 판정한 경우에는, 이어지는 공정 ST13에 있어서, 공정 ST11의 종료시로부터 시간 간격 IA가 경과했는지 아닌지를 판정한다. 시간 간격 IA는, 한정되는 것은 아니지만, 예컨대, 29초이다. 공정 ST11의 종료시로부터 시간 간격 IA가 경과하고 있지 않은 경우에는, 프로세서(164)는, 다시 공정 ST13의 판정을 행한다. 한편, 공정 ST11의 종료시로부터 시간 간격 IA가 경과하고 있는 경우에는, 프로세서(164)는, 다시 공정 ST11에 있어서, 하나 이상의 제 1 데이터 세트의 취득을 행한다. 또, 시간 간격 IA에 있어서, 프로세서(164)는, 전원(167)으로부터 고주파 발진기(161)로의 전력의 공급을 정지하더라도 좋다.In the subsequent step ST12, the processor 164 determines whether or not the average value of a plurality of digital values included in each of the one or more first data sets acquired in the step ST11 is equal to or greater than a first threshold value Th1. In addition, when the measuring instrument 100 is disposed in the region surrounded by the focus ring FR, the capacitance of the electrodes 143 of the one or more sensor units described above increases. Accordingly, by comparing the first threshold value Th1 with the average value of a plurality of digital values included in each of the one or more data sets, it is possible to determine whether or not the measuring device 100 is disposed in the area surrounded by the focus ring FR. If the processor 164 determines in step ST12 that the average value is not equal to or greater than the first threshold Th1, in subsequent step ST13, it is determined whether or not the time interval IA has elapsed from the end of step ST11. The time interval IA is, but is not limited to, for example, 29 seconds. If the time interval IA has not elapsed since the end of step ST11, the processor 164 determines step ST13 again. On the other hand, when the time interval IA has elapsed since the end of step ST11, the processor 164 again acquires one or more first data sets in step ST11. In addition, in the time interval IA, the processor 164 may stop the supply of power from the power supply 167 to the high frequency oscillator 161 .

공정 ST12에 있어서, 프로세서(164)는, 평균치가 제 1 임계치 Th1 이상이 되었다고 판정하면, 공정 ST14의 처리로 이행한다. 또, 공정 ST12에서는, 평균치가 아닌, 하나 이상의 제 1 데이터 세트의 각각에 포함되는 복수의 디지털 값 중 하나 이상이 제 1 임계치 Th1과 비교되더라도 좋다.In step ST12, if the processor 164 determines that the average value is equal to or greater than the first threshold value Th1, the processing proceeds to step ST14. Further, in step ST12, one or more of the plurality of digital values included in each of the one or more first data sets may be compared with the first threshold value Th1 rather than the average value.

공정 ST14에서는, 프로세서(164)는, 복수의 제 2 데이터 세트의 취득을 행한다. 이 공정 ST14는, 시간 길이 TM의 측정 기간에 있어서 실행된다. 시간 길이 TM은, 한정되는 것은 아니지만, 예컨대, 1초이다. 공정 ST14에 있어서 취득되는 복수의 제 2 데이터 세트의 각각은, 복수의 센서부(104A~104H) 중 대응하는 센서부의 전극(143)의 정전 용량을 나타내는 디지털 값을 측정 기간 내에 있어서 제 2 샘플링 주기로 취득하는 것에 의해 얻어지는 복수의 디지털 값을 포함한다. 제 2 샘플링 주기는, 한정되는 것은 아닌, 예컨대, 0.1초이다.In step ST14, the processor 164 acquires a plurality of second data sets. This step ST14 is executed in the measurement period of the time length TM. The time length TM is, but not limited to, for example, 1 second. In each of the plurality of second data sets acquired in step ST14, a digital value representing the capacitance of the electrode 143 of the corresponding sensor portion among the plurality of sensor portions 104A to 104H is set at the second sampling period within the measurement period. It contains a plurality of digital values obtained by acquiring. The second sampling period is not limited, for example, 0.1 second.

이어지는 공정 ST15에서는, 프로세서가, 측정 데이터를 기억 장치(165)에 기억시킨다. 측정 데이터는, 복수의 제 2 데이터 세트이더라도 좋다. 혹은, 측정 데이터는, 복수의 제 2 데이터 세트의 각각에 포함되는 복수의 디지털 값의 평균치를 구하는 것에 의해 얻어지는 복수의 평균치이더라도 좋다.In the subsequent step ST15, the processor stores the measurement data in the storage device 165 . The measurement data may be a plurality of second data sets. Alternatively, the measurement data may be a plurality of average values obtained by obtaining an average value of a plurality of digital values included in each of the plurality of second data sets.

이어지는 공정 ST16에서는, 프로세서(164)가, 하나 이상의 제 3 데이터 세트의 취득을 행한다. 이 공정 ST16은, 시간 길이 TB의 제 2 모니터링 기간에 있어서 실행된다. 제 2 모니터링 기간의 시간 길이 TB는, 상술한 바와 같이 시간 길이 TA와 공통이더라도 좋다. 공정 ST16에 있어서 취득되는 하나 이상의 제 3 데이터 세트의 각각은, 프로세서(164)가, 복수의 센서부(104A~104H)에 포함되는 하나 이상의 센서부 중 대응하는 센서부의 전극(143)의 정전 용량을 나타내는 디지털 값을 제 3 샘플링 주기로 취득하는 것에 의해 얻어진다. 또, 공정 ST16에 있어서 이용되는 센서부는, 복수의 센서부(104A~104H)의 전부이더라도 좋고, 혹은, 상술한 파라미터에 있어서 지정되는 하나 이상의 센서부이더라도 좋다. 또한, 제 3 샘플링 주기는, 제 1 샘플링 주기와 공통이더라도 좋다.In the subsequent step ST16, the processor 164 acquires one or more third data sets. This step ST16 is executed in the second monitoring period of the time length TB. The time length TB of the second monitoring period may be the same as the time length TA as described above. Each of the one or more third data sets acquired in step ST16 is, by the processor 164, the capacitance of the electrode 143 of the corresponding sensor unit among the one or more sensor units included in the plurality of sensor units 104A to 104H. is obtained by acquiring a digital value representing In addition, the sensor unit used in step ST16 may be all of the plurality of sensor units 104A to 104H, or may be one or more sensor units designated in the above-mentioned parameters. In addition, the 3rd sampling period may be common with the 1st sampling period.

이어지는 공정 ST17에서는, 프로세서(164)는, 공정 ST16에서 취득된 하나 이상의 제 3 데이터 세트의 각각에 포함되는 복수의 디지털 값의 평균치가 제 2 임계치 Th2 이상인지 아닌지를 판정한다. 또, 측정기(100)가 용기(4a~4d) 중 임의의 하나의 용기의 슬롯에 수용되면, 상술한 하나 이상의 센서부의 전극(143)의 정전 용량이 커진다. 따라서, 제 2 임계치 Th2와 하나 이상의 제 3 데이터 세트의 각각에 포함되는 복수의 디지털 값의 평균치를 비교하는 것에 의해, 측정기(100)가 용기(4a~4d) 중 어느 한쪽의 슬롯에 수용되어 있는지 아닌지를 판정할 수 있다. 또, 용기의 슬롯에 측정기(100)가 수용되어 있을 때의 전극(143)의 정전 용량은, 포커스 링 FR에 둘러싸인 영역에 측정기(100)가 배치될 때의 전극(143)의 정전 용량보다 작다. 따라서, 제 2 임계치 Th2는 제 1 임계치 Th1보다 작은 값이다.In the subsequent step ST17, the processor 164 determines whether or not the average value of a plurality of digital values included in each of the one or more third data sets obtained in the step ST16 is equal to or greater than a second threshold value Th2. In addition, when the measuring device 100 is accommodated in the slot of any one of the containers 4a to 4d, the capacitance of the electrodes 143 of the one or more sensor units described above increases. Therefore, by comparing the second threshold Th2 with the average of a plurality of digital values included in each of the one or more third data sets, the measuring instrument 100 is accommodated in any one slot of the containers 4a to 4d. It can be determined whether or not In addition, the electrostatic capacitance of the electrode 143 when the measuring device 100 is accommodated in the slot of the container is smaller than the electrostatic capacitance of the electrode 143 when the measuring device 100 is disposed in the region surrounded by the focus ring FR. . Accordingly, the second threshold Th2 is a value smaller than the first threshold Th1.

프로세서(164)는, 공정 ST17에 있어서 평균치가 제 2 임계치 Th2 이상이 아니라고 판정한 경우에는, 이어지는 공정 ST18에 있어서, 공정 ST16의 종료시로부터 시간 간격 IB가 경과했는지 아닌지를 판정한다. 공정 ST11의 종료시로부터 시간 간격 IB가 경과하고 있지 않은 경우에는, 프로세서(164)는, 다시 공정 ST18의 판정을 행한다. 한편, 공정 ST16의 종료시로부터 시간 간격 IB가 경과하고 있는 경우에는, 프로세서(164)는, 다시 공정 ST16에 있어서, 하나 이상의 제 3 데이터 세트의 취득을 행한다. 또, 시간 간격 IB에 있어서, 프로세서(164)는, 전원(167)으로부터 고주파 발진기(161)로의 전력의 공급을 정지하더라도 좋다. 또한, 제 2 모니터링 기간과 다음의 제 2 모니터링 기간의 사이의 시간 간격은, 시간 간격 IB가 아닌, 시간 간격 IA이더라도 좋다.When it is determined in step ST17 that the average value is not equal to or greater than the second threshold value Th2, the processor 164 determines whether or not the time interval IB has elapsed from the end of step ST16 in the subsequent step ST18. If the time interval IB has not elapsed since the end of step ST11, the processor 164 determines step ST18 again. On the other hand, when the time interval IB has elapsed since the end of step ST16, the processor 164 again acquires one or more third data sets in step ST16. In addition, in the time interval IB, the processor 164 may stop the supply of power from the power supply 167 to the high frequency oscillator 161 . Further, the time interval between the second monitoring period and the next second monitoring period may not be the time interval IB but the time interval IA.

공정 ST17에 있어서, 프로세서(164)는, 평균치가 제 2 임계치 Th2 이상이 되었다고 판정하면, 공정 ST19의 처리로 이행한다. 또, 공정 ST17에서는, 평균치가 아닌, 하나 이상의 제 3 데이터 세트의 각각에 포함되는 복수의 디지털 값 중 하나 이상이 제 2 임계치 Th2와 비교되더라도 좋다.In step ST17, if the processor 164 determines that the average value is equal to or greater than the second threshold value Th2, the processing proceeds to step ST19. Further, in step ST17, one or more of the plurality of digital values included in each of the one or more third data sets may be compared with the second threshold value Th2 rather than the average value.

공정 ST19에서는, 프로세서(164)는, 기억 장치(165)에 의해 기억되어 있는 측정 데이터를 제어부 MC에 접속된 수신부에 무선 송신한다. 제어부 MC는, 측정 데이터를 수신하면, 공정 ST8에 있어서, 제어부 MC는, 반송 장치 TU2의 반송처 위치의 좌표 정보를 보정한다. 구체적으로는, 측정 데이터로부터 특정되는 포커스 링 FR과 측정기(100)의 에지의 사이의 간격의 둘레 방향에 있어서의 차이가 저감되도록, 좌표 정보를 보정한다. 이 공정 ST19의 실행이 완료되면, 방법 MT는 종료된다.In step ST19, the processor 164 wirelessly transmits the measurement data stored in the storage device 165 to a reception unit connected to the control unit MC. When the control unit MC receives the measurement data, in step ST8, the control unit MC corrects the coordinate information of the transport destination position of the transport device TU2. Specifically, the coordinate information is corrected so that the difference in the circumferential direction of the interval between the focus ring FR and the edge of the measuring device 100 specified from the measurement data is reduced. When the execution of this step ST19 is completed, the method MT ends.

이상 설명한 바와 같이, 방법 MT에서는, 원반 형상의 베이스 기판(102)의 에지를 따라 배열된 복수의 전극(143)(센서 전극)을 구비한 측정기(100)가 이용되고, 포커스 링 FR의 내연과 측정기(100)의 에지의 사이의 간격의 둘레 방향에 있어서의 분포를 반영하는 측정 데이터가 얻어진다. 또한, 복수의 전극(143)의 각각의 정전 용량을 나타내는 디지털 값은, 포커스 링 FR에 의해 둘러싸인 영역에 측정기(100)가 있을 때에 커진다. 방법 MT에서는, 항상, 측정 데이터를 취득하는 것이 아니고, 측정 기간보다 앞의 기간에 있어서는, 시간 간격 IA로 하나 이상의 제 1 데이터 세트가 취득된다. 그리고, 하나 이상의 제 1 데이터 세트의 각각에 포함되는 복수의 디지털 값 중 하나 이상 또는 하나 이상의 제 1 데이터 세트의 각각에 포함되는 복수의 디지털 값의 평균치가 제 1 임계치 Th1 이상이 되었을 때에, 측정 기간에 있어서 제 2 데이터 세트의 취득이 행해지고, 그리고, 측정 데이터의 기억이 행해진다. 이와 같이, 방법 MT에서는, 측정 기간보다 앞의 기간에 있어서는, 측정기(100)에 있어서 단속적인 동작이 행해지므로, 측정기(100)의 전원(167)의 소비 전력이 억제된다.As described above, in the method MT, the measuring instrument 100 provided with a plurality of electrodes 143 (sensor electrodes) arranged along the edge of the disk-shaped base substrate 102 is used, and the inner edge of the focus ring FR and the Measurement data reflecting the distribution in the circumferential direction of the interval between the edges of the measuring device 100 is obtained. In addition, the digital value representing the capacitance of each of the plurality of electrodes 143 increases when the measuring device 100 is positioned in the region surrounded by the focus ring FR. In the method MT, measurement data is not always acquired, but in a period preceding the measurement period, one or more first data sets are acquired at the time interval IA. And, when the average value of one or more of the plurality of digital values included in each of the one or more first data sets or the plurality of digital values included in each of the one or more first data sets becomes equal to or greater than the first threshold value Th1, the measurement period In , acquisition of the second data set is performed, and measurement data is stored. In this way, in the method MT, intermittent operation is performed in the measuring device 100 in the period before the measurement period, so that the power consumption of the power supply 167 of the measuring device 100 is suppressed.

또한, 하나 이상의 제 3 데이터 세트의 각각에 포함되는 복수의 디지털 값 중 하나 이상 또는 하나 이상의 제 3 데이터 세트의 각각에 포함되는 복수의 디지털 값의 평균치가 제 2 임계치 Th2 이상이 된 것에 응답하여, 통신 장치(166)에 측정 데이터를 무선 송신시키는 것에 의해, 측정기(100)는 프로세스 모듈의 챔버의 외부에 있을 때에 자율적으로 측정 데이터를 무선 송신할 수 있다. 따라서, 측정 기간의 뒤에 있어서도, 측정기(100)에 있어서 단속적인 동작이 행해지므로, 측정기(100)의 전원(167)의 소비 전력이 더 억제된다.Further, in response to the average value of at least one of the plurality of digital values included in each of the one or more third data sets or the plurality of digital values included in each of the one or more third data sets being equal to or greater than the second threshold Th2, By wirelessly transmitting the measurement data to the communication device 166 , the meter 100 can autonomously wirelessly transmit the measurement data while outside the chamber of the process module. Therefore, even after the measurement period, since the intermittent operation is performed in the measuring device 100 , the power consumption of the power supply 167 of the measuring device 100 is further suppressed.

이하, 측정기(100)에 탑재할 수 있는 센서부의 다른 예에 대하여 설명한다. 도 12는 센서부의 다른 예를 나타내는 종단면도이다. 도 12에 나타내는 센서부(104A)는, 센서부(104)의 변형 형태이고, 기판부(144) 대신에 기판부(144A)를 갖고 있는 점에 있어서, 센서부(104)와 상이하다. 기판부(144A)는, 절연 재료로 형성되어 있다. 예컨대, 기판부(144A)는, 붕규산 유리로 형성되어 있다. 또, 기판부(144A)는, 질화실리콘으로 형성되어 있더라도 좋다.Hereinafter, another example of the sensor unit that can be mounted on the measuring device 100 will be described. 12 is a longitudinal cross-sectional view showing another example of the sensor unit. The sensor unit 104A shown in FIG. 12 is a modified form of the sensor unit 104 , and is different from the sensor unit 104 in that it has a substrate unit 144A instead of the substrate unit 144 . The substrate portion 144A is made of an insulating material. For example, the substrate portion 144A is made of borosilicate glass. Further, the substrate portion 144A may be formed of silicon nitride.

기판부(144A)는, 다면체이고, 전면(144a) 및 하면(144b)을 포함하는 표면을 갖는다. 일례에서는, 기판부(144A)의 표면은, 상면(144c), 후면(144d), 및, 한 쌍의 측면을 더 포함하고 있다. 하면(144b) 및 상면(144c)은, X 방향 및 Y 방향으로 연장되어 있고, 서로 대향하고 있다. 전면(144a)은, 기판부(144A)의 X 방향에 있어서의 앞쪽 단면을 구성하고 있고, 하면(144b)에 교차하는 방향으로 연장되어 있다. 전면(144a)은, 소정의 곡률을 가질 수 있다. 이 곡률은, 센서부(104A)가 베이스 기판(102)에 탑재되어 있을 때에, 중심 축선 AX(100)와 전면(144a)의 사이의 거리의 역수이다. 후면(144d)은, X 방향에 있어서 기판부(144A)의 뒤쪽 단면을 구성하고 있고, 전면(144a)과 대향하고 있다. 또한, 한 쌍의 측면은, 전면(144a)의 Y 방향에 있어서의 한쪽의 가장자리와 후면(144d)의 Y 방향에 있어서의 한쪽의 가장자리의 사이, 및, 전면(144a)의 Y 방향에 있어서의 다른 쪽의 가장자리와 후면(144d)의 Y 방향에 있어서의 다른 쪽의 가장자리의 사이에서 연장되어 있다.The substrate portion 144A is a polyhedron and has a surface including a front surface 144a and a lower surface 144b. In one example, the surface of the substrate portion 144A further includes an upper surface 144c, a rear surface 144d, and a pair of side surfaces. The lower surface 144b and the upper surface 144c extend in the X direction and the Y direction, and are opposed to each other. The front surface 144a constitutes a front end surface of the substrate portion 144A in the X direction, and extends in a direction intersecting the lower surface 144b. The front surface 144a may have a predetermined curvature. This curvature is the reciprocal of the distance between the central axis AX 100 and the front surface 144a when the sensor unit 104A is mounted on the base substrate 102 . The rear surface 144d constitutes a rear end face of the substrate portion 144A in the X direction, and faces the front surface 144a. Further, the pair of side surfaces is between one edge of the front surface 144a in the Y direction and one edge of the rear surface 144d in the Y direction, and the front surface 144a in the Y direction. It extends between the other edge and the other edge of the rear surface 144d in the Y direction.

전극(143)은, 기판부(144A)의 전면(144a) 및 상면(144c)을 따라 연장되어 있다. 절연 영역(146)은, 기판부(144A)의 하면(144b), 상면(144c), 후면(144d), 및, 한 쌍의 측면, 및, 상면(144c)상에서 연장되는 전극(143)을 덮도록, 연장되어 있다. 전극(142)은, 절연 영역(146)을 덮도록 마련되어 있다. 또한, 전극(142)의 제 2 부분(142a)은, 절연 영역(146)을 사이에 두고, 기판부(144A)의 하면(144b)을 따라 연장되어 있다. 또한, 절연 영역(147)은, 전극(142)을 덮도록, 연장되어 있다. 또한, 전극(141)은, 절연 영역(147)을 덮도록 마련되어 있다. 또한, 전극(141)의 제 1 부분(141a)은, 절연 영역(147)을 사이에 두고, 전극(142)의 제 2 부분(142a)의 아래쪽에서 연장되어 있다.The electrode 143 extends along the front surface 144a and the upper surface 144c of the substrate portion 144A. The insulating region 146 covers the lower surface 144b, the upper surface 144c, the rear surface 144d, and the pair of side surfaces of the substrate 144A and the electrodes 143 extending from the upper surface 144c. The catalog is extended. The electrode 142 is provided so as to cover the insulating region 146 . Further, the second portion 142a of the electrode 142 extends along the lower surface 144b of the substrate portion 144A with the insulating region 146 interposed therebetween. In addition, the insulating region 147 extends so as to cover the electrode 142 . Further, the electrode 141 is provided so as to cover the insulating region 147 . In addition, the first portion 141a of the electrode 141 extends below the second portion 142a of the electrode 142 with the insulating region 147 interposed therebetween.

상술한 센서부(104)의 기판부(144)의 본체부(144m)가 실리콘으로 형성되어 있는 경우에는, 센서부(104)는, 내부 정전 용량을 갖는다. 이 내부 정전 용량 때문에, 고주파 발진기(161)의 출력을 큰 출력으로 설정할 필요가 생긴다. 한편, 센서부(104A)에서는, 기판부(144A)가, 절연 재료에 의해 형성되어 있으므로, 내부 정전 용량이 매우 작다. 따라서, 센서부(104A)를 갖는 측정기(100)에서는, 고주파 발진기(161)의 출력을 작게 할 수 있다.When the body portion 144m of the substrate portion 144 of the sensor portion 104 described above is made of silicon, the sensor portion 104 has an internal capacitance. Because of this internal capacitance, it is necessary to set the output of the high frequency oscillator 161 to a large output. On the other hand, in the sensor part 104A, since the board|substrate part 144A is formed of the insulating material, internal capacitance is very small. Therefore, in the measuring instrument 100 having the sensor unit 104A, the output of the high frequency oscillator 161 can be reduced.

또한, 측정기(100)는, 높은 온도를 포함하는 온도 대역(예컨대 20℃~80℃), 및, 감압 환경(예컨대, 1Torr(133.3㎩) 이하)에 있어서 사용될 수 있으므로, 기판부(144A)로부터의 가스의 발생을 억제할 필요가 있다. 이 때문에, 기판부(144A)를 붕규산 유리, 질화실리콘, 석영, 또는, 산화알루미늄으로 형성할 수 있다. 이와 같은 기판부(144A)에 의하면, 가스의 발생이 억제될 수 있다.In addition, since the measuring device 100 can be used in a temperature range including a high temperature (eg, 20° C. to 80° C.), and a reduced pressure environment (eg, 1 Torr (133.3 Pa) or less), from the substrate portion 144A It is necessary to suppress the generation of gas. For this reason, the substrate portion 144A can be formed of borosilicate glass, silicon nitride, quartz, or aluminum oxide. According to such a substrate part 144A, generation of gas can be suppressed.

또한, 측정기(100)는, 높은 온도를 포함하는 온도 대역(예컨대 20℃~80℃)에 있어서 사용될 수 있으므로, 기판부(144A)는, 베이스 기판(102)의 구성 재료의 선팽창 계수에 가까운 선팽창 계수를 갖는 것이 바람직하다. 이 때문에, 베이스 기판(102)이 실리콘으로 형성되어 있는 경우에는, 기판부(144A)를, 예컨대 붕규산 유리 또는 질화실리콘으로 형성할 수 있다. 이와 같은 기판부(144A)의 선팽창 계수는, 베이스 기판(102)의 선팽창 계수에 가깝다. 따라서, 기판부(144A)의 선팽창 계수와 베이스 기판(102)의 선팽창 계수의 차이에 기인하는, 센서부(104A)의 손상, 및, 베이스 기판(102)으로부터의 센서부(104A)의 벗겨짐을 억제할 수 있다.In addition, since the measuring device 100 can be used in a temperature range including a high temperature (eg, 20°C to 80°C), the substrate portion 144A has a linear expansion close to the linear expansion coefficient of the constituent material of the base substrate 102 . It is desirable to have a coefficient. For this reason, when the base substrate 102 is formed of silicon, the substrate portion 144A can be formed of, for example, borosilicate glass or silicon nitride. Such a coefficient of linear expansion of the substrate portion 144A is close to that of the base substrate 102 . Accordingly, damage to the sensor unit 104A and peeling of the sensor unit 104A from the base substrate 102 due to the difference between the linear expansion coefficient of the substrate portion 144A and the linear expansion coefficient of the base substrate 102 are prevented. can be suppressed

또한, 측정기(100)의 중량은 작은 것이 바람직하다. 따라서, 기판부(144A)의 밀도(단위 체적당 질량)는, 베이스 기판(102)의 밀도에 가깝거나, 또는, 베이스 기판(102)의 밀도보다 작은 것이 바람직하다. 이 때문에, 베이스 기판(102)이 실리콘으로 형성되어 있는 경우에는, 기판부(144A)를, 예컨대 붕규산 유리로 형성할 수 있다.In addition, it is preferable that the weight of the measuring device 100 is small. Accordingly, the density (mass per unit volume) of the substrate portion 144A is preferably close to the density of the base substrate 102 or smaller than the density of the base substrate 102 . For this reason, when the base substrate 102 is formed of silicon, the substrate portion 144A can be formed of, for example, borosilicate glass.

이하, 측정기(100)에 탑재할 수 있는 센서부의 또 다른 예에 대하여 설명한다. 도 13은 센서부의 또 다른 예를 나타내는 종단면도이다. 도 13에는, 센서부(204)의 종단면도가 나타나 있고, 또한, 센서부(204)와 함께 포커스 링 FR이 나타나 있다.Hereinafter, another example of the sensor unit that can be mounted on the measuring device 100 will be described. 13 is a longitudinal cross-sectional view showing another example of the sensor unit. 13 , a longitudinal sectional view of the sensor unit 204 is shown, and the focus ring FR is shown together with the sensor unit 204 .

센서부(204)는, 전극(241), 전극(242), 및, 전극(243)을 갖고 있다. 센서부(204)는, 기판부(244) 및 절연 영역(247)을 더 가질 수 있다. 기판부(244)는, 본체부(244m) 및 표층부(244f)를 갖고 있다. 본체부(244m)는, 예컨대 실리콘으로 형성되어 있다. 표층부(244f)는 본체부(244m)의 표면을 덮고 있다. 표층부(244f)는 절연 재료로 형성되어 있다. 표층부(244f)는, 예컨대, 실리콘의 열산화막이다.The sensor unit 204 includes an electrode 241 , an electrode 242 , and an electrode 243 . The sensor unit 204 may further include a substrate unit 244 and an insulating region 247 . The board|substrate part 244 has the main-body part 244m and the surface layer part 244f. The body portion 244m is made of, for example, silicon. The surface layer portion 244f covers the surface of the body portion 244m. The surface layer portion 244f is made of an insulating material. The surface layer portion 244f is, for example, a thermally oxidized film of silicon.

기판부(244)는, 상면(244a), 하면(244b), 및, 앞쪽 단면(244c)을 갖고 있다. 전극(242)은, 기판부(244)의 하면(244b)의 아래쪽에 마련되어 있고, X 방향 및 Y 방향으로 연장되어 있다. 또한, 전극(241)은, 절연 영역(247)을 사이에 두고 전극(242)의 아래쪽에 마련되어 있고, X 방향 및 Y 방향으로 연장되어 있다.The substrate portion 244 has an upper surface 244a, a lower surface 244b, and a front end surface 244c. The electrode 242 is provided under the lower surface 244b of the substrate part 244 and extends in the X and Y directions. Further, the electrode 241 is provided below the electrode 242 with the insulating region 247 interposed therebetween, and extends in the X and Y directions.

기판부(244)의 앞쪽 단면(244c)은, 계단 형상으로 형성되어 있다. 앞쪽 단면(244c)의 아래쪽 부분(244d)은, 해당 앞쪽 단면(244c)의 위쪽 부분(244u)보다 포커스 링 FR의 쪽으로 향하여 돌출하고 있다. 전극(243)은, 앞쪽 단면(244c)의 위쪽 부분(244u)을 따라 연장되어 있다.The front end face 244c of the substrate portion 244 is formed in a step shape. The lower portion 244d of the front end face 244c protrudes toward the focus ring FR from the upper portion 244u of the front end face 244c. The electrode 243 extends along the upper portion 244u of the front end face 244c.

이 센서부(204)를 측정기(100)의 센서부로서 이용하는 경우에는, 전극(241)이 배선(181)에 접속되고, 전극(242)이 배선(182)에 접속되고, 전극(243)이 배선(183)에 접속된다.When the sensor unit 204 is used as the sensor unit of the measuring instrument 100 , the electrode 241 is connected to the wiring 181 , the electrode 242 is connected to the wiring 182 , and the electrode 243 is connected to the wiring 182 . connected to the wiring 183 .

센서부(204)에 있어서는, 센서 전극인 전극(243)이, 전극(241) 및 전극(242)에 의해, 센서부(204)의 아래쪽에 대하여 차폐되어 있다. 따라서, 이 센서부(204)에 의하면, 특정 방향, 즉, 전극(243)의 전면(243f)이 향하고 있는 방향(X 방향)으로 높은 지향성을 갖고 정전 용량을 측정하는 것이 가능하게 된다.In the sensor unit 204 , an electrode 243 serving as a sensor electrode is shielded from the lower side of the sensor unit 204 by the electrodes 241 and 242 . Therefore, according to this sensor unit 204, it is possible to measure the capacitance with high directivity in a specific direction, that is, in the direction (X direction) toward which the front surface 243f of the electrode 243 is facing.

이하, 다른 실시 형태와 관련되는 측정기에 대하여 설명한다. 도 14는 다른 실시 형태와 관련되는 측정기의 회로 기판의 구성을 예시하는 도면이다. 도 14에 나타내는 측정기(100A)는, 측정기(100)의 구성 요소와 동일한 구성 요소에 더하여, 가속도 센서(171), 온도 센서(172), 습도 센서(173), 및, 압력 센서(174)를 더 갖고 있다. 가속도 센서(171), 온도 센서(172), 습도 센서(173), 및, 압력 센서(174)는, 프로세서(164)에 접속되어 있다. 가속도 센서(171)는, 측정한 측정기(100A)의 가속도를 나타내는 가속도 데이터를 프로세서(164)에 출력한다. 온도 센서(172)는, 측정한 측정기(100A)의 주위의 온도를 나타내는 온도 데이터를 프로세서(164)에 출력한다. 습도 센서(173)는, 측정한 측정기(100A)의 주위의 습도를 나타내는 습도 데이터를 프로세서(164)에 출력한다. 압력 센서(174)는, 측정한 측정기(100A)의 주위의 압력을 나타내는 압력 데이터를 프로세서(164)에 출력한다.Hereinafter, the measuring device which concerns on another embodiment is demonstrated. 14 is a diagram illustrating a configuration of a circuit board of a measuring instrument according to another embodiment. The measuring device 100A shown in FIG. 14 includes, in addition to the same components as those of the measuring device 100 , an acceleration sensor 171 , a temperature sensor 172 , a humidity sensor 173 , and a pressure sensor 174 . have more The acceleration sensor 171 , the temperature sensor 172 , the humidity sensor 173 , and the pressure sensor 174 are connected to the processor 164 . The acceleration sensor 171 outputs acceleration data representing the measured acceleration of the measuring device 100A to the processor 164 . The temperature sensor 172 outputs to the processor 164 temperature data indicating the measured ambient temperature of the measuring device 100A. The humidity sensor 173 outputs to the processor 164 humidity data indicating the measured humidity around the measuring device 100A. The pressure sensor 174 outputs to the processor 164 pressure data indicating the measured pressure around the measuring device 100A.

프로세서(164)는, 가속도 데이터, 온도 데이터, 습도 데이터, 및, 압력 데이터에 근거하여, 이상 검출 처리를 행한다. 프로세서(164)는, 가속도 데이터로부터 특정되는 측정기(100A)의 가속도와 가속도의 임계치를 비교하고, 측정기(100A)의 가속도가 해당 가속도의 임계치보다 큰 경우에는, 측정기(100A)의 반송 도중에 이상이 발생한 것이라고 판단하고, 제 1 신호를 제어부 MC에 무선 송신한다. 또한, 프로세서(164)는, 측정기(100A)의 가속도로부터 측정기(100A)에 이상 진동이 생기고 있는 것이라고 판단되는 경우에, 제 2 신호를 제어부 MC에 무선 송신한다. 제어부 MC는, 제 1 신호 또는 제 2 신호를 수신하면, 측정기(100A)의 반송을 정지한다. 또, 제 1 신호에 관련되는 이상은, 반송 장치 TU1 또는 반송 장치 TU2가, 다른 툴과 접촉한 경우에 발생할 수 있다. 또한, 제 2 신호에 관련되는 이상은, 반송 장치 TU1 또는 반송 장치 TU2의 동작 불량이 생기고 있는 경우에, 발생할 수 있다.The processor 164 performs abnormality detection processing based on acceleration data, temperature data, humidity data, and pressure data. The processor 164 compares the acceleration of the measuring device 100A specified from the acceleration data with a threshold of acceleration, and when the acceleration of the measuring device 100A is greater than the threshold of the acceleration, an abnormality occurs during transport of the measuring device 100A. It is determined that it has occurred, and the first signal is wirelessly transmitted to the control unit MC. In addition, when it is determined from the acceleration of the measuring device 100A that abnormal vibration is occurring in the measuring device 100A, the processor 164 wirelessly transmits the second signal to the control unit MC. When the control unit MC receives the first signal or the second signal, the conveyance of the measuring instrument 100A is stopped. Moreover, the abnormality related to a 1st signal may generate|occur|produce when the conveying apparatus TU1 or the conveying apparatus TU2 comes into contact with another tool. In addition, an abnormality related to the second signal may occur when an operation failure of the carrier device TU1 or the carrier device TU2 occurs.

또한, 프로세서(164)는, 가속도 데이터로부터 특정되는 측정기(100A)의 각도가 각도의 임계치보다 큰 경우에, 제 3 신호를 제어부 MC에 무선 송신한다. 측정기(100A)의 각도는, 측정기(100A)의 수평도를 나타내는 척도이고, 예컨대, 가속도 데이터로부터 특정되는 측정기(100A)의 가속도에 근거하여 산출된다. 제어부 MC는, 제 3 신호를 수신하면, 측정기(100A)의 용기(4a~4d)의 어느 하나로의 회수를 위한 제어를 행한다. 또, 제 3 신호에 관련되는 이상은, 측정기(100A)의 일부가 포커스 링 FR상에 올라앉은 경우에 발생할 수 있다.In addition, when the angle of the measuring device 100A specified from the acceleration data is larger than the threshold of the angle, the processor 164 wirelessly transmits the third signal to the control unit MC. The angle of the measuring device 100A is a scale indicating the horizontality of the measuring device 100A, and is calculated based on the acceleration of the measuring device 100A specified from, for example, acceleration data. When receiving the 3rd signal, control part MC performs control for collection|recovery to any one of containers 4a-4d of measuring instrument 100A. Incidentally, an abnormality related to the third signal may occur when a part of the measuring device 100A sits on the focus ring FR.

또한, 프로세서(164)는, 온도 데이터로부터 특정되는 측정기(100A)의 주위의 온도가 온도의 임계치보다 높은 경우에는, 제 4 신호를 제어부 MC에 무선 송신한다. 제어부 MC는, 제 4 신호를 수신하면, 측정기(100A)의 회수를 위한 제어를 행한다. 또, 제 4 신호에 관련되는 이상은, 측정기(100A)가 반입된 챔버를 제공하는 프로세스 모듈의 이상에 의해 발생할 수 있다.In addition, when the temperature around the measuring device 100A specified from the temperature data is higher than the temperature threshold, the processor 164 wirelessly transmits the fourth signal to the control unit MC. When the control unit MC receives the fourth signal, the control unit MC performs control for collecting the measuring device 100A. In addition, an abnormality related to the fourth signal may be caused by an abnormality in the process module providing the chamber into which the measuring instrument 100A is loaded.

또한, 프로세서(164)는, 습도 데이터로부터 특정되는 측정기(100A)의 주위의 습도가 습도의 임계치보다 높은 경우에는, 제 5 신호를 제어부 MC에 무선 송신한다. 제어부 MC는, 제 5 신호를 수신하면, 탈수 시퀀스를 실행한다. 탈수 시퀀스는, 예컨대, 배기에 의해 실현될 수 있다. 또, 제 5 신호에 관련되는 이상은, 용기(4a~4d), 로더 모듈 LM, 로드 록 모듈 LL1, 또는, 로드 록 모듈 LL에 있어서의 흡습에 의해 발생할 수 있다.In addition, when the humidity around the measuring device 100A specified from the humidity data is higher than the humidity threshold, the processor 164 wirelessly transmits a fifth signal to the control unit MC. When receiving the fifth signal, the control unit MC executes a spin-drying sequence. The dewatering sequence can be realized, for example, by evacuation. Incidentally, an abnormality related to the fifth signal may be caused by moisture absorption in the containers 4a to 4d, the loader module LM, the loadlock module LL1, or the loadlock module LL.

또한, 프로세서(164)는, 압력 데이터로부터 특정되는 측정기(100A)의 주위의 압력이 압력의 임계치보다 높은 경우에는, 제 6 신호를 제어부 MC에 무선 송신한다. 제어부 MC는, 제 6 신호를 수신하면, 배기 처리, 퍼지 처리, 또는, 측정기(100A)의 회수를 위한 제어를 실행한다. 또, 제 6 신호에 관련되는 이상은, 로드 록 모듈 LL1의 예비 감압실, 로드 록 모듈 LL2의 예비 감압실, 트랜스퍼 모듈의 감압실, 또는, 프로세스 모듈의 챔버의 감압의 부족에 의해 발생할 수 있다. 또한, 제 6 신호에 관련되는 이상은, 프로세스 모듈의 챔버 내에 가스가 잔류하고 있는 경우에 발생할 수 있다.In addition, when the pressure around the measuring device 100A specified from the pressure data is higher than the pressure threshold, the processor 164 wirelessly transmits the sixth signal to the control unit MC. Upon receiving the sixth signal, the control unit MC executes control for exhaust treatment, purge treatment, or recovery of the measuring device 100A. In addition, an abnormality related to the sixth signal may be caused by insufficient decompression in the preliminary decompression chamber of the load lock module LL1, the preliminary decompression chamber of the load lock module LL2, the decompression chamber of the transfer module, or the chamber of the process module. . Also, an abnormality related to the sixth signal may occur when gas remains in the chamber of the process module.

일 실시 형태에 있어서, 방법 MT는, 상술한 이상 검출 처리를 더 포함할 수 있다. 이상 검출 처리는, 공정 ST3의 실행 후에 도 1에 나타내는 이중선 사이의 2개의 처리의 흐름과 병렬적으로 실행될 수 있다. 이 이상 검출 처리에서는 상술한 제 1~제 6 신호의 송신을 위해, 측정기(100A)와 제어부 MC가 무선 통신 가능한 상태인 것이 필요하다. 이 때문에, 용기(4a~4d), 로더 모듈 LM, 로드 록 모듈 LL1, 로드 록 모듈 LL2, 및, 트랜스퍼 모듈 TF의 각각은, 전파를 투과 가능한 창 영역을 가질 수 있다. 혹은, 용기(4a~4d) 각각의 내부 공간, 로더 모듈 LM의 반송 공간, 로드 록 모듈 LL1의 예비 감압실, 로드 록 모듈 LL2의 예비 감압실, 및, 트랜스퍼 모듈 TF의 감압실의 각각은, 전파를 투과 가능한 창 영역에 연통하고 있다. 측정기(100A)는, 용기(4a~4d) 각각의 내부 공간, 로더 모듈 LM의 반송 공간, 로드 록 모듈 LL1의 예비 감압실, 로드 록 모듈 LL2의 예비 감압실, 및, 트랜스퍼 모듈 TF의 감압실의 어느 쪽에 배치되어 있더라도, 상기 창 영역을 통해서, 제어부 MC와 무선 통신하는 것이 가능하다. 또한, 프로세스 모듈과 트랜스퍼 모듈 TF의 사이의 게이트 밸브가 열려 있으면, 측정기(100A)는, 해당 프로세스 모듈의 챔버 내에 배치되어 있더라도, 상기 창 영역을 통해서, 제어부 MC와 무선 통신하는 것이 가능하다.In one embodiment, the method MT may further include the above-described abnormality detection processing. The abnormality detection processing can be executed in parallel with the flow of the two processes between the double lines shown in FIG. 1 after the execution of step ST3. In this abnormality detection process, for the transmission of the above-mentioned 1st - 6th signals, it is necessary that the measuring instrument 100A and the control part MC are in a state in which wireless communication is possible. For this reason, each of the containers 4a-4d, the loader module LM, the load-lock module LL1, the load-lock module LL2, and the transfer module TF can have the window area|region which can transmit an electric wave. Alternatively, each of the internal space of each of the containers 4a to 4d, the transport space of the loader module LM, the preliminary pressure reduction chamber of the load lock module LL1, the preliminary pressure reduction chamber of the load lock module LL2, and the pressure reduction chamber of the transfer module TF, It communicates with a window area that can transmit radio waves. The measuring device 100A includes an internal space of each of the containers 4a to 4d, a transport space of the loader module LM, a preliminary pressure reduction chamber of the load lock module LL1, a preliminary pressure reduction chamber of the load lock module LL2, and a pressure reduction chamber of the transfer module TF. It is possible to communicate wirelessly with the control unit MC through the window area regardless of which side of the MC. In addition, if the gate valve between the process module and the transfer module TF is open, the measuring device 100A can communicate wirelessly with the control unit MC through the window area even if it is disposed in the chamber of the process module.

또, 이상 검출 처리에서는, 상술한 모든 이상 중 적어도 하나의 이상이 검출되면 된다. 따라서, 측정기(100A)는, 가속도 센서(171), 온도 센서(172), 습도 센서(173), 및, 압력 센서(174) 중, 이상의 검출에 필요한 센서만을 갖고 있더라도 좋다.In addition, in the abnormality detection process, at least one abnormality among all the abnormalities mentioned above should just be detected. Accordingly, the measuring device 100A may include only the sensors necessary for detecting abnormality among the acceleration sensor 171 , the temperature sensor 172 , the humidity sensor 173 , and the pressure sensor 174 .

이상, 다양한 실시 형태에 대하여 설명하여 왔지만, 상술한 실시 형태로 한정되는 일 없이 다양한 변형 양태를 구성 가능하다. 예컨대, 프로세스 모듈 PM1~PM6의 예로서, 플라즈마 처리 장치를 예시했지만, 프로세스 모듈 PM1~PM6은, 정전 척 및 포커스 링을 이용하는 것이면, 임의의 처리 장치일 수 있다. 또한, 상술한 플라즈마 처리 장치(10)는, 용량 결합형의 플라즈마 처리 장치였지만, 프로세스 모듈 PM1~PM6으로서 이용 가능한 플라즈마 처리 장치는, 유도 결합형의 플라즈마 처리 장치, 마이크로파라고 하는 표면파를 이용하는 플라즈마 처리 장치와 같이, 임의의 플라즈마 처리 장치일 수 있다.As mentioned above, although various embodiments have been described, various modifications are possible without being limited to the above-described embodiments. For example, although a plasma processing apparatus is exemplified as an example of the process modules PM1 to PM6, the process modules PM1 to PM6 may be any processing apparatus as long as they use an electrostatic chuck and a focus ring. In addition, although the above-mentioned plasma processing apparatus 10 is a capacitively coupled plasma processing apparatus, the plasma processing apparatus usable as the process modules PM1 to PM6 are inductively coupled plasma processing apparatuses and plasma processing using surface waves such as microwaves. It may be any plasma processing apparatus, such as the apparatus.

1 : 처리 시스템
LM : 로더 모듈
AN : 얼라이너
LL1, LL2 : 로드 록 모듈
TF : 트랜스퍼 모듈
TU1, TU2 : 반송 장치
PM1~PM6 : 프로세스 모듈
MC : 제어부
10 : 플라즈마 처리 장치
12 : 챔버 본체
30 : 상부 전극
40 : 가스 소스군
50 : 배기 장치
62 : 제 1 고주파 전원
64 : 제 2 고주파 전원
PD : 탑재대
LE : 하부 전극
ESC : 정전 척
FR : 포커스 링
P1 : 제 1 부분
P2 : 제 2 부분
100 : 측정기
102 : 베이스 기판
104 : 센서부
104A~104H : 센서부
104f : 앞쪽 단면
141 : 전극
141a : 제 1 부분
142 : 전극
142a : 제 2 부분
143 : 전극
143f : 전면
106 : 회로 기판
108, 108A~108H : 배선군
161 : 고주파 발진기
162 : C/V 변환 회로
162A~162H : C/V 변환 회로
163 : A/D 변환기
164 : 프로세서
165 : 기억 장치
167 : 전원
GL : 그라운드 전위선
1: processing system
LM: loader module
AN: aligner
LL1, LL2 : load lock module
TF : transfer module
TU1, TU2: transport device
PM1~PM6 : Process module
MC: Control
10: plasma processing device
12: chamber body
30: upper electrode
40: gas source group
50: exhaust device
62: first high-frequency power source
64: second high-frequency power source
PD: mount
LE: lower electrode
ESC: electrostatic chuck
FR: focus ring
P1: 1st part
P2: second part
100: measuring instrument
102: base substrate
104: sensor unit
104A~104H : Sensor part
104f: front section
141: electrode
141a: first part
142: electrode
142a: second part
143: electrode
143f: front
106: circuit board
108, 108A~108H: wiring group
161: high frequency oscillator
162: C/V conversion circuit
162A~162H : C/V conversion circuit
163: A/D converter
164: processor
165: memory
167: power
GL : ground potential line

Claims (5)

처리 시스템의 반송 장치에 의해 챔버 내에 반송되는 측정기와 포커스 링의 사이의 정전 용량을 나타내는 데이터를 취득하는 방법으로서,
상기 처리 시스템은,
상기 챔버를 제공하는 챔버 본체, 및, 상기 챔버 내에 마련되어 있고, 그 위에 상기 측정기가 탑재되는 탑재대를 갖는 프로세스 모듈과,
상기 반송 장치와,
상기 반송 장치를 제어하는 제어부
를 구비하고,
상기 측정기는,
원반 형상을 갖는 베이스 기판과,
상기 베이스 기판의 에지를 따라 배열된 복수의 센서부와,
상기 베이스 기판상에 탑재된 회로 기판
을 구비하고,
상기 복수의 센서부의 각각은, 상기 베이스 기판의 에지를 따라 연장되는 전면을 갖는 센서 전극을 갖고,
상기 회로 기판은,
고주파 신호를 발생시키는 고주파 발진기로서, 상기 복수의 센서부의 각각의 상기 센서 전극에 전기적으로 접속된, 상기 고주파 발진기와,
각각이 상기 복수의 센서부 중 대응하는 센서부의 상기 센서 전극에 있어서의 전압 진폭을, 정전 용량을 나타내는 전압 신호로 변환하는 복수의 C/V 변환 회로와,
상기 복수의 C/V 변환 회로의 각각으로부터 출력되는 상기 전압 신호를 디지털 값으로 변환하는 A/D 변환기와,
상기 A/D 변환기에 접속된 프로세서와,
상기 프로세서에 접속된 기억 장치와,
상기 기억 장치에 기억된 데이터를 무선 송신하기 위한 통신 장치와,
상기 프로세서, 상기 고주파 발진기, 및, 상기 통신 장치에 전력을 공급하는 전원
을 갖고,
상기 방법은,
상기 프로세서가, 미리 설정된 시간 간격으로, 하나 이상의 제 1 데이터 세트를 취득하는 공정으로서, 상기 하나 이상의 제 1 데이터 세트의 각각은, 상기 복수의 센서부 중 대응하는 센서부의 정전 용량을 나타내는 디지털 값을 제 1 샘플링 주기로 취득하는 것에 의해 얻어지는 복수의 디지털 값을 포함하는, 상기 공정과,
상기 탑재대 상에서 포커스 링에 의해 둘러싸인 영역에 상기 반송 장치에 의해 상기 측정기를 반송하는 공정과,
상기 하나 이상의 제 1 데이터 세트의 각각에 포함되는 상기 복수의 디지털 값 중 하나 이상 또는 상기 하나 이상의 제 1 데이터 세트의 각각에 포함되는 상기 복수의 디지털 값의 평균치가 제 1 임계치 이상이 된 것에 응답하여, 상기 프로세서가, 측정 기간에 있어서, 복수의 제 2 데이터 세트를 취득하는 공정으로서, 상기 복수의 제 2 데이터 세트의 각각은, 상기 복수의 센서부 중 대응하는 센서부의 정전 용량을 나타내는 디지털 값을 상기 측정 기간 내에 있어서 제 2 샘플링 주기로 취득하는 것에 의해 얻어지는 복수의 디지털 값을 포함하는, 상기 공정과,
상기 프로세서가, 측정 데이터를 상기 기억 장치에 기억시키는 공정으로서, 상기 측정 데이터는, 상기 복수의 제 2 데이터 세트, 또는, 상기 복수의 제 2 데이터 세트의 각각에 포함되는 상기 복수의 디지털 값의 평균치를 구하는 것에 의해 얻어지는 복수의 평균치를 포함하는, 상기 공정과,
상기 반송 장치에 의해, 상기 챔버로부터 상기 측정기를 반출하는 공정
을 포함하는
정전 용량을 나타내는 데이터를 취득하는 방법.
A method of acquiring data indicative of an electrostatic capacitance between a focus ring and a measuring device carried in a chamber by a transport device of a processing system, the method comprising:
The processing system is
a process module having a chamber body providing the chamber, and a mount provided in the chamber and on which the measuring device is mounted;
the conveying device;
a control unit for controlling the conveying device
to provide
The meter is
a base substrate having a disk shape;
a plurality of sensor units arranged along an edge of the base substrate;
circuit board mounted on the base board
to provide
Each of the plurality of sensor units has a sensor electrode having a front surface extending along an edge of the base substrate,
The circuit board is
A high-frequency oscillator for generating a high-frequency signal, the high-frequency oscillator electrically connected to each of the sensor electrodes of the plurality of sensor units;
a plurality of C/V conversion circuits, each of which converts a voltage amplitude at the sensor electrode of a corresponding one of the plurality of sensor units into a voltage signal representing an electrostatic capacity;
an A/D converter for converting the voltage signal output from each of the plurality of C/V conversion circuits into a digital value;
a processor connected to the A/D converter;
a storage device connected to the processor;
a communication device for wirelessly transmitting data stored in the storage device;
A power supply for supplying power to the processor, the high-frequency oscillator, and the communication device
have,
The method is
a process in which the processor acquires, at a preset time interval, one or more first data sets, each of the one or more first data sets: a digital value representing the capacitance of a corresponding one of the plurality of sensor units; the process comprising a plurality of digital values obtained by acquiring at a first sampling period;
conveying the measuring device by the conveying device to an area surrounded by a focus ring on the mounting table;
responsive to at least one of the plurality of digital values included in each of the one or more first data sets or an average value of the plurality of digital values included in each of the one or more first data sets becomes greater than or equal to a first threshold , wherein, in the measurement period, the processor acquires a plurality of second data sets, wherein each of the plurality of second data sets generates a digital value representing the capacitance of a corresponding sensor unit among the plurality of sensor units. the process comprising a plurality of digital values obtained by acquiring at a second sampling period within the measurement period;
a step in which the processor stores measurement data in the storage device, wherein the measurement data is an average value of the plurality of digital values included in the plurality of second data sets or each of the plurality of second data sets The process comprising a plurality of average values obtained by obtaining
The process of carrying out the said measuring instrument from the said chamber by the said conveyance apparatus
containing
How to obtain data representing capacitance.
제 1 항에 있어서,
상기 측정 기간의 종료 후에, 상기 프로세서가, 미리 설정된 시간 간격으로, 하나 이상의 제 3 데이터 세트를 취득하는 공정으로서, 상기 하나 이상의 제 3 데이터 세트의 각각은, 상기 복수의 센서부에 포함되는 하나 이상의 센서부 중 대응하는 센서부의 정전 용량을 나타내는 디지털 값을 제 3 샘플링 주기로 취득하는 것에 의해 얻어지는 복수의 디지털 값을 포함하는, 상기 공정과,
상기 하나 이상의 제 3 데이터 세트의 각각에 포함되는 상기 복수의 디지털 값 중 하나 이상 또는 상기 하나 이상의 제 3 데이터 세트의 각각에 포함되는 상기 복수의 디지털 값의 평균치가 제 2 임계치 이상이 된 것에 응답하여, 상기 프로세서가, 상기 통신 장치에 상기 측정 데이터를 무선 송신시키는 공정
을 더 포함하는 정전 용량을 나타내는 데이터를 취득하는 방법.
The method of claim 1,
After the end of the measurement period, the processor acquires, at a preset time interval, one or more third data sets, wherein each of the one or more third data sets includes one or more of the one or more third data sets included in the plurality of sensor units. the process comprising a plurality of digital values obtained by acquiring digital values indicative of the capacitance of a corresponding one of the sensor units at a third sampling period;
responsive to an average value of at least one of the plurality of digital values included in each of the one or more third data sets or the plurality of digital values included in each of the one or more third data sets is greater than or equal to a second threshold; , a process in which the processor wirelessly transmits the measurement data to the communication device
A method of acquiring data representing capacitance further comprising:
제 1 항 또는 제 2 항에 있어서,
상기 하나 이상의 제 1 데이터 세트가 취득되는 기간과, 다음으로 상기 하나 이상의 제 1 데이터 세트가 취득되는 기간의 사이에 있어서, 상기 전원으로부터의 상기 고주파 발진기로의 전력 공급이 정지되는
정전 용량을 나타내는 데이터를 취득하는 방법.
3. The method according to claim 1 or 2,
Between a period in which the one or more first data sets are acquired and a period in which the one or more first data sets are next acquired, the power supply from the power supply to the high-frequency oscillator is stopped.
How to obtain data representing capacitance.
제 1 항 또는 제 2 항에 있어서,
상기 하나 이상의 제 3 데이터 세트가 취득되는 기간과, 다음으로 상기 하나 이상의 제 3 데이터 세트가 취득되는 기간의 사이에 있어서, 상기 전원으로부터의 상기 고주파 발진기로의 전력 공급이 정지되는
정전 용량을 나타내는 데이터를 취득하는 방법.
3. The method according to claim 1 or 2,
between a period in which the one or more third data sets are acquired and a period in which the one or more third data sets are next acquired, wherein the power supply from the power supply to the high-frequency oscillator is stopped.
How to obtain data representing capacitance.
제 1 항 또는 제 2 항에 있어서,
상기 측정기를 반송하는 상기 공정에 있어서, 상기 제어부는, 미리 설정된 좌표 정보로 특정되는 반송처 위치에 상기 측정기를 반송하도록, 상기 반송 장치를 제어하고,
상기 제어부가, 상기 측정 데이터로부터 특정되는, 상기 포커스 링과 상기 측정기의 에지의 사이의 간격의 둘레 방향에 있어서의 차이가 저감되도록, 상기 좌표 정보를 보정하는 공정을 더 포함하는
정전 용량을 나타내는 데이터를 취득하는 방법.
3. The method according to claim 1 or 2,
In the step of transporting the measuring device, the control unit controls the transporting device to transport the measuring device to a transport destination position specified by preset coordinate information,
a step of correcting, by the control unit, the coordinate information such that a difference in the circumferential direction of an interval between the focus ring and an edge of the measuring device, which is specified from the measurement data, is reduced
How to obtain data representing capacitance.
KR1020170038184A 2016-03-28 2017-03-27 Method for acquiring data indicating electrostatic capacitance KR102299122B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2016-063649 2016-03-28
JP2016063649 2016-03-28
JP2016110095A JP6586394B2 (en) 2016-03-28 2016-06-01 How to get data representing capacitance
JPJP-P-2016-110095 2016-06-01

Publications (2)

Publication Number Publication Date
KR20170113262A KR20170113262A (en) 2017-10-12
KR102299122B1 true KR102299122B1 (en) 2021-09-06

Family

ID=60006526

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170038184A KR102299122B1 (en) 2016-03-28 2017-03-27 Method for acquiring data indicating electrostatic capacitance

Country Status (3)

Country Link
JP (1) JP6586394B2 (en)
KR (1) KR102299122B1 (en)
TW (1) TWI714743B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11899516B1 (en) 2023-07-13 2024-02-13 T-Mobile Usa, Inc. Creation of a digital twin for auto-discovery of hierarchy in power monitoring

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102253018B1 (en) * 2017-10-25 2021-05-17 어플라이드 머티어리얼스, 인코포레이티드 Carrier for use in a vacuum chamber, a system for testing a transfer arrangement in a vacuum chamber, a vacuum processing system, and a method for testing a transfer arrangement in a vacuum chamber
JP7029983B2 (en) * 2018-03-09 2022-03-04 東京エレクトロン株式会社 How to find the measuring instrument and the amount of deviation of the measuring instrument
JP7037964B2 (en) * 2018-03-09 2022-03-17 東京エレクトロン株式会社 How the system operates to inspect the measuring instrument and focus ring
JP7126466B2 (en) * 2018-12-12 2022-08-26 東京エレクトロン株式会社 SUBSTRATE PROCESSING SYSTEM, TRANSFER METHOD, AND TRANSFER PROGRAM
US11581206B2 (en) * 2020-03-06 2023-02-14 Applied Materials, Inc. Capacitive sensor for chamber condition monitoring
JP2022046096A (en) 2020-09-10 2022-03-23 東京エレクトロン株式会社 Execution device and execution method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001091204A (en) 1999-09-24 2001-04-06 Fotonikusu:Kk Distance measuring device
JP2006186171A (en) 2004-12-28 2006-07-13 Toshiba Corp Apparatus and method for manufacturing semiconductor device
JP2009054665A (en) 2007-08-24 2009-03-12 Tokyo Electron Ltd Method of adjusting movement position of transportation arm and tool for detecting the position
JP2009054993A (en) 2007-08-02 2009-03-12 Tokyo Electron Ltd Tool for detecting position

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2878913B2 (en) * 1992-09-14 1999-04-05 株式会社ミツトヨ Displacement measuring device
NL1017593C2 (en) * 2001-03-14 2002-09-17 Asm Int Inspection system for process devices for treating substrates, as well as a sensor intended for such an inspection system and a method for inspecting process devices.
JP3913026B2 (en) * 2001-10-18 2007-05-09 サムタク株式会社 Linear scale signal processing apparatus and method
US6813543B2 (en) * 2002-10-08 2004-11-02 Brooks-Pri Automation, Inc. Substrate handling system for aligning and orienting substrates during a transfer operation
JP2004319857A (en) * 2003-04-18 2004-11-11 Matsushita Electric Ind Co Ltd Monitoring system for semiconductor manufacturing apparatus
TW200520632A (en) * 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
JP4782990B2 (en) * 2004-05-31 2011-09-28 株式会社ミツトヨ Surface scanning measuring device, surface scanning measuring method, surface scanning measuring program, and recording medium
JP4562551B2 (en) * 2005-03-02 2010-10-13 北斗電子工業株式会社 Impedance detection device
JP5105399B2 (en) * 2006-08-08 2012-12-26 東京エレクトロン株式会社 Data collection method, substrate processing apparatus, substrate processing system
JP5102500B2 (en) * 2007-01-22 2012-12-19 東京エレクトロン株式会社 Substrate processing equipment
JP5009870B2 (en) * 2008-07-22 2012-08-22 日本特殊陶業株式会社 Capacitance sensor abnormality detection device
JP5582823B2 (en) * 2010-02-26 2014-09-03 東京エレクトロン株式会社 Automatic alignment apparatus and plasma processing apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001091204A (en) 1999-09-24 2001-04-06 Fotonikusu:Kk Distance measuring device
JP2006186171A (en) 2004-12-28 2006-07-13 Toshiba Corp Apparatus and method for manufacturing semiconductor device
JP2009054993A (en) 2007-08-02 2009-03-12 Tokyo Electron Ltd Tool for detecting position
JP2009054665A (en) 2007-08-24 2009-03-12 Tokyo Electron Ltd Method of adjusting movement position of transportation arm and tool for detecting the position

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11899516B1 (en) 2023-07-13 2024-02-13 T-Mobile Usa, Inc. Creation of a digital twin for auto-discovery of hierarchy in power monitoring

Also Published As

Publication number Publication date
KR20170113262A (en) 2017-10-12
JP2017183683A (en) 2017-10-05
TWI714743B (en) 2021-01-01
JP6586394B2 (en) 2019-10-02
TW201809689A (en) 2018-03-16

Similar Documents

Publication Publication Date Title
KR102299122B1 (en) Method for acquiring data indicating electrostatic capacitance
KR102307737B1 (en) Sensor chip for electrostatic capacitance measurement and measuring device having the same
KR102381838B1 (en) Measuring instrument for measuring electrostatic capacity and method of calibrating transfer position data in processing system by using measuring instrument
JP6512954B2 (en) System for inspecting focus ring and method for inspecting focus ring
US10074549B2 (en) Method for acquiring data indicating electrostatic capacitance
JP6537433B2 (en) Sensor chip for capacitance measurement and measuring instrument equipped with the same
KR102520285B1 (en) Method of obtaining amount of deviation of a measuring device, and method of calibrating transfer position data in a processing system
KR102636225B1 (en) Method for calibrating measuring device and case used in the calibration method
JP7129325B2 (en) Conveying method and conveying system
CN110243273B (en) Determinator and method for operating a system for checking a focus ring
CN108693409B (en) Measuring device for measuring electrostatic capacitance
KR20220100516A (en) Measuring device and measuring method
KR20230125758A (en) Measuring method, measuring device, and measuring system
KR20220034004A (en) Execution device and execution method
KR20240033648A (en) Measuring method, measuring system, and measuring device
KR20230099647A (en) Measurement device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant