KR102381838B1 - Measuring instrument for measuring electrostatic capacity and method of calibrating transfer position data in processing system by using measuring instrument - Google Patents

Measuring instrument for measuring electrostatic capacity and method of calibrating transfer position data in processing system by using measuring instrument Download PDF

Info

Publication number
KR102381838B1
KR102381838B1 KR1020170075800A KR20170075800A KR102381838B1 KR 102381838 B1 KR102381838 B1 KR 102381838B1 KR 1020170075800 A KR1020170075800 A KR 1020170075800A KR 20170075800 A KR20170075800 A KR 20170075800A KR 102381838 B1 KR102381838 B1 KR 102381838B1
Authority
KR
South Korea
Prior art keywords
electrode
sensors
base substrate
sensor
electrodes
Prior art date
Application number
KR1020170075800A
Other languages
Korean (ko)
Other versions
KR20170142905A (en
Inventor
기페이 스기타
도모히데 미나미
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170142905A publication Critical patent/KR20170142905A/en
Application granted granted Critical
Publication of KR102381838B1 publication Critical patent/KR102381838B1/en

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01DMEASURING NOT SPECIALLY ADAPTED FOR A SPECIFIC VARIABLE; ARRANGEMENTS FOR MEASURING TWO OR MORE VARIABLES NOT COVERED IN A SINGLE OTHER SUBCLASS; TARIFF METERING APPARATUS; MEASURING OR TESTING NOT OTHERWISE PROVIDED FOR
    • G01D5/00Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable
    • G01D5/12Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means
    • G01D5/14Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means influencing the magnitude of a current or voltage
    • G01D5/24Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means influencing the magnitude of a current or voltage by varying capacitance
    • G01D5/241Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means influencing the magnitude of a current or voltage by varying capacitance by relative movement of capacitor electrodes
    • G01D5/2412Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means influencing the magnitude of a current or voltage by varying capacitance by relative movement of capacitor electrodes by varying overlap
    • G01D5/2415Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means influencing the magnitude of a current or voltage by varying capacitance by relative movement of capacitor electrodes by varying overlap adapted for encoders
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01DMEASURING NOT SPECIALLY ADAPTED FOR A SPECIFIC VARIABLE; ARRANGEMENTS FOR MEASURING TWO OR MORE VARIABLES NOT COVERED IN A SINGLE OTHER SUBCLASS; TARIFF METERING APPARATUS; MEASURING OR TESTING NOT OTHERWISE PROVIDED FOR
    • G01D5/00Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable
    • G01D5/12Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means
    • G01D5/14Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means influencing the magnitude of a current or voltage
    • G01D5/24Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means influencing the magnitude of a current or voltage by varying capacitance
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01DMEASURING NOT SPECIALLY ADAPTED FOR A SPECIFIC VARIABLE; ARRANGEMENTS FOR MEASURING TWO OR MORE VARIABLES NOT COVERED IN A SINGLE OTHER SUBCLASS; TARIFF METERING APPARATUS; MEASURING OR TESTING NOT OTHERWISE PROVIDED FOR
    • G01D18/00Testing or calibrating apparatus or arrangements provided for in groups G01D1/00 - G01D15/00
    • G01D18/001Calibrating encoders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Measurement Of Length, Angles, Or The Like Using Electric Or Magnetic Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

정전 용량 측정용 측정기가 제공된다. 측정기는, 원반 형상을 갖는 베이스 기판과, 베이스 기판의 에지를 따라 배열된 복수의 측부 전극을 각각 제공하는 복수의 제1 센서, 각각이 베이스 기판의 바닥면을 따라 마련된 바닥부 전극을 갖는 1 이상의 제2 센서, 및 회로 기판을 구비한다. 회로 기판은, 복수의 측부 전극 및 바닥부 전극에 고주파 신호를 부여하여, 복수의 측부 전극에 있어서의 전압 진폭의 각각으로부터 정전 용량을 나타내는 복수의 제1 측정값을 생성하고, 바닥부 전극에 있어서의 전압 진폭으로부터 정전 용량을 나타내는 제2 측정값을 생성하도록 구성되어 있다.A measuring instrument for measuring capacitance is provided. The measuring device includes a base substrate having a disk shape, a plurality of first sensors each providing a plurality of side electrodes arranged along an edge of the base substrate, and at least one having a bottom electrode each provided along a bottom surface of the base substrate. A second sensor and a circuit board are provided. The circuit board applies a high-frequency signal to the plurality of side electrodes and the bottom electrode to generate a plurality of first measured values indicative of capacitance from each of voltage amplitudes at the plurality of side electrodes, and at the bottom electrode and generate a second measurement indicative of the capacitance from the voltage amplitude of .

Figure R1020170075800
Figure R1020170075800

Description

정전 용량 측정용 측정기, 및 측정기를 이용하여 처리 시스템에 있어서의 반송 위치 데이터를 교정하는 방법{MEASURING INSTRUMENT FOR MEASURING ELECTROSTATIC CAPACITY AND METHOD OF CALIBRATING TRANSFER POSITION DATA IN PROCESSING SYSTEM BY USING MEASURING INSTRUMENT}MEASURING INSTRUMENT FOR MEASURING ELECTROSTATIC CAPACITY AND METHOD OF CALIBRATING TRANSFER POSITION DATA IN PROCESSING SYSTEM BY USING MEASURING INSTRUMENT

본 개시에 있어서의 실시형태는, 정전 용량 측정용 측정기, 및 측정기를 이용하여 처리 시스템에 있어서의 반송 위치 데이터를 교정하는 방법에 관한 것이다.Embodiment in this indication relates to the measuring instrument for electrostatic capacity measurement, and the method of calibrating the conveyance position data in a processing system using a measuring instrument.

반도체 디바이스와 같은 전자 디바이스의 제조에 있어서는, 원반 모양의 피가공물을 처리하는 처리 시스템이 이용되고 있다. 처리 시스템은, 피가공물을 반송하기 위한 반송 장치, 및 피가공물을 처리하기 위한 처리 장치를 갖고 있다. 처리 장치는, 일반적으로, 챔버 본체, 및 당해 챔버 본체 내에 마련된 재치대를 갖고 있다. 재치대는, 그 위에 재치된 피가공물을 지지하도록 구성되어 있다. 반송 장치는, 재치대 상에 피가공물을 반송하도록 구성되어 있다.DESCRIPTION OF RELATED ART In manufacture of electronic devices like a semiconductor device, the processing system which processes a disk-shaped to-be-processed object is used. A processing system has a conveying apparatus for conveying a to-be-processed object, and a processing apparatus for processing a to-be-processed object. Generally, a processing apparatus has a chamber main body and the mounting table provided in the said chamber main body. The mounting table is comprised so that the to-be-processed object mounted on it may be supported. The conveying apparatus is comprised so that a to-be-processed object may be conveyed on a mounting table.

처리 장치에 있어서의 피가공물의 처리에 있어서는, 재치대 상에 있어서의 피가공물의 위치가 중요하다. 따라서, 재치대 상에 있어서의 피가공물의 위치가 소정 위치로부터 어긋나 있는 경우에는, 반송 장치를 조정할 필요가 있다.In the processing of the to-be-processed object in a processing apparatus, the position of the to-be-processed object on a mounting table is important. Therefore, when the position of the to-be-processed object on a mounting table deviate|deviates from a predetermined position, it is necessary to adjust a conveyance apparatus.

반송 장치를 조정하는 기술로서는, 일본 특허공보 제4956328호에 기재된 기술이 알려져 있다. 당해 문헌에 기재된 기술에서는, 재치대 상에 오목부가 형성되어 있다. 또, 당해 문헌에 기재된 기술에서는, 피가공물과 동일한 원반 형상을 갖고, 정전 용량 측정을 위한 전극을 갖는 측정기가 이용되고 있다. 당해 문헌에 기재된 기술에서는, 측정기가 반송 장치에 의하여 재치대 상에 반송되고, 오목부와 전극의 상대적인 위치 관계에 의존하는 정전 용량의 측정값이 취득되어, 당해 측정값에 근거하여 피가공물의 반송 위치를 수정하도록 반송 장치가 조정된다.As a technique for adjusting a conveying apparatus, the technique described in Unexamined-Japanese-Patent No. 4956328 is known. In the technique described in this document, a recess is formed on the mounting table. Moreover, in the technique described in the said document, it has the same disk shape as a to-be-processed object, and the measuring instrument which has the electrode for electrostatic capacitance measurement is used. In the technique described in this document, a measuring instrument is conveyed on a mounting table by a conveying device, a measured value of the capacitance depending on the relative positional relationship between the recess and the electrode is acquired, and the workpiece is conveyed based on the measured value The conveying device is adjusted to correct the position.

상술한 처리 시스템의 처리 장치로서, 플라즈마 처리 장치가 이용되는 경우가 있다. 플라즈마 처리 장치는, 상술한 처리 장치와 마찬가지로, 챔버 본체 및 재치대를 구비하고 있다. 또, 플라즈마 처리 장치에서는, 피가공물의 에지를 둘러싸도록, 포커스 링이 재치대 상에 마련된다. 포커스 링은, 중심 축선에 대하여 둘레 방향으로 연장되는 환(環) 모양의 판이며, 예를 들면 실리콘으로 형성되어 있다.As a processing apparatus of the above-mentioned processing system, a plasma processing apparatus is used in some cases. The plasma processing apparatus is provided with a chamber main body and a mounting table similarly to the processing apparatus mentioned above. Moreover, in the plasma processing apparatus, a focus ring is provided on the mounting table so that the edge of a to-be-processed object may be surrounded. The focus ring is an annular plate extending in the circumferential direction with respect to the central axis, and is made of, for example, silicon.

플라즈마 처리 장치를 이용한 피가공물에 대한 플라즈마 처리에서는, 포커스 링과 피가공물의 위치 관계가 중요하다. 예를 들면, 포커스 링에 대하여 원반 모양의 피가공물의 위치가 어긋나 있어, 포커스 링과 피가공물의 에지의 사이의 간극의 크기가 둘레 방향에 있어서 변동하고 있으면, 큰 간극이 발생하고 있는 부분에 플라즈마가 침입하여, 피가공물 상에 파티클을 발생시키는 경우가 있다. 따라서, 반송 장치에 의하여 반송된 피가공물과 포커스 링의 위치 관계를 반영하는 신뢰성이 높은 데이터를 취득하는 것이 필요하다.In the plasma processing of the workpiece using the plasma processing apparatus, the positional relationship between the focus ring and the workpiece is important. For example, if the position of the disk-shaped workpiece with respect to the focus ring is shifted and the size of the gap between the focus ring and the edge of the workpiece fluctuates in the circumferential direction, plasma is located in the portion where the large gap is generated. may invade and generate particles on the workpiece. Therefore, it is necessary to acquire highly reliable data reflecting the positional relationship between the focus ring and the workpiece conveyed by the conveying apparatus.

일 양태에 있어서는, 정전 용량 측정용 측정기가 제공된다. 이 측정기는, 베이스 기판, 복수의 제1 센서, 1 이상의 제2 센서, 및 회로 기판을 구비하고 있다. 베이스 기판은 원반 형상을 갖고 있다. 복수의 제1 센서는, 베이스 기판의 에지를 따라 배열되어 있으며, 복수의 측부 전극을 각각 제공하고 있다. 1 이상의 제2 센서의 각각은, 베이스 기판의 바닥면을 따라 마련된 바닥부 전극을 갖고 있다. 회로 기판은, 베이스 기판 상에 탑재되어 있으며, 복수의 제1 센서 및 1 이상의 제2 센서의 각각에 접속되어 있다. 회로 기판은, 복수의 측부 전극 및 바닥부 전극에 고주파 신호를 부여하여, 복수의 측부 전극에 있어서의 전압 진폭의 각각으로부터 정전 용량을 나타내는 복수의 제1 측정값을 생성하고, 바닥부 전극에 있어서의 전압 진폭으로부터 정전 용량을 나타내는 제2 측정값을 생성하도록 구성되어 있다.In one aspect, a measuring instrument for measuring capacitance is provided. The measuring instrument includes a base board, a plurality of first sensors, one or more second sensors, and a circuit board. The base substrate has a disk shape. The plurality of first sensors are arranged along the edge of the base substrate, and provide a plurality of side electrodes, respectively. Each of the one or more second sensors has a bottom electrode provided along the bottom surface of the base substrate. The circuit board is mounted on the base board, and is connected to each of a plurality of first sensors and one or more second sensors. The circuit board applies a high-frequency signal to the plurality of side electrodes and the bottom electrode to generate a plurality of first measured values indicative of capacitance from each of voltage amplitudes at the plurality of side electrodes, and at the bottom electrode and generate a second measurement indicative of the capacitance from the voltage amplitude of .

일 양태에 관한 측정기에서는, 복수의 제1 센서에 의하여 제공되는 복수의 측부 전극이 베이스 기판의 에지를 따라 배열되어 있다. 이 측정기가 포커스 링에 의하여 둘러싸인 영역에 배치되어 있는 상태에서는, 복수의 측부 전극은, 포커스 링의 내측 가장자리에 대면한다. 이들 측부 전극에 있어서의 전압 진폭으로부터 생성되는 복수의 제1 측정값은, 복수의 측부 전극 각각과 포커스 링의 사이의 거리를 반영하는 정전 용량을 나타내고 있다. 따라서, 이 측정기에 의하면, 피가공물을 모방한 측정기와 포커스 링의 상대적인 위치 관계를 반영하는 측정 데이터가 얻어진다. 또, 이 측정기에서는, 1 이상의 제2 센서의 각각의 바닥부 전극이, 베이스 기판의 바닥면을 따라 배치되어 있다. 바닥부 전극에 있어서의 전압 진폭으로부터 생성되는 제2 측정값은, 바닥부 전극과 측정기의 하방에 있는 물체의 사이의 정전 용량을 나타내고 있다. 즉, 제2 측정값은, 바닥부 전극과 측정기의 하방에 있는 물체의 상대적 위치 관계를 반영하고 있다. 따라서, 제2 측정값에 의하면, 측정기가 포커스 링으로 둘러싸인 영역 내에서 재치대 상에 배치되었는지 여부를 확인할 수 있다. 이러한 제2 측정값을 이용함으로써, 상술한 제1 측정값의 신뢰성을 확인하는 것이 가능하게 된다.In the measuring instrument according to one aspect, a plurality of side electrodes provided by a plurality of first sensors are arranged along an edge of the base substrate. In a state where the measuring device is disposed in the region surrounded by the focus ring, the plurality of side electrodes face the inner edge of the focus ring. A plurality of first measured values generated from the voltage amplitudes at these side electrodes indicate capacitance reflecting the distance between each of the plurality of side electrodes and the focus ring. Accordingly, according to this measuring device, measurement data reflecting the relative positional relationship between the measuring device imitating the workpiece and the focus ring is obtained. Moreover, in this measuring device, each bottom electrode of one or more 2nd sensors is arrange|positioned along the bottom surface of a base board|substrate. The second measured value generated from the voltage amplitude at the bottom electrode represents the electrostatic capacitance between the bottom electrode and the object located below the measuring instrument. That is, the second measured value reflects the relative positional relationship between the bottom electrode and the object under the measuring device. Accordingly, according to the second measured value, it can be confirmed whether the measuring device is disposed on the mounting table in the area surrounded by the focus ring. By using this second measured value, it becomes possible to confirm the reliability of the above-described first measured value.

일 실시형태에서는, 1 이상의 제2 센서의 각각의 바닥부 전극은, 원 형상을 갖는다. 1 이상의 제2 센서의 각각은, 바닥부 전극을 둘러싸도록 배치된 주변 전극을 더 갖는다. 회로 기판은, 주변 전극에 고주파 신호를 부여하여, 주변 전극에 있어서의 전압 진폭으로부터 정전 용량을 나타내는 제3 측정값을 생성하도록 추가로 구성되어 있다.In one embodiment, each bottom electrode of the at least one second sensor has a circular shape. Each of the one or more second sensors further has a peripheral electrode disposed to surround the bottom electrode. The circuit board is further configured to apply a high frequency signal to the peripheral electrode to generate a third measured value indicative of the capacitance from the voltage amplitude at the peripheral electrode.

일 실시형태에서는, 1 이상의 제2 센서는 복수의 제2 센서이다. 복수의 제2 센서는, 베이스 기판의 중심 축선을 공유하는 원을 따라 배치되어 있다.In one embodiment, the at least one second sensor is a plurality of second sensors. The plurality of second sensors are arranged along a circle sharing the central axis of the base substrate.

일 실시형태에서는, 1 이상의 제2 센서의 각각은, 베이스 기판의 상면으로부터 그 베이스 기판의 판두께 방향으로 뻗도록 그 베이스 기판에 마련된 복수의 전극을 더 갖는다. 1 이상의 제2 센서의 각각의 바닥부 전극은, 복수의 전극의 바닥면의 측의 단면에 의하여 구성되어 있다.In one embodiment, each of the one or more second sensors further has a plurality of electrodes provided on the base substrate so as to extend from the upper surface of the base substrate in the plate thickness direction of the base substrate. Each bottom electrode of the one or more second sensors is constituted by a cross section on the bottom surface side of the plurality of electrodes.

일 실시형태에서는, 1 이상의 제2 센서의 각각은, 베이스 기판을 관통하는 1 이상의 관통 전극을 더 갖는다. 1 이상의 제2 센서의 각각의 바닥부 전극은, 1 이상의 관통 전극을 통하여 회로 기판에 접속되어 있다.In one embodiment, each of the one or more second sensors further has one or more through-electrodes penetrating the base substrate. Each bottom electrode of the at least one second sensor is connected to the circuit board through the at least one through electrode.

일 실시형태에서는, 1 이상의 제2 센서는 3 이상의 제2 센서이다. 3 이상의 제2 센서의 각각은, 베이스 기판의 중심 축선을 공유하는 원을 따라 배치되어 있다. 3 이상의 제2 센서의 각각의 바닥부 전극의 에지의 일부는, 원호 형상을 갖고 당해 원 상에서 연장된다.In one embodiment, the at least one second sensor is at least three second sensors. Each of the three or more second sensors is disposed along a circle sharing the central axis of the base substrate. A part of an edge of each bottom electrode of the three or more second sensors has an arc shape and extends on the circle.

다른 일 양태에 있어서는, 상기의 측정기를 이용하여 처리 시스템에 있어서의 반송 위치 데이터를 교정하는 방법이 제공된다. 처리 시스템은, 처리 장치, 및 반송 장치를 구비하고 있다. 처리 장치는, 챔버 본체, 및 정전 척을 갖는다. 정전 척은, 챔버 본체에 의하여 제공되는 챔버 내에 마련되어 있다. 정전 척은, 원형의 에지를 갖는 재치 영역을 갖는다. 재치 영역 상에는 피가공물이 재치된다. 반송 장치는, 반송 위치 데이터에 근거하여 재치 영역 상에 피가공물을 반송한다. 이 방법은, 반송 위치 데이터에 의하여 특정되는 재치 영역 상의 위치에, 반송 장치를 이용하여 측정기를 반송하는 공정과, 재치 영역 상에 반송된 측정기의 3 이상의 제2 센서에 의하여 3 이상의 정전 용량을 측정하는 공정과, 3 이상의 정전 용량의 측정값으로부터, 측정기가 반송된 재치 영역 상의 위치의, 재치 영역 상의 소정의 반송 위치에 대한 오차를 구하는 공정과, 당해 오차를 이용하여 반송 위치 데이터를 교정하는 공정을 포함한다.In another one aspect, the method of calibrating the conveyance position data in a processing system using the said measuring device is provided. The processing system includes a processing apparatus and a conveying apparatus. The processing apparatus has a chamber body and an electrostatic chuck. The electrostatic chuck is provided in a chamber provided by the chamber body. The electrostatic chuck has a mounting area having a circular edge. A workpiece is placed on the placement area. A conveying apparatus conveys a to-be-processed object on a mounting area based on conveyance position data. This method measures the electrostatic capacity of 3 or more by the process of conveying a measuring instrument using a conveying apparatus to the position on the mounting area specified by conveyance position data, and 3 or more 2nd sensors of the measuring instrument conveyed on the mounting area. a step of obtaining an error with respect to a predetermined conveyance position on the placement area of the position on the placement area to which the measuring device has been conveyed from the measured values of three or more capacitances; includes

일 실시형태에서는, 바닥부 전극의 에지의 상기 일부의 곡률은 재치 영역의 에지의 곡률과 일치하고 있다.In one embodiment, the curvature of the part of the edge of the bottom electrode coincides with the curvature of the edge of the mounting region.

도 1은, 처리 시스템을 예시하는 도이다.
도 2는, 얼라이너를 예시하는 사시도이다.
도 3은, 플라즈마 처리 장치의 일례를 나타내는 도이다.
도 4는, 정전 척을 나타내는 평면도이다.
도 5는, 측정기를 예시하는 사시도이다.
도 6은, 도 5에 나타내는 측정기를 바닥면측에서 보아 나타내는 평면도이다.
도 7은, 제1 센서의 일례를 나타내는 사시도이다.
도 8은, 도 7의 VIII-VIII선을 따라 취한 단면도이다.
도 9는, 도 8의 IX-IX선을 따라 취한 단면도이다.
도 10은, 도 6의 X-X선을 따라 취한 단면도이다.
도 11은, 측정기의 회로 기판의 구성을 예시하는 도이다.
도 12는, 제1 센서의 다른 예를 나타내는 종단면도이다.
도 13은, 제2 센서의 다른 예를 나타내는 도이다.
도 14는, 도 13의 (b)의 XIV-XIV선을 따라 취한 단면도이다.
도 15는, 제2 센서의 또 다른 예를 나타내는 도이다.
도 16은, 제2 센서의 또 다른 예를 나타내는 도이다.
도 17은, 측정기의 다른 예를 나타내는 도이다.
도 18은, 정전 척을 모식적으로 나타내는 단면도이다.
도 19는, 도 17의 측정기의 확대도이다.
도 20은, 도 17의 측정기의 회로 기판의 구성을 예시하는 도이다.
도 21은, 처리 시스템에 있어서의 반송 위치 데이터를 교정하는 방법의 일 실시형태를 나타내는 흐름도이다.
도 22는, 정전 척에 대한 측정기의 반송 위치를 나타내는 도이다.
1 is a diagram illustrating a processing system.
2 is a perspective view illustrating an aligner.
3 is a diagram illustrating an example of a plasma processing apparatus.
4 is a plan view illustrating an electrostatic chuck.
5 is a perspective view illustrating a measuring device.
Fig. 6 is a plan view showing the measuring device shown in Fig. 5 when viewed from the bottom side.
7 is a perspective view showing an example of the first sensor.
FIG. 8 is a cross-sectional view taken along line VIII-VIII of FIG. 7 .
Fig. 9 is a cross-sectional view taken along line IX-IX of Fig. 8 .
FIG. 10 is a cross-sectional view taken along line XX in FIG. 6 .
11 : is a figure which illustrates the structure of the circuit board of a measuring instrument.
12 is a longitudinal sectional view showing another example of the first sensor.
13 is a diagram illustrating another example of the second sensor.
Fig. 14 is a cross-sectional view taken along the line XIV-XIV in Fig. 13B.
15 is a diagram illustrating still another example of the second sensor.
16 is a diagram illustrating still another example of the second sensor.
17 : is a figure which shows the other example of a measuring device.
18 is a cross-sectional view schematically illustrating an electrostatic chuck.
Fig. 19 is an enlarged view of the measuring device of Fig. 17;
FIG. 20 is a diagram illustrating a configuration of a circuit board of the measuring device of FIG. 17 .
It is a flowchart which shows one Embodiment of the method of calibrating the conveyance position data in a processing system.
22 is a diagram illustrating a conveyance position of the measuring device with respect to the electrostatic chuck.

이하, 도면을 참조하여 다양한 실시형태에 대하여 상세하게 설명한다. 또한, 각 도면에 있어서 동일 또는 상당한 부분에 대해서는 동일한 부호를 붙이는 것으로 한다.Hereinafter, various embodiments will be described in detail with reference to the drawings. In addition, in each figure, the same code|symbol shall be attached|subjected about the same or equivalent part.

먼저, 원반 형상의 피가공물을 처리하기 위한 처리 장치, 및 당해 처리 장치에 피처리체를 반송하기 위한 반송 장치를 갖는 처리 시스템에 대하여 설명한다. 도 1은, 처리 시스템을 예시하는 도이다. 처리 시스템(1)은, 받침대(2a~2d), 용기(4a~4d), 로더 모듈(LM), 얼라이너(AN), 로드 록 모듈(LL1, LL2), 프로세스 모듈(PM1~PM6), 트랜스퍼 모듈(TF), 및 제어부(MC)를 구비하고 있다. 다만, 받침대(2a~2d)의 개수, 용기(4a~4d)의 개수, 로드 록 모듈(LL1, LL2)의 개수, 및 프로세스 모듈(PM1~PM6)의 개수는 한정되는 것은 아니고, 1 이상의 임의의 개수일 수 있다.First, the processing system which has a processing apparatus for processing a disk-shaped to-be-processed object, and the conveying apparatus for conveying a to-be-processed object to the said processing apparatus is demonstrated. 1 is a diagram illustrating a processing system. The processing system 1 includes: pedestals 2a to 2d, containers 4a to 4d, loader modules LM, aligners AN, load lock modules LL1, LL2, process modules PM1 to PM6; A transfer module TF and a control unit MC are provided. However, the number of pedestals 2a to 2d, the number of containers 4a to 4d, the number of load lock modules LL1 and LL2, and the number of process modules PM1 to PM6 are not limited, and may be one or more. may be the number of

받침대(2a~2d)는, 로더 모듈(LM)의 일 가장자리를 따라 배열되어 있다. 용기(4a~4d)는 각각, 받침대(2a~2d) 상에 탑재되어 있다. 용기(4a~4d)의 각각은, 예를 들면 FOUP(Front Opening Unified Pod)라고 칭해지는 용기이다. 용기(4a~4d)의 각각은, 그 안에 피가공물(W)을 수용하도록 구성되어 있다. 피가공물(W)은, 웨이퍼와 같이 대략 원반 형상을 갖는다.The pedestals 2a to 2d are arranged along one edge of the loader module LM. The containers 4a to 4d are mounted on the pedestals 2a to 2d, respectively. Each of the containers 4a to 4d is, for example, a container called FOUP (Front Opening Unified Pod). Each of the containers 4a-4d is comprised so that the to-be-processed object W may be accommodated therein. The to-be-processed object W has a substantially disk shape like a wafer.

로더 모듈(LM)은, 대기압 상태의 반송 공간을 그 내부에 구획 형성하는 챔버벽을 갖고 있다. 이 반송 공간 내에는 반송 장치(TU1)가 마련되어 있다. 반송 장치(TU1)는, 예를 들면 다관절 로봇이며, 제어부(MC)에 의하여 제어된다. 반송 장치(TU1)는, 용기(4a~4d)와 얼라이너(AN)의 사이, 얼라이너(AN)와 로드 록 모듈(LL1~LL2)의 사이, 로드 록 모듈(LL1~LL2)과 용기(4a~4d)의 사이에서 피가공물(W)을 반송하도록 구성되어 있다.The loader module LM has a chamber wall which partitions the conveyance space of an atmospheric pressure state inside it. In this conveyance space, conveyance apparatus TU1 is provided. The transfer device TU1 is, for example, an articulated robot, and is controlled by the control unit MC. The transfer device TU1 is disposed between the containers 4a to 4d and the aligner AN, between the aligner AN and the load lock modules LL1 to LL2, and between the load lock modules LL1 to LL2 and the containers LL1 to LL2. It is comprised so that the to-be-processed object W may be conveyed between 4a-4d).

얼라이너(AN)는, 로더 모듈(LM)과 접속되어 있다. 얼라이너(AN)는, 피가공물(W)의 위치의 조정(위치의 교정)을 행하도록 구성되어 있다. 도 2는, 얼라이너를 예시하는 사시도이다. 얼라이너(AN)는, 지지대(6T), 구동 장치(6D), 및 센서(6S)를 갖고 있다. 지지대(6T)는, 연직 방향으로 뻗는 축선을 중심으로 회전 가능한 받침대이며, 그 위에 피가공물(W)을 지지하도록 구성되어 있다. 지지대(6T)는, 구동 장치(6D)에 의하여 회전된다. 구동 장치(6D)는, 제어부(MC)에 의하여 제어된다. 구동 장치(6D)로부터의 동력에 의하여 지지대(6T)가 회전하면, 당해 지지대(6T) 상에 재치된 피가공물(W)도 회전한다.The aligner AN is connected to the loader module LM. The aligner AN is comprised so that the position of the to-be-processed W may be adjusted (position correction). 2 is a perspective view illustrating an aligner. The aligner AN has a support base 6T, a drive device 6D, and a sensor 6S. The support base 6T is a base which is rotatable about the axis line extending in the vertical direction, and is comprised so that the to-be-processed object W may be supported on it. The support 6T is rotated by the driving device 6D. The drive device 6D is controlled by the control unit MC. When the support base 6T rotates by the power from the drive device 6D, the to-be-processed object W mounted on the support base 6T also rotates.

센서(6S)는, 광학 센서이며, 피가공물(W)이 회전되고 있는 동안, 피가공물(W)의 에지를 검출한다. 센서(6S)는, 에지의 검출 결과로부터, 기준 각도 위치에 대한 피가공물(W)의 노치(WN)(혹은, 다른 마커)의 각도 위치의 어긋남량, 및 기준 위치에 대한 피가공물(W)의 중심 위치의 어긋남량을 검출한다. 센서(6S)는, 노치(WN)의 각도 위치의 어긋남량 및 피가공물(W)의 중심 위치의 어긋남량을 제어부(MC)에 출력한다. 제어부(MC)는, 노치(WN)의 각도 위치의 어긋남량에 근거하여, 노치(WN)의 각도 위치를 기준 각도 위치로 보정하기 위한 지지대(6T)의 회전량을 산출한다. 제어부(MC)는, 이 회전량 분만큼 지지대(6T)를 회전시키도록, 구동 장치(6D)를 제어한다. 이로써, 노치(WN)의 각도 위치를 기준 각도 위치로 보정할 수 있다. 또, 제어부(MC)는, 반송 장치(TU1)의 엔드 이펙터(end effector) 상의 소정 위치와 피가공물(W)의 중심 위치가 일치하도록, 얼라이너(AN)로부터 피가공물(W)을 수취할 때의 반송 장치(TU1)의 엔드 이펙터의 위치를, 피가공물(W)의 중심 위치의 어긋남량에 근거하여 제어한다.The sensor 6S is an optical sensor and detects the edge of the workpiece W while the workpiece W is being rotated. The sensor 6S determines, from the detection result of the edge, the amount of deviation of the angular position of the notch WN (or other marker) of the workpiece W with respect to the reference angular position, and the workpiece W with respect to the reference position. Detects the amount of deviation of the center position of . The sensor 6S outputs the amount of deviation of the angular position of the notch WN and the amount of deviation of the central position of the workpiece W to the control unit MC. The control unit MC calculates the amount of rotation of the support 6T for correcting the angular position of the notch WN to the reference angular position based on the shift amount of the angular position of the notch WN. The control unit MC controls the driving device 6D to rotate the support 6T by the amount of rotation. Accordingly, the angular position of the notch WN can be corrected as the reference angular position. In addition, the control unit MC is configured to receive the work piece W from the aligner AN so that a predetermined position on an end effector of the conveying device TU1 and the center position of the work piece W coincide with each other. The position of the end effector of the conveying apparatus TU1 at this time is controlled based on the shift amount of the center position of the to-be-processed object W. As shown in FIG.

도 1로 되돌아가, 로드 록 모듈(LL1) 및 로드 록 모듈(LL2)의 각각은, 로더 모듈(LM)과 트랜스퍼 모듈(TF)의 사이에 마련되어 있다. 로드 록 모듈(LL1) 및 로드 록 모듈(LL2)의 각각은, 예비 감압실을 제공하고 있다.Returning to FIG. 1 , each of the load lock module LL1 and the load lock module LL2 is provided between the loader module LM and the transfer module TF. Each of the load lock module LL1 and the load lock module LL2 provides a preliminary decompression chamber.

트랜스퍼 모듈(TF)은, 로드 록 모듈(LL1) 및 로드 록 모듈(LL2)에 게이트 밸브를 통하여 접속되어 있다. 트랜스퍼 모듈(TF)은, 감압 가능한 감압실을 제공하고 있다. 이 감압실에는, 반송 장치(TU2)가 마련되어 있다. 반송 장치(TU2)는, 예를 들면 다관절 로봇이며, 제어부(MC)에 의하여 제어된다. 반송 장치(TU2)는, 로드 록 모듈(LL1~LL2)과 프로세스 모듈(PM1~PM6)의 사이, 및 프로세스 모듈(PM1~PM6) 중 임의의 2개의 프로세스 모듈 사이에 있어서, 피가공물(W)을 반송하도록 구성되어 있다.The transfer module TF is connected to the load lock module LL1 and the load lock module LL2 via a gate valve. The transfer module TF is providing the decompression chamber which can depressurize. A conveying device TU2 is provided in this decompression chamber. The transfer device TU2 is, for example, an articulated robot, and is controlled by the control unit MC. The conveying device TU2 is between the load lock modules LL1 to LL2 and the process modules PM1 to PM6, and between any two process modules among the process modules PM1 to PM6. WHEREIN: is configured to return

프로세스 모듈(PM1~PM6)은, 트랜스퍼 모듈(TF)에 게이트 밸브를 통하여 접속되어 있다. 프로세스 모듈(PM1~PM6)의 각각은, 피가공물(W)에 대하여 플라즈마 처리와 같은 전용의 처리를 행하도록 구성된 처리 장치이다.The process modules PM1 to PM6 are connected to the transfer module TF via a gate valve. Each of the process modules PM1 to PM6 is a processing apparatus configured to perform a dedicated processing such as plasma processing on the workpiece W. As shown in FIG.

이 처리 시스템(1)에 있어서 피가공물(W)의 처리가 행해질 때의 일련의 동작은 이하와 같이 예시된다. 로더 모듈(LM)의 반송 장치(TU1)가, 용기(4a~4d) 중 어느 하나로부터 피가공물(W)을 취출하여, 당해 피가공물(W)을 얼라이너(AN)에 반송한다. 이어서, 반송 장치(TU1)는, 위치가 조정된 피가공물(W)을 얼라이너(AN)로부터 취출하여, 당해 피가공물(W)을 로드 록 모듈(LL1) 및 로드 록 모듈(LL2) 중 한쪽의 로드 록 모듈에 반송한다. 이어서, 한쪽의 로드 록 모듈이 예비 감압실의 압력을 소정의 압력으로 감압한다. 이어서, 트랜스퍼 모듈(TF)의 반송 장치(TU2)가, 한쪽의 로드 록 모듈로부터 피가공물(W)을 취출하여, 당해 피가공물(W)을 프로세스 모듈(PM1~PM6) 중 어느 하나에 반송한다. 그리고, 프로세스 모듈(PM1~PM6) 중 1 이상의 프로세스 모듈이 피가공물(W)을 처리한다. 그리고, 반송 장치(TU2)가, 처리 후의 피가공물(W)을 프로세스 모듈로부터 로드 록 모듈(LL1) 및 로드 록 모듈(LL2) 중 한쪽의 로드 록 모듈에 반송한다. 이어서, 반송 장치(TU1)가 피가공물(W)을 한쪽의 로드 록 모듈로부터 용기(4a~4d) 중 어느 하나에 반송한다.In this processing system 1, a series of operation|movement when the to-be-processed object W is processed is illustrated as follows. The conveyance apparatus TU1 of the loader module LM takes out the to-be-processed object W from any one of the containers 4a-4d, and conveys the said to-be-processed object W to the aligner AN. Next, the conveying device TU1 takes out the position-adjusted workpiece W from the aligner AN, and transfers the workpiece W to one of the load lock module LL1 and the load lock module LL2. of the load lock module. Then, one load lock module reduces the pressure in the preliminary decompression chamber to a predetermined pressure. Next, the transfer device TU2 of the transfer module TF takes out the work piece W from one of the load lock modules, and transfers the work piece W to any one of the process modules PM1 to PM6. . In addition, one or more process modules among the process modules PM1 to PM6 process the workpiece W. Then, the transfer device TU2 transfers the processed workpiece W from the process module to one of the load lock module LL1 and the load lock module LL2. Next, the conveying apparatus TU1 conveys the to-be-processed object W from one load-lock module to any one of the containers 4a-4d.

이 처리 시스템(1)은, 상술한 바와 같이 제어부(MC)를 구비하고 있다. 제어부(MC)는, 프로세서, 메모리와 같은 기억 장치, 표시 장치, 입출력 장치, 통신 장치 등을 구비하는 컴퓨터일 수 있다. 상술한 처리 시스템(1)의 일련의 동작은, 기억 장치에 기억된 프로그램에 따른 제어부(MC)에 의한 처리 시스템(1)의 각부의 제어에 의하여, 실현되게 되어 있다.This processing system 1 is equipped with the control part MC as mentioned above. The control unit MC may be a computer including a processor, a storage device such as a memory, a display device, an input/output device, a communication device, and the like. The series of operations of the processing system 1 described above are realized by control of each unit of the processing system 1 by the control unit MC according to the program stored in the storage device.

도 3은, 프로세스 모듈(PM1~PM6) 중 어느 하나로서 채용될 수 있는 플라즈마 처리 장치의 일례를 나타내는 도이다. 도 3에 나타내는 플라즈마 처리 장치(10)는, 용량 결합형 플라즈마 에칭 장치이다. 플라즈마 처리 장치(10)는, 대략 원통 형상의 챔버 본체(12)를 구비하고 있다. 챔버 본체(12)는, 예를 들면 알루미늄으로 형성되어 있으며, 그 내벽면에는, 양극 산화 처리가 실시될 수 있다. 이 챔버 본체(12)는 접지되어 있다.3 is a diagram illustrating an example of a plasma processing apparatus that can be employed as any one of the process modules PM1 to PM6. The plasma processing apparatus 10 shown in FIG. 3 is a capacitively coupled plasma etching apparatus. The plasma processing apparatus 10 includes a chamber body 12 having a substantially cylindrical shape. The chamber body 12 is made of, for example, aluminum, and an anodization treatment may be applied to the inner wall surface thereof. This chamber body 12 is grounded.

챔버 본체(12)의 바닥부 상에는, 대략 원통 형상의 지지부(14)가 마련되어 있다. 지지부(14)는, 예를 들면 절연 재료로 구성되어 있다. 지지부(14)는, 챔버 본체(12) 내에 마련되어 있으며, 챔버 본체(12)의 바닥부로부터 상방으로 연장되어 있다. 또, 챔버 본체(12)에 의하여 제공되는 챔버(S) 내에는, 재치대(PD)가 마련되어 있다. 재치대(PD)는, 지지부(14)에 의하여 지지되어 있다.On the bottom part of the chamber main body 12, the substantially cylindrical support part 14 is provided. The support part 14 is comprised with the insulating material, for example. The support part 14 is provided in the chamber main body 12, and extends upward from the bottom part of the chamber main body 12. As shown in FIG. Moreover, in the chamber S provided by the chamber main body 12, the mounting table PD is provided. The mounting table PD is supported by the support part 14 .

재치대(PD)는, 하부 전극(LE) 및 정전 척(ESC)을 갖고 있다. 하부 전극(LE)은, 제1 플레이트(18a) 및 제2 플레이트(18b)를 포함하고 있다. 제1 플레이트(18a) 및 제2 플레이트(18b)는, 예를 들면 알루미늄과 같은 금속으로 구성되어 있으며, 대략 원반 형상을 이루고 있다. 제2 플레이트(18b)는, 제1 플레이트(18a) 상에 마련되어 있고, 제1 플레이트(18a)에 전기적으로 접속되어 있다.The mounting table PD includes a lower electrode LE and an electrostatic chuck ESC. The lower electrode LE includes a first plate 18a and a second plate 18b. The first plate 18a and the second plate 18b are made of, for example, a metal such as aluminum, and have a substantially disk shape. The second plate 18b is provided on the first plate 18a and is electrically connected to the first plate 18a.

제2 플레이트(18b) 상에는, 정전 척(ESC)이 마련되어 있다. 정전 척(ESC)은, 도전막인 전극을 한 쌍의 절연층 또는 절연 시트 사이에 배치한 구조를 갖고 있으며, 대략 원반 형상을 갖고 있다. 정전 척(ESC)의 전극에는, 직류 전원(22)이 스위치(23)를 통하여 전기적으로 접속되어 있다. 이 정전 척(ESC)은, 직류 전원(22)으로부터의 직류 전압에 의하여 발생한 쿨롱력 등의 정전력에 의하여 피가공물(W)을 당해 정전 척(ESC)으로 끌어당긴다. 이로써, 정전 척(ESC)은, 피가공물(W)을 유지할 수 있다.An electrostatic chuck ESC is provided on the second plate 18b. The electrostatic chuck ESC has a structure in which an electrode, which is a conductive film, is disposed between a pair of insulating layers or insulating sheets, and has a substantially disk shape. A DC power supply 22 is electrically connected to the electrode of the electrostatic chuck ESC through a switch 23 . The electrostatic chuck ESC attracts the workpiece W to the electrostatic chuck ESC by an electrostatic force such as a Coulomb force generated by a DC voltage from the DC power supply 22 . Thereby, the electrostatic chuck ESC can hold the to-be-processed object W. As shown in FIG.

제2 플레이트(18b)의 둘레 가장자리부 상에는, 포커스 링(FR)이 마련되어 있다. 이 포커스 링(FR)은, 피가공물(W)의 에지 및 정전 척(ESC)을 둘러싸도록 마련되어 있다. 포커스 링(FR)은, 제1 부분(P1) 및 제2 부분(P2)을 갖고 있다(도 8 참조). 제1 부분(P1) 및 제2 부분(P2)은 환 모양 판 형상을 갖고 있다. 제2 부분(P2)은, 제1 부분(P1) 상에 마련되어 있다. 제2 부분(P2)의 내측 가장자리(P2i)는 제1 부분(P1)의 내측 가장자리(P1i)의 직경보다 큰 직경을 갖고 있다. 피가공물(W)은, 그 에지 영역이 포커스 링(FR)의 제1 부분(P1) 상에 위치하도록, 정전 척(ESC) 상에 재치된다. 이 포커스 링(FR)은, 실리콘, 탄화 규소, 산화 실리콘과 같은 다양한 재료 중 어느 하나로 형성될 수 있다.A focus ring FR is provided on the peripheral portion of the second plate 18b. The focus ring FR is provided so as to surround the edge of the workpiece W and the electrostatic chuck ESC. The focus ring FR has the 1st part P1 and the 2nd part P2 (refer FIG. 8). The first part P1 and the second part P2 have an annular plate shape. The second part P2 is provided on the first part P1 . The inner edge P2i of the second portion P2 has a larger diameter than that of the inner edge P1i of the first portion P1. The workpiece W is mounted on the electrostatic chuck ESC such that its edge region is positioned on the first portion P1 of the focus ring FR. The focus ring FR may be formed of any one of various materials such as silicon, silicon carbide, and silicon oxide.

제2 플레이트(18b)의 내부에는, 냉매 유로(24)가 마련되어 있다. 냉매 유로(24)는, 온도 조절 기구를 구성하고 있다. 냉매 유로(24)에는, 챔버 본체(12)의 외부에 마련된 칠러 유닛으로부터 배관(26a)을 통하여 냉매가 공급된다. 냉매 유로(24)에 공급된 냉매는, 배관(26b)을 통하여 칠러 유닛으로 복귀된다. 이와 같이, 냉매 유로(24)와 칠러 유닛의 사이에서는 냉매가 순환된다. 이 냉매의 온도를 제어함으로써, 정전 척(ESC)에 의하여 지지된 피가공물(W)의 온도가 제어된다.A refrigerant passage 24 is provided inside the second plate 18b. The refrigerant passage 24 constitutes a temperature control mechanism. A refrigerant is supplied to the refrigerant passage 24 from a chiller unit provided outside the chamber body 12 through a pipe 26a. The refrigerant supplied to the refrigerant passage 24 is returned to the chiller unit through the pipe 26b. In this way, the refrigerant circulates between the refrigerant passage 24 and the chiller unit. By controlling the temperature of this refrigerant, the temperature of the workpiece W supported by the electrostatic chuck ESC is controlled.

재치대(PD)에는, 당해 재치대(PD)를 관통하는 복수(예를 들면, 3개)의 관통 구멍(25)이 형성되어 있다. 이들 복수의 관통 구멍(25)에는, 복수 개(예를 들면, 3개)의 리프트 핀(25a)이 각각 삽입되어 있다. 또한, 도 3에 있어서는, 한 개의 리프트 핀(25a)이 삽입된 하나의 관통 구멍(25)이 그려져 있다.The mounting table PD is provided with a plurality of (for example, three) through holes 25 penetrating the mounting table PD. A plurality of (for example, three) lift pins 25a are respectively inserted into the plurality of through holes 25 . In addition, in FIG. 3, one through-hole 25 into which one lift pin 25a was inserted is drawn.

도 4는, 재치대(PD)를 구성하는 정전 척(ESC)을 나타내는 평면도이다. 도 4에 나타내는 바와 같이, 복수의 리프트 핀(25a)은, 정전 척(ESC)의 중심 축선, 즉 재치대(PD)의 중심 축선을 공유하는 원에 직교하고 또한 연직 방향으로 뻗는 복수의 직선을 따라 각각 배치되어 있다. 복수의 리프트 핀(25a)은, 이 중심 축선에 대하여 둘레 방향으로 등간격으로 배치될 수 있다. 이들 리프트 핀(25a)은, 예를 들면 액추에이터에 의하여 승강하는 링크에 지지되어 있다. 리프트 핀(25a)은, 그 선단이 정전 척(ESC)의 상방으로 돌출된 상태에서, 당해 리프트 핀(25a)의 선단에 피가공물(W)을 지지한다. 그러한 후에, 리프트 핀(25a)이 하강함으로써, 피가공물(W)이 정전 척(ESC) 상에 재치된다. 또, 피가공물(W)의 플라즈마 처리 후에는, 리프트 핀(25a)이 상승함으로써, 피가공물(W)이 정전 척(ESC)으로부터 분리된다. 즉, 리프트 핀(25a)은, 피가공물(W)의 로딩 및 언로딩을 위하여 이용된다.4 is a plan view showing the electrostatic chuck ESC constituting the mounting table PD. As shown in FIG. 4 , the plurality of lift pins 25a form a plurality of straight lines perpendicular to a circle sharing the central axis of the electrostatic chuck ESC, that is, the central axis of the mounting table PD, and extending in the vertical direction. They are each arranged accordingly. The plurality of lift pins 25a may be arranged at equal intervals in the circumferential direction with respect to this central axis. These lift pins 25a are supported by, for example, a link that goes up and down by an actuator. The lift pin 25a supports the workpiece W at the tip of the lift pin 25a in a state in which the tip protrudes above the electrostatic chuck ESC. After that, as the lift pins 25a descend, the workpiece W is placed on the electrostatic chuck ESC. In addition, after the plasma processing of the workpiece W, the lift pins 25a rise to separate the workpiece W from the electrostatic chuck ESC. That is, the lift pins 25a are used for loading and unloading the workpiece W. As shown in FIG.

또, 플라즈마 처리 장치(10)에는, 가스 공급 라인(28)이 마련되어 있다. 가스 공급 라인(28)은, 전열 가스 공급 기구로부터의 전열 가스, 예를 들면 He 가스를, 정전 척(ESC)의 상면과 피가공물(W)의 이면의 사이에 공급한다.In addition, the plasma processing apparatus 10 is provided with a gas supply line 28 . The gas supply line 28 supplies a heat transfer gas, for example, He gas, from the heat transfer gas supply mechanism between the upper surface of the electrostatic chuck ESC and the back surface of the workpiece W.

또, 플라즈마 처리 장치(10)는, 상부 전극(30)을 구비하고 있다. 상부 전극(30)은, 재치대(PD)의 상방에 있어서, 당해 재치대(PD)와 대면하도록 배치되어 있다. 상부 전극(30)은, 절연성 차폐 부재(32)를 통하여, 챔버 본체(12)의 상부에 지지되어 있다. 상부 전극(30)은, 천장판(34) 및 지지체(36)를 포함할 수 있다. 천장판(34)은 챔버(S)에 면하고 있다. 당해 천장판(34)에는 복수의 가스 토출 구멍(34a)이 마련되어 있다. 이 천장판(34)은, 실리콘 또는 석영으로 형성될 수 있다. 혹은, 천장판(34)은, 알루미늄제의 모재의 표면에 산화 이트륨과 같은 내플라즈마성의 막을 형성함으로써 구성될 수 있다.Moreover, the plasma processing apparatus 10 is provided with the upper electrode 30 . The upper electrode 30 is disposed above the mounting table PD so as to face the mounting table PD. The upper electrode 30 is supported on the upper part of the chamber body 12 via the insulating shield member 32 . The upper electrode 30 may include a ceiling plate 34 and a support body 36 . The ceiling plate 34 faces the chamber S. The top plate 34 is provided with a plurality of gas discharge holes 34a. The ceiling plate 34 may be formed of silicon or quartz. Alternatively, the ceiling plate 34 may be constituted by forming a plasma-resistant film such as yttrium oxide on the surface of a base material made of aluminum.

지지체(36)는 천장판(34)을 착탈 가능하게 지지한다. 지지체(36)는, 예를 들면 알루미늄과 같은 도전성 재료로 구성될 수 있다. 이 지지체(36)는 수랭 구조를 가질 수 있다. 지지체(36)의 내부에는, 가스 확산실(36a)이 마련되어 있다. 이 가스 확산실(36a)로부터는, 가스 토출 구멍(34a)에 연통되는 복수의 가스 통류 구멍(36b)이 하방으로 뻗어 있다. 또, 지지체(36)에는, 가스 확산실(36a)에 처리 가스를 유도하는 가스 도입구(36c)가 형성되어 있다. 이 가스 도입구(36c)에는, 가스 공급관(38)이 접속되어 있다.The support body 36 detachably supports the ceiling plate 34 . The support 36 may be made of a conductive material such as, for example, aluminum. The support 36 may have a water cooling structure. A gas diffusion chamber 36a is provided inside the support body 36 . A plurality of gas flow holes 36b communicating with the gas discharge holes 34a extend downward from the gas diffusion chamber 36a. In addition, the support body 36 is provided with a gas inlet 36c that guides the processing gas into the gas diffusion chamber 36a. A gas supply pipe 38 is connected to the gas inlet 36c.

가스 공급관(38)에는 밸브군(42) 및 유량 제어기군(44)을 통하여, 가스 소스군(40)이 접속되어 있다. 가스 소스군(40)은, 복수 종의 가스용의 복수의 가스 소스를 포함하고 있다. 밸브군(42)은 복수의 밸브를 포함하고 있다. 유량 제어기군(44)은 매스 플로 컨트롤러와 같은 복수의 유량 제어기를 포함하고 있다. 가스 소스군(40)의 복수의 가스 소스는 각각, 밸브군(42)의 대응하는 밸브 및 유량 제어기군(44)의 대응하는 유량 제어기를 통하여, 가스 공급관(38)에 접속되어 있다.A gas source group 40 is connected to the gas supply pipe 38 via a valve group 42 and a flow rate controller group 44 . The gas source group 40 includes a plurality of gas sources for a plurality of types of gases. The valve group 42 includes a plurality of valves. The flow controller group 44 includes a plurality of flow controllers such as a mass flow controller. The plurality of gas sources of the gas source group 40 are respectively connected to the gas supply pipe 38 via a corresponding valve of the valve group 42 and a corresponding flow rate controller of the flow rate controller group 44 .

또, 플라즈마 처리 장치(10)에서는, 챔버 본체(12)의 내벽을 따라 실드(46)가 착탈 가능하게 마련되어 있다. 실드(46)는, 지지부(14)의 바깥 둘레에도 마련되어 있다. 실드(46)는, 챔버 본체(12)에 에칭 부생물이 부착하는 것을 방지한다. 실드(46)는, 알루미늄재에 산화 이트륨 등의 세라믹스를 피복함으로써 구성될 수 있다.Further, in the plasma processing apparatus 10 , the shield 46 is detachably provided along the inner wall of the chamber body 12 . The shield 46 is also provided on the outer periphery of the support part 14 . The shield 46 prevents etching by-products from adhering to the chamber body 12 . The shield 46 may be constituted by coating an aluminum material with ceramics such as yttrium oxide.

챔버 본체(12)의 바닥부측, 또한 지지부(14)와 챔버 본체(12)의 측벽의 사이에는 배기 플레이트(48)가 마련되어 있다. 배기 플레이트(48)는, 예를 들면 알루미늄재에 산화 이트륨 등의 세라믹스를 피복함으로써 구성될 수 있다. 배기 플레이트(48)에는, 그 판두께 방향으로 관통하는 복수의 구멍이 형성되어 있다. 이 배기 플레이트(48)의 하방, 또한 챔버 본체(12)에는, 배기구(12e)가 마련되어 있다. 배기구(12e)에는, 배기관(52)을 통하여 배기 장치(50)가 접속되어 있다. 배기 장치(50)는, 압력 조정 밸브 및 터보 분자 펌프 등의 진공 펌프를 갖고 있어, 챔버 본체(12) 내의 공간을 원하는 진공도까지 감압할 수 있다. 또, 챔버 본체(12)의 측벽에는 피가공물(W)의 반입 또는 반출을 위한 개구(12g)가 마련되어 있다. 개구(12g)는 게이트 밸브(54)에 의하여 개폐 가능하게 되어 있다.An exhaust plate 48 is provided on the bottom side of the chamber body 12 and between the support 14 and the side wall of the chamber body 12 . The exhaust plate 48 can be constituted, for example, by coating an aluminum material with ceramics such as yttrium oxide. The exhaust plate 48 is provided with a plurality of holes penetrating in the plate thickness direction. An exhaust port 12e is provided below the exhaust plate 48 and in the chamber main body 12 . An exhaust device 50 is connected to the exhaust port 12e via an exhaust pipe 52 . The exhaust device 50 includes a pressure regulating valve and a vacuum pump such as a turbo molecular pump, so that the space in the chamber main body 12 can be reduced to a desired degree of vacuum. Moreover, the opening 12g for carrying in or taking out the to-be-processed object W is provided in the side wall of the chamber main body 12. As shown in FIG. The opening 12g can be opened and closed by the gate valve 54 .

또, 플라즈마 처리 장치(10)는, 제1 고주파 전원(62) 및 제2 고주파 전원(64)을 더 구비하고 있다. 제1 고주파 전원(62)은, 플라즈마 생성용의 제1 고주파를 발생하는 전원이며, 예를 들면 27~100MHz의 주파수를 갖는 고주파를 발생한다. 제1 고주파 전원(62)은, 정합기(66)를 통하여 상부 전극(30)에 접속되어 있다. 정합기(66)는, 제1 고주파 전원(62)의 출력 임피던스와 부하측(상부 전극(30)측)의 입력 임피던스를 정합시키기 위한 회로를 갖고 있다. 또한, 제1 고주파 전원(62)은, 정합기(66)를 통하여 하부 전극(LE)에 접속되어 있어도 된다.In addition, the plasma processing apparatus 10 further includes a first high frequency power supply 62 and a second high frequency power supply 64 . The first high frequency power supply 62 is a power supply that generates a first high frequency wave for plasma generation, and generates a high frequency wave having a frequency of, for example, 27 to 100 MHz. The first high frequency power supply 62 is connected to the upper electrode 30 via a matching device 66 . The matching device 66 has a circuit for matching the output impedance of the first high frequency power supply 62 with the input impedance of the load side (upper electrode 30 side). In addition, the first high frequency power supply 62 may be connected to the lower electrode LE via the matching device 66 .

제2 고주파 전원(64)은, 피가공물(W)에 이온을 인입하기 위한 제2 고주파를 발생하는 전원이며, 예를 들면 400kHz~13.56MHz의 범위 내의 주파수의 고주파를 발생한다. 제2 고주파 전원(64)은, 정합기(68)를 통하여 하부 전극(LE)에 접속되어 있다. 정합기(68)는, 제2 고주파 전원(64)의 출력 임피던스와 부하측(하부 전극(LE)측)의 입력 임피던스를 정합시키기 위한 회로를 갖고 있다.The second high frequency power supply 64 is a power source that generates a second high frequency for drawing ions into the workpiece W, and generates a high frequency frequency within the range of 400 kHz to 13.56 MHz, for example. The second high frequency power supply 64 is connected to the lower electrode LE via a matching unit 68 . The matching device 68 has a circuit for matching the output impedance of the second high frequency power supply 64 with the input impedance of the load side (lower electrode LE side).

이 플라즈마 처리 장치(10)에서는, 복수의 가스 소스 중 선택된 1 이상의 가스 소스로부터의 가스가 챔버(S)에 공급된다. 또, 챔버(S)의 압력이 배기 장치(50)에 의하여 소정의 압력으로 설정된다. 또한, 제1 고주파 전원(62)으로부터의 제1 고주파에 의하여, 챔버(S) 내의 가스가 여기된다. 이로써 플라즈마가 생성된다. 그리고, 발생된 활성종에 의하여 피가공물(W)이 처리된다. 또한, 필요에 따라, 제2 고주파 전원(64)의 제2 고주파에 근거하는 바이어스에 의하여, 피가공물(W)에 이온이 인입되어도 된다.In the plasma processing apparatus 10 , gas from one or more gas sources selected from among a plurality of gas sources is supplied to the chamber S. In addition, the pressure of the chamber S is set to a predetermined pressure by the exhaust device 50 . In addition, the gas in the chamber S is excited by the first high frequency wave from the first high frequency power supply 62 . This creates plasma. Then, the workpiece W is treated by the generated active species. In addition, ions may be drawn into the to-be-processed object W by the bias based on the 2nd radio frequency of the 2nd radio frequency power supply 64 as needed.

이하, 측정기에 대하여 설명한다. 도 5는, 측정기를 예시하는 사시도이다. 도 6은, 도 5에 나타내는 측정기를 바닥면측에서 보아 나타내는 평면도이다. 도 5 및 도 6에 나타내는 측정기(100)는, 베이스 기판(102)을 구비하고 있다. 베이스 기판(102)은, 예를 들면 실리콘으로 형성되어 있으며, 피가공물(W)의 형상과 동일한 형상, 즉 대략 원반 형상을 갖고 있다. 베이스 기판(102)의 직경은, 피가공물(W)의 직경과 동일한 직경이며, 예를 들면 300mm이다. 측정기(100)의 형상 및 치수는, 이 베이스 기판(102)의 형상 및 치수에 의하여 규정된다. 따라서, 측정기(100)는, 피가공물(W)의 형상과 동일한 형상을 갖고, 또한 피가공물(W)의 치수와 동일한 치수를 갖는다. 또, 베이스 기판(102)의 에지에는, 노치(102N)(혹은, 다른 마커)가 형성되어 있다.Hereinafter, the measuring device will be described. 5 is a perspective view illustrating a measuring device. Fig. 6 is a plan view showing the measuring device shown in Fig. 5 when viewed from the bottom side. The measuring device 100 shown in FIGS. 5 and 6 includes a base substrate 102 . The base substrate 102 is made of, for example, silicon, and has the same shape as that of the workpiece W, that is, a substantially disk shape. The diameter of the base substrate 102 is the same diameter as the diameter of the to-be-processed object W, and is 300 mm, for example. The shape and dimensions of the measuring device 100 are defined by the shape and dimensions of the base substrate 102 . Therefore, the measuring device 100 has the same shape as the shape of the to-be-processed object W, and has the same dimension as the dimension of the to-be-processed object W. As shown in FIG. In addition, a notch 102N (or another marker) is formed in the edge of the base substrate 102 .

베이스 기판(102)은, 하측 부분(102a) 및 상측 부분(102b)을 갖고 있다. 하측 부분(102a)은, 측정기(100)가 정전 척(ESC)의 상방에 배치될 때에, 상측 부분(102b)보다 정전 척(ESC)에 가깝게 위치하는 부분이다. 베이스 기판(102)의 하측 부분(102a)에는, 정전 용량 측정용의 복수의 제1 센서(104A~104D)가 마련되어 있다. 다만, 측정기(100)에 마련되는 제1 센서의 개수는, 3개 이상의 임의의 개수일 수 있다. 복수의 제1 센서(104A~104D)는, 베이스 기판(102)의 에지를 따라, 예를 들면 당해 에지의 전체 둘레에 있어서 등간격으로 배열되어 있다. 구체적으로는, 복수의 제1 센서(104A~104D)의 각각의 전측 단면(104f)이 베이스 기판(102)의 하측 부분(102a)의 에지를 따르도록 마련되어 있다.The base substrate 102 has a lower portion 102a and an upper portion 102b. The lower portion 102a is a portion located closer to the electrostatic chuck ESC than the upper portion 102b when the measuring device 100 is disposed above the electrostatic chuck ESC. A plurality of first sensors 104A to 104D for measuring capacitance are provided in the lower portion 102a of the base substrate 102 . However, the number of the first sensors provided in the measuring device 100 may be any number of three or more. The plurality of first sensors 104A to 104D are arranged along the edge of the base substrate 102 at equal intervals, for example, around the entire periphery of the edge. Specifically, each front end surface 104f of the plurality of first sensors 104A to 104D is provided so as to follow the edge of the lower portion 102a of the base substrate 102 .

베이스 기판(102)의 상측 부분(102b)의 상면은, 오목부(102r)를 제공하고 있다. 오목부(102r)는, 중앙 영역(102c) 및 복수의 방사 영역(102h)을 포함하고 있다. 중앙 영역(102c)은, 중심 축선(AX100)과 교차하는 영역이다. 중심 축선(AX100)은, 베이스 기판(102)의 중심을 판두께 방향으로 통과하는 축선이다. 중앙 영역(102c)에는, 회로 기판(106)이 마련되어 있다. 복수의 방사 영역(102h)은, 중앙 영역(102c)으로부터 복수의 제1 센서(104A~104D)가 배치되어 있는 영역의 상방까지 중심 축선(AX100)에 대하여 방사 방향으로 연장되어 있다. 복수의 방사 영역(102h)에는, 배선군(108A~108D)이 마련되어 있다. 배선군(108A~108D)은, 복수의 제1 센서(104A~104D)와 회로 기판(106)을 각각 전기적으로 접속한다. 또한, 복수의 제1 센서(104A~104D)는 베이스 기판(102)의 상측 부분(102b)에 마련되어 있어도 된다.The upper surface of the upper portion 102b of the base substrate 102 is provided with a concave portion 102r. The recessed portion 102r includes a central region 102c and a plurality of radiation regions 102h. The central region 102c is a region that intersects the central axis AX100. The central axis AX100 is an axis passing through the center of the base substrate 102 in the plate thickness direction. A circuit board 106 is provided in the central region 102c. The plurality of radiation regions 102h extend in the radial direction from the central region 102c to above the region in which the plurality of first sensors 104A to 104D are disposed in the radial direction with respect to the central axis line AX100. In the plurality of radiation regions 102h, wiring groups 108A to 108D are provided. The wiring groups 108A to 108D electrically connect the plurality of first sensors 104A to 104D and the circuit board 106 , respectively. In addition, the plurality of first sensors 104A to 104D may be provided in the upper portion 102b of the base substrate 102 .

또, 베이스 기판(102)에는, 정전 용량 측정용의 복수의 제2 센서(105A~105C)가 마련되어 있다. 다만, 측정기(100)에 마련되는 제2 센서의 개수는, 1 이상의 임의의 개수일 수 있다. 일 실시형태에서는, 3개의 제2 센서(105A~105C)가, 베이스 기판(102)의 중심 축선(AX100)을 공유하는 원을 따라, 둘레 방향으로 등간격으로 배치되어 있다. 또한, 제2 센서(105A~105C)의 각각의 후술하는 바닥부 전극과 중심 축선(AX100)의 사이의 거리는, 재치대(PD)의 중심 축선과 리프트 핀(25a)의 각각의 사이의 거리와 대략 일치할 수 있다.In addition, a plurality of second sensors 105A to 105C for capacitance measurement are provided on the base substrate 102 . However, the number of second sensors provided in the measuring device 100 may be any number of 1 or more. In one embodiment, the three second sensors 105A to 105C are arranged at equal intervals in the circumferential direction along a circle sharing the central axis AX100 of the base substrate 102 . In addition, the distance between the center axis line AX100 and the bottom electrode of each of the second sensors 105A to 105C, which will be described later, is the distance between the center axis line of the mounting table PD and each of the lift pins 25a and can roughly match.

이하, 제1 센서에 대하여 상세하게 설명한다. 도 7은, 센서의 일례를 나타내는 사시도이다. 도 8은, 도 7의 VIII-VIII선을 따라 취한 단면도이며, 센서와 함께 측정기의 베이스 기판 및 포커스 링을 나타내고 있다. 도 9는, 도 8의 IX-IX선을 따라 취한 단면도이다. 도 7~도 9에 나타내는 제1 센서(104)는, 측정기(100)의 복수의 제1 센서(104A~104D)로서 이용되는 센서이며, 일례에서는, 칩 형상의 부품으로서 구성되어 있다. 또한, 이하의 설명에서는, XYZ 직교 좌표계를 적절히 참조한다. X방향은, 제1 센서(104)의 전(前)방향을 나타내고 있고, Y방향은, X방향에 직교하는 일 방향으로서 제1 센서(104)의 폭방향을 나타내고 있으며, Z방향은, X방향 및 Y방향에 직교하는 방향으로서 제1 센서(104)의 상방향을 나타내고 있다.Hereinafter, the first sensor will be described in detail. 7 is a perspective view showing an example of a sensor. Fig. 8 is a cross-sectional view taken along line VIII-VIII of Fig. 7, showing the base substrate and focus ring of the measuring instrument together with the sensor. Fig. 9 is a cross-sectional view taken along line IX-IX of Fig. 8 . The 1st sensor 104 shown in FIGS. 7-9 is a sensor used as some 1st sensor 104A-104D of the measuring instrument 100, and is comprised as a chip-shaped component in an example. In addition, in the following description, reference is made to an XYZ rectangular coordinate system suitably. The X direction represents the front direction of the first sensor 104 , the Y direction represents the width direction of the first sensor 104 as one direction orthogonal to the X direction, and the Z direction is X The upward direction of the 1st sensor 104 is shown as a direction orthogonal to a direction and a Y direction.

도 7~도 9에 나타내는 바와 같이, 제1 센서(104)는, 전(前)측 단면(端面)(104f), 상면(104t), 하면(104b), 한 쌍의 측면(104s), 및 후(後)측 단면(104r)을 갖고 있다. 전측 단면(104f)은, X방향에 있어서 제1 센서(104)의 전측 표면을 구성하고 있다. 제1 센서(104)는, 전측 단면(104f)이 중심 축선(AX100)에 대하여 방사 방향을 향하도록, 측정기(100)의 베이스 기판(102)에 탑재된다(도 5 참조). 또, 제1 센서(104)가 베이스 기판(102)에 탑재되어 있는 상태에서는, 전측 단면(104f)은, 베이스 기판(102)의 에지를 따라 연장된다. 따라서, 측정기(100)가 정전 척(ESC) 상에 배치된 상태에서는, 전측 단면(104f)은, 포커스 링(FR)의 내측 가장자리에 대면한다.7 to 9 , the first sensor 104 includes a front end surface 104f, an upper surface 104t, a lower surface 104b, a pair of side surfaces 104s, and It has a rear end surface 104r. The front end surface 104f constitutes the front surface of the first sensor 104 in the X direction. The first sensor 104 is mounted on the base substrate 102 of the measuring instrument 100 so that the front end face 104f faces the radial direction with respect to the central axis AX100 (see FIG. 5 ). In the state where the first sensor 104 is mounted on the base substrate 102 , the front end surface 104f extends along the edge of the base substrate 102 . Accordingly, in the state where the measuring instrument 100 is disposed on the electrostatic chuck ESC, the front end face 104f faces the inner edge of the focus ring FR.

후측 단면(104r)은, X방향에 있어서 제1 센서(104)의 후측 표면을 구성하고 있다. 제1 센서(104)가 베이스 기판(102)에 탑재되어 있는 상태에서는, 후측 단면(104r)은, 전측 단면(104f)보다 중심 축선(AX100)에 가깝게 위치한다. 상면(104t)은 Z방향에 있어서 제1 센서(104)의 상측 표면을 구성하고 있다. 하면(104b)은 Z방향에 있어서 제1 센서(104)의 하측 표면을 구성하고 있다. 또, 한 쌍의 측면(104s)은, Y방향에 있어서 제1 센서(104)의 표면을 구성하고 있다.The rear end surface 104r constitutes the rear surface of the first sensor 104 in the X direction. When the first sensor 104 is mounted on the base substrate 102 , the rear end face 104r is located closer to the central axis AX100 than the front end face 104f . The upper surface 104t constitutes the upper surface of the first sensor 104 in the Z direction. The lower surface 104b constitutes the lower surface of the first sensor 104 in the Z direction. Moreover, a pair of side surface 104s comprises the surface of the 1st sensor 104 in a Y direction.

제1 센서(104)는, 전극(측부 전극)(143)을 갖고 있다. 제1 센서(104)는, 전극(141) 및 전극(142)을 더 갖고 있어도 된다. 전극(141)은, 도체로 형성되어 있다. 전극(141)은, 제1 부분(141a)을 갖고 있다. 도 7 및 도 8에 나타내는 바와 같이, 제1 부분(141a)은, X방향 및 Y방향으로 연장되어 있다.The first sensor 104 has an electrode (side electrode) 143 . The first sensor 104 may further include an electrode 141 and an electrode 142 . The electrode 141 is formed of a conductor. The electrode 141 has a first portion 141a. 7 and 8 , the first portion 141a extends in the X direction and the Y direction.

전극(142)은, 도체로 형성되어 있다. 전극(142)은, 제2 부분(142a)을 갖고 있다. 제2 부분(142a)은, 제1 부분(141a) 위에서 연장되어 있다. 제1 센서(104) 내에 있어서, 전극(142)은, 전극(141)으로부터 절연되어 있다. 도 7 및 도 8에 나타내는 바와 같이, 제2 부분(142a)은, 제1 부분(141a) 위에서, X방향 및 Y방향으로 연장되어 있다.The electrode 142 is formed of a conductor. The electrode 142 has a second portion 142a. The second portion 142a extends above the first portion 141a. In the first sensor 104 , the electrode 142 is insulated from the electrode 141 . 7 and 8 , the second part 142a extends in the X direction and the Y direction on the first part 141a.

전극(143)은, 도체로 형성된 센서 전극이다. 전극(143)은, 전극(141)의 제1 부분(141a) 및 전극(142)의 제2 부분(142a) 위에 마련되어 있다. 전극(143)은, 제1 센서(104) 내에 있어서 전극(141) 및 전극(142)으로부터 절연되어 있다. 전극(143)은, 전면(143f)을 갖고 있다. 이 전면(143f)은, 제1 부분(141a) 및 제2 부분(142a)에 교차되는 방향으로 뻗어 있다. 또, 전면(143f)은, 제1 센서(104)의 전측 단면(104f)을 따라 연장되어 있다. 일 실시형태에서는, 전면(143f)은, 제1 센서(104)의 전측 단면(104f)의 일부를 구성하고 있다. 혹은, 제1 센서(104)는, 전극(143)의 전면(143f)의 전측에 당해 전면(143f)을 덮는 절연막을 갖고 있어도 된다.The electrode 143 is a sensor electrode formed of a conductor. The electrode 143 is provided on the first portion 141a of the electrode 141 and the second portion 142a of the electrode 142 . The electrode 143 is insulated from the electrode 141 and the electrode 142 in the first sensor 104 . The electrode 143 has a front surface 143f. The front surface 143f extends in a direction intersecting the first portion 141a and the second portion 142a. Further, the front surface 143f extends along the front end surface 104f of the first sensor 104 . In one embodiment, the front surface 143f constitutes a part of the front end surface 104f of the first sensor 104 . Alternatively, the first sensor 104 may have an insulating film covering the front surface 143f of the electrode 143 on the front side thereof.

도 7~도 9에 나타내는 바와 같이, 전극(141) 및 전극(142)은, 전극(143)의 전면(143f)이 배치되어 있는 영역의 측(X방향)으로 개구하고, 또한 전극(143)의 주위를 둘러싸도록 연장되어 있어도 된다. 즉, 전극(141) 및 전극(142)은, 전극(143)의 상방, 후방, 및 측방에 있어서, 당해 전극(143)을 둘러싸도록 연장되어 있어도 된다.7 to 9 , the electrode 141 and the electrode 142 are opened to the side (X direction) of the region where the front surface 143f of the electrode 143 is disposed, and the electrode 143 is further opened to the electrode 143 . It may be extended so that it may surround the periphery of. That is, the electrode 141 and the electrode 142 may extend above, behind, and on the side of the electrode 143 so as to surround the electrode 143 .

또, 제1 센서(104)의 전측 단면(104f)은, 소정의 곡률을 갖는 곡면일 수 있다. 이 경우에, 전측 단면(104f)은, 당해 전측 단면의 임의의 위치에서 일정한 곡률을 갖고 있다. 전측 단면(104f)의 곡률은, 측정기(100)의 중심 축선(AX100)과 당해 전측 단면(104f)의 사이의 거리의 역수일 수 있다. 이 제1 센서(104)는, 전측 단면(104f)의 곡률 중심이 중심 축선(AX100)과 일치하도록, 베이스 기판(102)에 탑재된다.Also, the front end surface 104f of the first sensor 104 may be a curved surface having a predetermined curvature. In this case, the front end face 104f has a constant curvature at an arbitrary position in the front end face. The curvature of the front end surface 104f may be the reciprocal of the distance between the central axis AX100 of the measuring instrument 100 and the front end surface 104f. The first sensor 104 is mounted on the base substrate 102 so that the center of curvature of the front end face 104f coincides with the central axis AX100.

또, 제1 센서(104)는, 기판부(144), 절연 영역(146~148), 패드(151~153), 및 바이어(via) 배선(154)을 더 가질 수 있다. 기판부(144)는, 본체부(144m) 및 표층부(144f)를 갖고 있다. 본체부(144m)는, 예를 들면 실리콘으로 형성되어 있다. 표층부(144f)는, 본체부(144m)의 표면을 덮고 있다. 표층부(144f)는, 절연 재료로 형성되어 있다. 표층부(144f)는, 예를 들면 실리콘의 열산화막이다.In addition, the first sensor 104 may further include a substrate portion 144 , insulating regions 146 to 148 , pads 151 to 153 , and via wiring 154 . The board|substrate part 144 has the body part 144m and the surface layer part 144f. The body portion 144m is made of, for example, silicon. The surface layer portion 144f covers the surface of the main body portion 144m. The surface layer portion 144f is made of an insulating material. The surface layer portion 144f is, for example, a thermally oxidized film of silicon.

전극(142)의 제2 부분(142a)은, 기판부(144)의 하방에 있어서 연장되어 있다. 기판부(144)와 전극(142)의 사이에는, 절연 영역(146)이 마련되어 있다. 절연 영역(146)은, 예를 들면 SiO2, SiN, Al2O3, 또는 폴리이미드로 형성되어 있다.The second portion 142a of the electrode 142 extends below the substrate portion 144 . An insulating region 146 is provided between the substrate portion 144 and the electrode 142 . The insulating region 146 is formed of, for example, SiO 2 , SiN, Al 2 O 3 , or polyimide.

전극(141)의 제1 부분(141a)은, 기판부(144) 및 전극(142)의 제2 부분(142a)의 하방에 있어서 연장되어 있다. 전극(141)과 전극(142)의 사이에는 절연 영역(147)이 마련되어 있다. 절연 영역(147)은, 예를 들면 SiO2, SiN, Al2O3, 또는 폴리이미드로 형성되어 있다.The first portion 141a of the electrode 141 extends below the substrate portion 144 and the second portion 142a of the electrode 142 . An insulating region 147 is provided between the electrode 141 and the electrode 142 . The insulating region 147 is formed of, for example, SiO 2 , SiN, Al 2 O 3 , or polyimide.

절연 영역(148)은, 제1 센서(104)의 상면(104t)을 구성하고 있다. 절연 영역(148)은, 예를 들면 SiO2, SiN, Al2O3, 또는 폴리이미드로 형성되어 있다. 이 절연 영역(148)에는, 패드(151~153)가 형성되어 있다. 패드(153)는, 도체로 형성되어 있고, 전극(143)에 접속되어 있다. 구체적으로는, 절연 영역(146), 전극(142), 절연 영역(147), 및 전극(141)을 관통하는 바이어 배선(154)에 의하여, 전극(143)과 패드(153)가 서로 접속되어 있다. 바이어 배선(154)의 주위에는 절연체가 마련되어 있으며, 당해 바이어 배선(154)은 전극(141) 및 전극(142)으로부터 절연되어 있다. 패드(153)는, 베이스 기판(102) 내에 마련된 바이어 배선(123), 및 오목부(102r)의 방사 영역(102h)에 마련된 배선(183)을 통하여 회로 기판(106)에 접속되어 있다. 패드(151) 및 패드(152)도 마찬가지로 도체로 형성되어 있다. 패드(151) 및 패드(152)는 각각, 대응하는 바이어 배선을 통하여, 전극(141), 전극(142)에 접속되어 있다. 또, 패드(151) 및 패드(152)는, 베이스 기판(102)에 마련된 대응하는 바이어 배선 및 오목부(102r)의 방사 영역(102h)에 마련된 대응하는 배선을 통하여 회로 기판(106)에 접속된다.The insulating region 148 constitutes the upper surface 104t of the first sensor 104 . The insulating region 148 is formed of, for example, SiO 2 , SiN, Al 2 O 3 , or polyimide. Pads 151 to 153 are formed in this insulating region 148 . The pad 153 is formed of a conductor and is connected to the electrode 143 . Specifically, the electrode 143 and the pad 153 are connected to each other by the via wiring 154 penetrating the insulating region 146 , the electrode 142 , the insulating region 147 , and the electrode 141 . there is. An insulator is provided around the via wiring 154 , and the via wiring 154 is insulated from the electrode 141 and the electrode 142 . The pad 153 is connected to the circuit board 106 via a via wiring 123 provided in the base substrate 102 and a wiring 183 provided in the radiation region 102h of the recessed portion 102r. The pad 151 and the pad 152 are also formed of a conductor. The pads 151 and 152 are respectively connected to the electrodes 141 and 142 via corresponding via wirings. Further, the pad 151 and the pad 152 are connected to the circuit board 106 via the corresponding via wiring provided in the base substrate 102 and the corresponding wiring provided in the radiation region 102h of the recessed portion 102r. do.

이하, 제2 센서에 대하여 상세하게 설명한다. 도 10은, 도 6의 X-X선을 따라 취한 단면도이다. 또한, 도 10에서는, 리프트 핀(25a)에 의하여 측정기(100)가 지지되어 있는 상태를 나타내고 있다. 이하, 도 5, 도 6, 및 도 10을 참조한다. 제2 센서(105A~105C)의 각각은, 바닥부 전극(161)을 포함하고 있다. 일 실시형태에서는, 제2 센서(105A~105C)의 각각은, 주변 전극(162a~162d), 및 관통 전극(165a~165e)을 더 포함하고 있다. 바닥부 전극(161) 및 주변 전극(162a~162d)은, 베이스 기판(102)의 바닥면을 따라 형성되어 있다. 관통 전극(165a~165e)은, 베이스 기판(102)을 관통하고 있다. 바닥부 전극(161), 주변 전극(162a~162d), 및 관통 전극(165a~165e)은 도체로 형성되어 있다.Hereinafter, the second sensor will be described in detail. FIG. 10 is a cross-sectional view taken along line X-X in FIG. 6 . In addition, in FIG. 10, the state in which the measuring instrument 100 is supported by the lift pin 25a is shown. Hereinafter, reference is made to FIGS. 5, 6, and 10 . Each of the second sensors 105A to 105C includes a bottom electrode 161 . In one embodiment, each of the second sensors 105A to 105C further includes peripheral electrodes 162a to 162d and through electrodes 165a to 165e. The bottom electrode 161 and the peripheral electrodes 162a to 162d are formed along the bottom surface of the base substrate 102 . The through electrodes 165a to 165e penetrate the base substrate 102 . The bottom electrode 161, the peripheral electrodes 162a to 162d, and the through electrodes 165a to 165e are formed of a conductor.

바닥부 전극(161)은, 원 형상을 가질 수 있다. 바닥부 전극(161)의 크기는, 예를 들면 리프트 핀(25a)의 상단면의 크기와 대략 동일하다. 주변 전극(162a~162d)은, 바닥부 전극(161)을 둘러싸는 원 상에 배열되어 있다. 주변 전극(162a~162d)의 각각은, 바닥부 전극(161)의 중심을 공유하고 또한 다른 반경을 갖는 2개의 원호에 의하여 규정되는 평면 형상을 갖고 있다. 또, 주변 전극(162a~162d)은, 바닥부 전극(161)의 중심에 대하여 둘레 방향으로 배열되어 있다. 베이스 기판(102)의 바닥면에는, 절연막(169)이 형성되어 있다. 절연막(169)은, 바닥부 전극(161) 및 주변 전극(162a~162d)을 덮고 있다. 이 절연막(169)은, 예를 들면 SiO2, SiN, Al2O3, 또는 폴리이미드로 형성되어 있다.The bottom electrode 161 may have a circular shape. The size of the bottom electrode 161 is, for example, approximately the same as the size of the top surface of the lift pin 25a. The peripheral electrodes 162a to 162d are arranged on a circle surrounding the bottom electrode 161 . Each of the peripheral electrodes 162a to 162d has a planar shape defined by two arcs that share the center of the bottom electrode 161 and have different radii. In addition, the peripheral electrodes 162a to 162d are arranged in the circumferential direction with respect to the center of the bottom electrode 161 . An insulating film 169 is formed on the bottom surface of the base substrate 102 . The insulating film 169 covers the bottom electrode 161 and the peripheral electrodes 162a to 162d. The insulating film 169 is made of, for example, SiO 2 , SiN, Al 2 O 3 , or polyimide.

복수의 관통 전극(165a~165e)의 일단은, 주변 전극(162a~162d) 및 바닥부 전극(161)에 각각 접속되어 있다. 또, 복수의 관통 전극(165a~165e)의 각각의 타단은, 회로 기판(106)에 전기적으로 접속되어 있다(도 5 참조). 복수의 관통 전극(165a~165e)은, 예를 들면 TSV(Through-Silicon Via) 기술을 이용하여 형성될 수 있다.One end of the plurality of through electrodes 165a to 165e is respectively connected to the peripheral electrodes 162a to 162d and the bottom electrode 161 . Further, the other end of each of the plurality of through electrodes 165a to 165e is electrically connected to the circuit board 106 (refer to FIG. 5 ). The plurality of through electrodes 165a to 165e may be formed using, for example, a through-silicon via (TSV) technology.

이하, 회로 기판(106)의 구성에 대하여 설명한다. 도 11은, 측정기의 회로 기판의 구성을 예시하는 도이다. 도 11에 나타내는 바와 같이, 회로 기판(106)은, 고주파 발진기(171), 복수의 C/V 변환 회로(172A~172D), 복수의 C/V 변환 회로(180A~180O), A/D 변환기(173), 프로세서(174), 기억 장치(175), 통신 장치(176), 및 전원(177)을 갖고 있다.Hereinafter, the structure of the circuit board 106 is demonstrated. 11 : is a figure which illustrates the structure of the circuit board of a measuring instrument. 11 , the circuit board 106 includes a high-frequency oscillator 171 , a plurality of C/V conversion circuits 172A to 172D, a plurality of C/V conversion circuits 180A to 180O, and an A/D converter. 173 , a processor 174 , a storage device 175 , a communication device 176 , and a power supply 177 .

복수의 제1 센서(104A~104D)의 각각은, 복수의 배선군(108A~108D) 중 대응하는 배선군을 통하여 회로 기판(106)에 접속되어 있다. 또, 복수의 제1 센서(104A~104D)의 각각은, 대응하는 배선군에 포함되는 몇 개의 배선을 통하여, 복수의 C/V 변환 회로(172A~172D) 중 대응하는 C/V 변환 회로에 접속되어 있다. 또, 복수의 제2 센서(105A~105C)의 각각은, 복수의 배선(184)을 통하여, 복수의 C/V 변환 회로(180A~180O) 중 대응하는 C/V 변환 회로(일 실시형태에서는 5개의 C/V 변환 회로)에 접속되어 있다. 이하, 복수의 제1 센서(104A~104D)의 각각과 동일 구성 중 하나인 제1 센서(104), 복수의 배선군(108A~108D)의 각각과 동일 구성 중 하나인 배선군(108), 복수의 C/V 변환 회로(172A~172D)의 각각과 동일 구성 중 하나인 C/V 변환 회로(172), 복수의 제2 센서(105A~105C)의 각각과 동일 구성 중 하나인 제2 센서(105), 및 복수의 C/V 변환 회로(180A~180O)의 각각과 동일 구성 중 하나인 C/V 변환 회로(180)에 대하여 설명한다.Each of the plurality of first sensors 104A to 104D is connected to the circuit board 106 via a corresponding wiring group among the plurality of wiring groups 108A to 108D. In addition, each of the plurality of first sensors 104A to 104D is connected to a corresponding C/V conversion circuit among the plurality of C/V conversion circuits 172A to 172D via some wiring included in the corresponding wiring group. connected. In addition, each of the plurality of second sensors 105A to 105C is connected to a corresponding C/V conversion circuit (in one embodiment, from among the plurality of C/V conversion circuits 180A to 180O) via a plurality of wirings 184 . 5 C/V conversion circuits). Hereinafter, a first sensor 104 having one of the same configuration as each of the plurality of first sensors 104A to 104D, a wiring group 108 having one of the same configuration as each of the plurality of wiring groups 108A to 108D; C/V conversion circuit 172 having one of the same configuration as each of the plurality of C/V conversion circuits 172A to 172D, and a second sensor having one of the same configuration as each of the plurality of second sensors 105A to 105C (105) and the C/V conversion circuit 180, which is one of the same configuration as each of the plurality of C/V conversion circuits 180A to 180O, will be described.

배선군(108)은, 배선(181~183)을 포함하고 있다. 배선(181)의 일단은, 전극(141)에 접속된 패드(151)에 접속되어 있다. 이 배선(181)은, 회로 기판(106)의 그라운드(GC)에 접속된 그라운드 전위선(GL)에 접속되어 있다. 또한, 배선(181)은, 그라운드 전위선(GL)에 스위치(SWG)를 통하여 접속되어 있어도 된다. 또, 배선(182)의 일단은, 전극(142)에 접속된 패드(152)에 접속되어 있으며, 배선(182)의 타단은 C/V 변환 회로(172)에 접속되어 있다. 또, 배선(183)의 일단은, 전극(143)에 접속된 패드(153)에 접속되어 있으며, 배선(183)의 타단은 C/V 변환 회로(172)에 접속되어 있다.The wiring group 108 includes wirings 181 to 183 . One end of the wiring 181 is connected to the pad 151 connected to the electrode 141 . This wiring 181 is connected to the ground potential line GL connected to the ground GC of the circuit board 106 . In addition, the wiring 181 may be connected to the ground potential line GL via the switch SWG. Further, one end of the wiring 182 is connected to the pad 152 connected to the electrode 142 , and the other end of the wiring 182 is connected to the C/V conversion circuit 172 . Further, one end of the wiring 183 is connected to the pad 153 connected to the electrode 143 , and the other end of the wiring 183 is connected to the C/V conversion circuit 172 .

제2 센서(105)의 주변 전극(162a~162d) 및 바닥부 전극(161)은, 회로 기판(106)에 대하여 개별적으로 접속되어 있다. 즉, 주변 전극(162a~162d)에 각각 접속된 관통 전극(165a~165d)과, 바닥부 전극(161)에 접속된 관통 전극(165e)은, 개별적인 배선(184)을 통하여 복수의 C/V 변환 회로(180)(일 실시형태에서는 5개의 C/V 변환 회로)에 각각 접속되어 있다.The peripheral electrodes 162a to 162d and the bottom electrode 161 of the second sensor 105 are individually connected to the circuit board 106 . That is, the through electrodes 165a to 165d respectively connected to the peripheral electrodes 162a to 162d and the through electrodes 165e connected to the bottom electrode 161 are connected to a plurality of C/V through individual wirings 184 . They are respectively connected to the conversion circuit 180 (five C/V conversion circuits in one embodiment).

고주파 발진기(171)는, 배터리와 같은 전원(177)에 접속되어 있으며, 당해 전원(177)으로부터의 전력을 받아 고주파 신호를 발생하도록 구성되어 있다. 또한, 전원(177)은, 프로세서(174), 기억 장치(175), 및 통신 장치(176)에도 접속되어 있다. 고주파 발진기(171)는, 복수의 출력선을 갖고 있다. 고주파 발진기(171)는, 발생한 고주파 신호를 복수의 출력선을 통하여, 배선(182), 배선(183), 및 배선(184)에 부여하도록 되어 있다. 따라서, 고주파 발진기(171)는, 제1 센서(104)의 전극(142) 및 전극(143)에 전기적으로 접속되어 있으며, 당해 고주파 발진기(171)로부터의 고주파 신호는, 전극(142) 및 전극(143)에 부여되도록 되어 있다. 또, 고주파 발진기(171)는, 제2 센서(105)의 바닥부 전극(161) 및 주변 전극(162a~162d)에 전기적으로 접속되어 있으며, 당해 고주파 발진기(171)로부터의 고주파 신호는, 바닥부 전극(161) 및 주변 전극(162a~162d)에 부여되도록 되어 있다.The high frequency oscillator 171 is connected to a power source 177 such as a battery, and is configured to receive power from the power source 177 to generate a high frequency signal. The power supply 177 is also connected to the processor 174 , the storage device 175 , and the communication device 176 . The high frequency oscillator 171 has a plurality of output lines. The high frequency oscillator 171 applies the generated high frequency signal to the wiring 182 , the wiring 183 , and the wiring 184 via a plurality of output lines. Accordingly, the high-frequency oscillator 171 is electrically connected to the electrodes 142 and 143 of the first sensor 104 , and the high-frequency signal from the high-frequency oscillator 171 receives the electrodes 142 and the electrodes. (143) is to be given. Further, the high frequency oscillator 171 is electrically connected to the bottom electrode 161 and the peripheral electrodes 162a to 162d of the second sensor 105 , and the high frequency signal from the high frequency oscillator 171 is It is provided to the sub-electrode 161 and the peripheral electrodes 162a to 162d.

C/V 변환 회로(172)의 입력에는 배선(182) 및 배선(183)이 접속되어 있다. 즉, C/V 변환 회로(172)의 입력에는, 제1 센서(104)의 전극(142) 및 전극(143)이 접속되어 있다. 또, 복수의 C/V 변환 회로(180)의 입력에는, 바닥부 전극(161) 및 주변 전극(162a~162d)이 각각 접속되어 있다. C/V 변환 회로(172) 및 C/V 변환 회로(180)의 각각은, 그 입력에 있어서의 전압 진폭으로부터, 전압 신호를 생성한다. 전압 신호는, 당해 입력에 접속된 전극의 정전 용량을 나타낸다. C/V 변환 회로(172) 및 C/V 변환 회로(180)의 각각은, 당해 전압 신호를 출력한다. 또한, C/V 변환 회로(172)에 접속된 전극의 정전 용량이 클수록, 당해 C/V 변환 회로(172)가 출력하는 전압 신호의 전압의 크기는 커진다. 마찬가지로, C/V 변환 회로(180)에 접속된 전극의 정전 용량이 클수록, 당해 C/V 변환 회로(180)가 출력하는 전압 신호의 전압의 크기는 커진다.A wiring 182 and a wiring 183 are connected to the input of the C/V conversion circuit 172 . That is, the electrode 142 and the electrode 143 of the first sensor 104 are connected to the input of the C/V conversion circuit 172 . In addition, the bottom electrode 161 and the peripheral electrodes 162a to 162d are respectively connected to the inputs of the plurality of C/V conversion circuits 180 . Each of the C/V conversion circuit 172 and the C/V conversion circuit 180 generates a voltage signal from the voltage amplitude at its input. The voltage signal represents the capacitance of the electrode connected to the input. Each of the C/V conversion circuit 172 and the C/V conversion circuit 180 outputs the voltage signal. In addition, as the electrostatic capacitance of the electrode connected to the C/V conversion circuit 172 increases, the magnitude of the voltage of the voltage signal output by the C/V conversion circuit 172 increases. Similarly, the larger the capacitance of the electrode connected to the C/V conversion circuit 180, the larger the voltage of the voltage signal output from the C/V conversion circuit 180 becomes.

A/D 변환기(173)의 입력에는, 복수의 C/V 변환 회로(172A~172D) 및 복수의 C/V 변환 회로(180A~180O)의 출력이 접속되어 있다. 또, A/D 변환기(173)는, 프로세서(174)에 접속되어 있다. A/D 변환기(173)는, 프로세서(174)로부터의 제어 신호에 의하여 제어되고, 복수의 C/V 변환 회로(172A~172D)의 출력 신호(전압 신호) 및 복수의 C/V 변환 회로(180A~180O)의 출력 신호(전압 신호)를 디지털값으로 변환한다. 즉, A/D 변환기(173)는, 제1 센서(104A~104D)의 각각의 전극(143)의 정전 용량을 나타내는 제1 측정값을 생성한다. 또, A/D 변환기(173)는, 제2 센서(105A~105C)의 각각의 바닥부 전극(161)의 정전 용량을 나타내는 제2 측정값을 생성함과 함께, 제2 센서(105A~105C)의 각각의 주변 전극(162a~162d) 각각의 정전 용량을 나타내는 복수의 제3 측정값을 생성한다. A/D 변환기(173)는, 제1 측정값, 제2 측정값, 및 제3 측정값을 프로세서(174)에 출력한다.The outputs of the plurality of C/V conversion circuits 172A to 172D and the plurality of C/V conversion circuits 180A to 1800 are connected to the input of the A/D converter 173 . In addition, the A/D converter 173 is connected to the processor 174 . The A/D converter 173 is controlled by a control signal from the processor 174, and the output signals (voltage signals) of the plurality of C/V conversion circuits 172A to 172D and the plurality of C/V conversion circuits ( The output signal (voltage signal) of 180A~180O) is converted into a digital value. That is, the A/D converter 173 generates a first measured value indicative of the capacitance of each electrode 143 of the first sensors 104A to 104D. In addition, the A/D converter 173 generates a second measured value indicative of the capacitance of each of the bottom electrodes 161 of the second sensors 105A to 105C, and the second sensors 105A to 105C. ) generate a plurality of third measurements indicative of the capacitance of each of the respective peripheral electrodes 162a - 162d. The A/D converter 173 outputs the first measured value, the second measured value, and the third measured value to the processor 174 .

프로세서(174)에는 기억 장치(175)가 접속되어 있다. 기억 장치(175)는, 휘발성 메모리와 같은 기억 장치이며, 후술하는 측정 데이터를 기억하도록 구성되어 있다. 또, 프로세서(174)에는, 다른 기억 장치(178)가 접속되어 있다. 기억 장치(178)는, 불휘발성 메모리와 같은 기억 장치이며, 프로세서(174)에 의하여 읽어 들여져 실행되는 프로그램이 기억되어 있다.A storage device 175 is connected to the processor 174 . The storage device 175 is a storage device such as a volatile memory, and is configured to store measurement data to be described later. In addition, another storage device 178 is connected to the processor 174 . The storage device 178 is a storage device such as a nonvolatile memory, and a program read and executed by the processor 174 is stored therein.

통신 장치(176)는, 임의의 무선 통신 규격에 준거한 통신 장치이다. 예를 들면, 통신 장치(176)는, 블루투스(Bluetooth)(등록상표)에 준거하고 있다. 통신 장치(176)는, 기억 장치(175)에 기억되어 있는 측정 데이터를 무선 송신하도록 구성되어 있다.The communication device 176 is a communication device that complies with any radio communication standard. For example, the communication device 176 is compliant with Bluetooth (registered trademark). The communication device 176 is configured to wirelessly transmit the measurement data stored in the storage device 175 .

프로세서(174)는, 상술한 프로그램을 실행함으로써, 측정기(100)의 각부를 제어하도록 구성되어 있다. 예를 들면, 프로세서(174)는, 전극(142), 전극(143), 바닥부 전극(161), 및 주변 전극(162a~162d)에 대한 고주파 발진기(171)로부터의 고주파 신호의 공급, 기억 장치(175)에 대한 전원(177)으로부터의 전력 공급, 통신 장치(176)에 대한 전원(177)으로부터의 전력 공급 등을 제어하도록 되어 있다. 또한, 프로세서(174)는, 상술한 프로그램을 실행함으로써, 제1~제3 측정값의 취득, 제1~제3 측정값의 기억 장치(175)에 대한 기억, 및 제1~제3 측정값의 송신 등을 실행하도록 되어 있다.The processor 174 is configured to control each part of the measuring instrument 100 by executing the above-described program. For example, the processor 174 supplies and stores a high frequency signal from the high frequency oscillator 171 to the electrode 142 , the electrode 143 , the bottom electrode 161 , and the peripheral electrodes 162a to 162d . and to control power supply from power source 177 to device 175 , power supply from power source 177 to communication device 176 , and the like. In addition, the processor 174 executes the above-described program, whereby the first to third measured values are acquired, the first to third measured values are stored in the storage device 175 , and the first to third measured values are acquired. transmission, etc.

이상 설명한 측정기(100)에서는, 제1 센서(104A~104D)에 의하여 제공되는 복수의 전극(143)(측부 전극)이 베이스 기판(102)의 에지를 따라 배열되어 있다. 측정기(100)가 포커스 링(FR)에 의하여 둘러싸인 영역에 배치되어 있는 상태에서는, 복수의 전극(143)은 포커스 링(FR)의 내측 가장자리와 대면한다. 이들 전극(143)에 있어서의 전압 진폭으로부터 생성되는 복수의 제1 측정값은, 복수의 전극(143) 각각과 포커스 링의 사이의 거리를 반영하는 정전 용량을 나타내고 있다. 또한, 정전 용량 C는, C=εS/d로 나타난다. ε은 전극(143)의 전면(143f)과 포커스 링(FR)의 내측 가장자리의 사이의 매질의 유전율이며, S는 전극(143)의 전면(143f)의 면적이고, d는 전극(143)의 전면(143f)과 포커스 링(FR)의 내측 가장자리의 사이의 거리라고 간주할 수 있다. 따라서, 측정기(100)에 의하면, 피가공물(W)을 모방한 당해 측정기(100)와 포커스 링(FR)의 상대적인 위치 관계를 반영하는 측정 데이터가 얻어진다. 예를 들면, 측정기(100)에 의하여 취득되는 복수의 제1 측정값은, 전극(143)의 전면(143f)과 포커스 링(FR)의 내측 가장자리의 사이의 거리가 커질수록 작아진다.In the measuring instrument 100 described above, the plurality of electrodes 143 (side electrodes) provided by the first sensors 104A to 104D are arranged along the edge of the base substrate 102 . In a state in which the measuring device 100 is disposed in a region surrounded by the focus ring FR, the plurality of electrodes 143 face the inner edge of the focus ring FR. The plurality of first measurement values generated from the voltage amplitudes in these electrodes 143 represent electrostatic capacitance reflecting the distance between each of the plurality of electrodes 143 and the focus ring. Incidentally, the capacitance C is expressed by C=εS/d. ε is the dielectric constant of the medium between the front surface 143f of the electrode 143 and the inner edge of the focus ring FR, S is the area of the front surface 143f of the electrode 143, and d is the electrode 143 It can be regarded as the distance between the front surface 143f and the inner edge of the focus ring FR. Therefore, according to the measuring device 100, measurement data reflecting the relative positional relationship between the measuring device 100 imitating the workpiece W and the focus ring FR is obtained. For example, the plurality of first measurement values acquired by the measuring device 100 becomes smaller as the distance between the front surface 143f of the electrode 143 and the inner edge of the focus ring FR increases.

또, 측정기(100)에서는, 제2 센서(105A~105C) 각각의 바닥부 전극(161)이, 베이스 기판(102)의 바닥면을 따라 배치되어 있다. 바닥부 전극(161)에 있어서의 전압 진폭으로부터 생성되는 제2 측정값은, 바닥부 전극(161)과 측정기(100)의 하방에 있는 물체의 사이의 정전 용량을 나타내고 있다. 즉, 제2 측정값은, 바닥부 전극(161)과 측정기(100)의 하방에 있는 물체의 상대적 위치 관계를 반영하고 있다. 일 실시형태에서는, 제2 측정값은, 바닥부 전극(161)과 측정기(100)의 하방에 있는 물체인 리프트 핀(25a)의 상대적 위치 관계를 반영하고 있다. 구체적으로는, 제2 측정값은, 바닥부 전극(161)과 리프트 핀(25a)의 선단이 대면하고 있을 때에는 커진다. 한편, 제2 측정값은, 바닥부 전극(161)의 위치가 리프트 핀(25a)의 선단 위치로부터 어긋나 있는 경우에는 작아진다. 상술한 바와 같이, 제2 센서(105A~105C)의 각각의 바닥부 전극(161)과 측정기(100)의 중심 축선(AX100)의 사이의 위치 관계는, 리프트 핀(25a)의 각각과 재치대(PD)의 중심 축선의 위치 관계와 대략 일치하고 있다. 따라서, 제2 측정값이 소정값 이상의 값인 경우에는, 리프트 핀(25a)의 하강에 의하여 측정기(100)는, 포커스 링(FR)에 의하여 둘러싸인 영역에 배치된 것이라고 확인될 수 있다. 따라서, 제2 측정값에 의하면, 측정기(100)가 포커스 링(FR)에 둘러싸인 영역 내에서 재치대(PD) 상에 배치되었는지 여부를 확인할 수 있다. 이러한 제2 측정값을 이용함으로써, 상술한 제1 측정값의 신뢰성을 확인하는 것이 가능하게 된다. 따라서, 측정기(100)에 의하면, 피가공물(W)을 모방한 당해 측정기(100)와 포커스 링(FR)의 위치 관계를 반영하는 신뢰성이 높은 데이터를 취득하는 것이 가능하게 된다.In addition, in the measuring device 100 , the bottom electrode 161 of each of the second sensors 105A to 105C is disposed along the bottom surface of the base substrate 102 . The second measured value generated from the voltage amplitude at the bottom electrode 161 represents the electrostatic capacitance between the bottom electrode 161 and an object located below the measuring instrument 100 . That is, the second measured value reflects the relative positional relationship between the bottom electrode 161 and the object located below the measuring device 100 . In one embodiment, the second measured value reflects the relative positional relationship between the bottom electrode 161 and the lift pin 25a, which is an object under the measuring device 100 . Specifically, the second measured value increases when the bottom electrode 161 and the tip of the lift pin 25a face each other. On the other hand, the second measured value becomes small when the position of the bottom electrode 161 is deviated from the tip position of the lift pin 25a. As described above, the positional relationship between the bottom electrode 161 of each of the second sensors 105A to 105C and the central axis AX100 of the measuring instrument 100 is, each of the lift pins 25a and the mounting table (PD) approximately coincides with the positional relationship of the central axis. Accordingly, when the second measured value is equal to or greater than the predetermined value, it may be confirmed that the measuring device 100 is disposed in the area surrounded by the focus ring FR by the lowering of the lift pin 25a. Accordingly, according to the second measurement value, it may be confirmed whether the measuring device 100 is disposed on the mounting table PD within the area surrounded by the focus ring FR. By using this second measured value, it becomes possible to confirm the reliability of the above-described first measured value. Therefore, according to the measuring device 100, it becomes possible to acquire highly reliable data reflecting the positional relationship between the measuring device 100 and the focus ring FR imitating the workpiece W.

또, 제2 센서(105A~105C)의 각각에는, 바닥부 전극(161)을 둘러싸도록 주변 전극(162a~162d)이 마련되어 있다. 이들 주변 전극(162a~162d)의 각각에 있어서의 전압 진폭으로부터 구해지는 복수의 제3 측정값을 제2 측정값과 함께 이용함으로써, 측정기(100)가 포커스 링(FR)에 둘러싸인 영역 내에서 재치대(PD) 상에 배치되었는지 여부를 보다 정확하게 확인할 수 있다.In addition, peripheral electrodes 162a to 162d are provided in each of the second sensors 105A to 105C so as to surround the bottom electrode 161 . By using a plurality of third measured values obtained from the voltage amplitudes in each of these peripheral electrodes 162a to 162d together with the second measured values, the measuring instrument 100 is placed in the region surrounded by the focus ring FR. Whether or not it is placed on the PD can be more accurately confirmed.

또, 상술한 바와 같이, 측정기(100)에 탑재되는 제1 센서(104)에서는, 전극(143)(센서 전극)이, 전극(141) 위에 마련되어 있으며, 전극(141)과 전극(143)의 사이에는 전극(142)의 제2 부분이 개재되어 있다. 이 제1 센서(104)의 이용 시에는, 스위치(SWG)가 폐쇄되어 전극(141)의 전위가 그라운드 전위로 설정된다. 그리고, 전극(142)과 전극(143)에 고주파 신호가 공급된다. 이때, 전극(143)의 전압 진폭은, 당해 전극(143)에 대하여 전극(141)이 마련되어 있는 방향, 즉 제1 센서(104)의 하방으로부터의 정전 용량의 영향을 받지 않고, 특정 방향, 즉 전극(143)의 전면(143f)이 향하고 있는 방향(X방향)에 있어서의 정전 용량을 반영한 전압 진폭이 된다. 따라서, 제1 센서(104)에 의하면, 특정 방향으로 높은 지향성을 갖고 정전 용량을 측정하는 것이 가능하게 된다.In addition, as described above, in the first sensor 104 mounted on the measuring device 100 , the electrode 143 (sensor electrode) is provided on the electrode 141 , and A second portion of the electrode 142 is interposed therebetween. When the first sensor 104 is used, the switch SWG is closed so that the potential of the electrode 141 is set to the ground potential. Then, a high-frequency signal is supplied to the electrode 142 and the electrode 143 . At this time, the voltage amplitude of the electrode 143 is not affected by the electrostatic capacitance from the direction in which the electrode 141 is provided with respect to the electrode 143 , that is, below the first sensor 104 , but in a specific direction, that is, It becomes the voltage amplitude reflecting the electrostatic capacitance in the direction (X direction) which the front surface 143f of the electrode 143 faces. Therefore, according to the first sensor 104, it becomes possible to measure the capacitance with high directivity in a specific direction.

또, 전극(141) 및 전극(142)은, 전극(143)의 전면이 배치되어 있는 영역의 측(X방향)으로 개구하고, 또한 전극(143)의 주위를 둘러싸도록 연장되어 있다. 따라서, 전극(141) 및 전극(142)에 의하여, 전극(143)이 특정 방향 이외의 방향에 대하여 차폐된다. 따라서, 정전 용량의 측정에 있어서, 특정 방향에 대한 제1 센서(104)의 지향성이 더 향상된다.Moreover, the electrode 141 and the electrode 142 are opened to the side (X direction) of the area|region where the front surface of the electrode 143 is arrange|positioned, and extend so that it may surround the periphery of the electrode 143 . Accordingly, by the electrode 141 and the electrode 142 , the electrode 143 is shielded in a direction other than a specific direction. Accordingly, in the measurement of the capacitance, the directivity of the first sensor 104 with respect to a specific direction is further improved.

또, 제1 센서(104)의 전측 단면(104f)은 소정의 곡률을 갖는 곡면으로서 구성되어 있고, 전극(143)의 전면(143f)은, 전측 단면(104f)을 따라 연장되어 있다. 따라서, 전극(143)의 전면(143f)의 각 위치와 포커스 링(FR)의 내측 가장자리의 사이의 직경 방향의 거리를 대략 등거리로 설정할 수 있다. 따라서, 정전 용량의 측정의 정밀도가 더 향상된다.Further, the front end surface 104f of the first sensor 104 is configured as a curved surface having a predetermined curvature, and the front surface 143f of the electrode 143 extends along the front end surface 104f. Accordingly, the distance in the radial direction between each position of the front surface 143f of the electrode 143 and the inner edge of the focus ring FR can be set to be approximately equidistant. Accordingly, the precision of the measurement of the capacitance is further improved.

이하, 측정기(100)에 탑재할 수 있는 제1 센서의 다른 예에 대하여 설명한다. 도 12는, 제1 센서의 다른 예를 나타내는 종단면도이다. 도 12에는, 제1 센서(204)의 종단면도가 나타나 있으며, 또 제1 센서(204)와 함께 포커스 링(FR)이 나타나 있다.Hereinafter, another example of the first sensor that can be mounted on the measuring device 100 will be described. 12 is a longitudinal sectional view showing another example of the first sensor. 12 , a longitudinal cross-sectional view of the first sensor 204 is shown, and the focus ring FR is shown together with the first sensor 204 .

제1 센서(204)는, 전극(241), 전극(242), 및 전극(243)을 갖고 있다. 제1 센서(204)는, 기판부(244) 및 절연 영역(247)을 더 가질 수 있다. 기판부(244)는, 본체부(244m) 및 표층부(244f)를 갖고 있다. 본체부(244m)는, 예를 들면 실리콘으로 형성되어 있다. 표층부(244f)는 본체부(244m)의 표면을 덮고 있다. 표층부(244f)는 절연 재료로 형성되어 있다. 표층부(244f)는, 예를 들면 실리콘의 열산화막이다.The first sensor 204 includes an electrode 241 , an electrode 242 , and an electrode 243 . The first sensor 204 may further include a substrate portion 244 and an insulating region 247 . The board|substrate part 244 has the body part 244m and the surface layer part 244f. The body portion 244m is made of, for example, silicon. The surface layer portion 244f covers the surface of the body portion 244m. The surface layer portion 244f is made of an insulating material. The surface layer portion 244f is, for example, a thermally oxidized film of silicon.

기판부(244)는, 상면(244a), 하면(244b), 및 전측 단면(244c)을 갖고 있다. 전극(242)은, 기판부(244)의 하면(244b)의 하방에 마련되어 있고, X방향 및 Y방향으로 연장되어 있다. 또, 전극(241)은, 절연 영역(247)을 통하여 전극(242)의 하방에 마련되어 있으며, X방향 및 Y방향으로 연장되어 있다.The substrate portion 244 has an upper surface 244a, a lower surface 244b, and a front end surface 244c. The electrode 242 is provided below the lower surface 244b of the board|substrate part 244, and extends in the X direction and the Y direction. Moreover, the electrode 241 is provided below the electrode 242 through the insulating region 247, and extends in the X direction and the Y direction.

기판부(244)의 전측 단면(244c)은, 단차 모양으로 형성되어 있다. 전측 단면(244c)의 하측 부분(244d)은, 당해 전측 단면(244c)의 상측 부분(244u)보다 포커스 링(FR)의 측을 향하여 돌출되어 있다. 전극(243)은, 전측 단면(244c)의 상측 부분(244u)을 따라 연장되어 있다.The front end surface 244c of the substrate portion 244 is formed in a stepped shape. The lower part 244d of the front end surface 244c protrudes toward the side of the focus ring FR rather than the upper part 244u of the said front end surface 244c. The electrode 243 extends along the upper portion 244u of the front end face 244c.

이 제1 센서(204)를 측정기(100)의 센서로서 이용하는 경우에는, 전극(241)이 배선(181)에 접속되고, 전극(242)이 배선(182)에 접속되며, 전극(243)이 배선(183)에 접속된다.When the first sensor 204 is used as the sensor of the measuring instrument 100 , the electrode 241 is connected to the wiring 181 , the electrode 242 is connected to the wiring 182 , and the electrode 243 is connected to the wiring 182 . connected to the wiring 183 .

제1 센서(204)에 있어서는, 센서 전극인 전극(243)이, 전극(241) 및 전극(242)에 의하여, 제1 센서(204)의 하방에 대하여 차폐되어 있다. 따라서, 이 제1 센서(204)에 의하면, 특정 방향, 즉 전극(243)의 전면(243f)이 향하고 있는 방향(X방향)으로 높은 지향성을 갖고 정전 용량을 측정하는 것이 가능하게 된다.In the first sensor 204 , the electrode 243 serving as the sensor electrode is shielded from the lower side of the first sensor 204 by the electrode 241 and the electrode 242 . Accordingly, according to the first sensor 204 , it is possible to measure the capacitance with high directivity in a specific direction, that is, in the direction (X direction) toward which the front surface 243f of the electrode 243 faces.

이하, 제2 센서(105A~105C) 대신에 측정기(100)에 탑재할 수 있는 제2 센서의 다른 예에 대하여 설명한다. 도 13의 (a)는, 다른 예의 제2 센서의 복수의 전극을 측정기의 바닥면측에서 보아 나타내는 평면도이며, 도 13의 (b)는 제2 센서를 측정기의 상면측에서 보아 나타내는 평면도이다. 또, 도 14는, 도 13의 (b)의 XIV-XIV선을 따라 취한 단면도이다. 또한, 도 14에서는, 리프트 핀(25a)에 의하여 측정기(100)가 지지되어 있는 상태를 나타내고 있다.Hereinafter, another example of the second sensor that can be mounted on the measuring device 100 instead of the second sensors 105A to 105C will be described. Fig. 13(a) is a plan view showing the plurality of electrodes of the second sensor of another example as viewed from the bottom side of the measuring instrument, and Fig. 13(b) is a plan view showing the second sensor as viewed from the top side of the measuring instrument. Fig. 14 is a cross-sectional view taken along the line XIV-XIV in Fig. 13B. In addition, in FIG. 14, the state in which the measuring instrument 100 is supported by the lift pin 25a is shown.

제2 센서(305)는, 복수의 전극(365)을 포함하고 있다. 복수의 전극(365)은, 베이스 기판(102)의 상면으로부터 당해 베이스 기판(102)의 판두께 방향으로 뻗도록, 베이스 기판(102)에 마련되어 있다. 제2 센서(305)에서는, 복수의 전극(365)은 베이스 기판(102)을 관통하고 있다. 복수의 전극(365)의 각각은, 베이스 기판(102)의 바닥면의 측에 단면(365a)을 제공하고 있다. 복수의 전극(365)의 단면(365a)은, 바닥부 전극 및 복수의 주변 전극을 구성하고 있다. 구체적으로는, 도 13의 (a)에 나타내는 바와 같이, 복수의 전극(365)의 단면(365a) 중, 중앙의 원형의 영역(361) 내에 존재하는 몇 개의 전극(365)의 단면(365a)은 바닥부 전극을 구성하고 있다. 또, 영역(361)을 둘러싸는 주변 영역(362a~362d)의 각각에 존재하는 몇 개의 전극(365)의 단면(365a)이, 주변 전극을 구성하고 있다. 또한, 도 13에 나타내는 예에서는, 주변 영역의 개수는 4개이다. 이들 주변 영역(362a~362d)은, 다른 반경을 갖는 2개의 원호에 의하여 규정되고, 영역(361)의 중심에 대하여 둘레 방향으로 배열되어 있다. 도 14에 나타내는 바와 같이, 베이스 기판(102)의 바닥면에는, 절연막(169)이 형성되어 있다. 이 절연막(169)은 복수의 전극(365)의 단면(365a)을 덮고 있다.The second sensor 305 includes a plurality of electrodes 365 . The plurality of electrodes 365 are provided on the base substrate 102 so as to extend from the upper surface of the base substrate 102 in the plate thickness direction of the base substrate 102 . In the second sensor 305 , the plurality of electrodes 365 penetrate the base substrate 102 . Each of the plurality of electrodes 365 provides an end surface 365a on the side of the bottom surface of the base substrate 102 . End faces 365a of the plurality of electrodes 365 constitute a bottom electrode and a plurality of peripheral electrodes. Specifically, as shown in FIG. 13A , among the cross-sections 365a of the plurality of electrodes 365 , the cross-sections 365a of some electrodes 365 existing in the central circular region 361 . is constituting the bottom electrode. In addition, end surfaces 365a of several electrodes 365 existing in each of the peripheral regions 362a to 362d surrounding the region 361 constitute a peripheral electrode. In addition, in the example shown in FIG. 13, the number of the peripheral areas is four. These peripheral regions 362a to 362d are defined by two arcs having different radii, and are arranged in the circumferential direction with respect to the center of the region 361 . 14 , an insulating film 169 is formed on the bottom surface of the base substrate 102 . The insulating film 169 covers the end surfaces 365a of the plurality of electrodes 365 .

베이스 기판(102)의 상면에는, 주변 영역(362a~362d) 및 영역(361)에 각각 대향하고, 또한 주변 영역(362a~362d) 및 영역(361)과 각각 대략 동일 형상을 갖는 패턴 전극(366a~366e)이 형성되어 있다. 주변 영역(362a)에 있어서 단면(365a)을 제공하는 전극(365)은, 패턴 전극(366a)에 접속되어 있다. 주변 영역(362b)에 있어서 단면(365a)을 제공하는 전극(365)은, 패턴 전극(366b)에 접속되어 있다. 주변 영역(362c)에 있어서 단면(365a)을 제공하는 전극(365)은, 패턴 전극(366c)에 접속되어 있다. 주변 영역(362d)에 있어서 단면(365a)을 제공하는 전극(365)은, 패턴 전극(366d)에 접속되어 있다. 또, 영역(361)에 있어서 단면(365a)을 제공하는 전극(365)은, 패턴 전극(366e)에 접속되어 있다. 상술한 제2 센서(105A~105C)의 각각의 제작에 있어서는 관통 전극(165a~165e)과는 별도로 바닥부 전극 및 주변 전극을 형성하는 공정이 필요하다. 한편, 제2 센서(305)에서는 관통 전극(165a~165e)과 동일하게 베이스 기판(102)의 판두께 방향으로 뻗는 복수의 전극(365)이 바닥부 전극 및 주변 전극을 제공하므로, 제2 센서(305)의 제작에 있어서는 바닥부 전극 및 주변 전극을 형성하는 별도의 공정이 불필요하게 된다.On the upper surface of the base substrate 102, pattern electrodes 366a facing the peripheral regions 362a to 362d and the region 361, respectively, and having substantially the same shape as the peripheral regions 362a to 362d and the region 361, respectively ~366e) is formed. The electrode 365 providing the cross section 365a in the peripheral region 362a is connected to the pattern electrode 366a. The electrode 365 providing the cross section 365a in the peripheral region 362b is connected to the pattern electrode 366b. The electrode 365 providing the cross section 365a in the peripheral region 362c is connected to the pattern electrode 366c. The electrode 365 providing the cross section 365a in the peripheral region 362d is connected to the pattern electrode 366d. In addition, the electrode 365 providing the end surface 365a in the area|region 361 is connected to the pattern electrode 366e. In each of the above-described second sensors 105A to 105C, a process of forming a bottom electrode and a peripheral electrode separately from the through electrodes 165a to 165e is required. Meanwhile, in the second sensor 305 , the plurality of electrodes 365 extending in the plate thickness direction of the base substrate 102 similarly to the through electrodes 165a to 165e provide a bottom electrode and a peripheral electrode, so that the second sensor In the manufacture of 305, a separate process of forming the bottom electrode and the peripheral electrode is unnecessary.

이하, 제2 센서(105A~105C) 대신에 측정기(100)에 탑재할 수 있는 제2 센서의 또 다른 예에 대하여 설명한다. 도 15는, 제2 센서의 또 다른 예를 나타내는 단면도이다.Hereinafter, another example of the second sensor that can be mounted on the measuring device 100 instead of the second sensors 105A to 105C will be described. 15 is a cross-sectional view showing still another example of the second sensor.

도 15에 나타내는 제2 센서(405)는, 복수의 전극(465)을 갖고 있다. 복수의 전극(465)은, 베이스 기판(102)의 상면으로부터 당해 베이스 기판(102)의 판두께 방향으로 뻗도록, 베이스 기판(102)에 마련되어 있다. 제2 센서(405)에서는, 복수의 전극(465)은, 베이스 기판(102)의 상면과 바닥면의 사이의 도중에 있어서 단면(465a)을 제공하고 있다. 제2 센서(305)의 복수의 전극(365)의 단면(365a)과 마찬가지로, 복수의 전극(465)의 단면(465a)은, 바닥부 전극 및 복수의 주변 전극을 구성하고 있다. 제2 센서(405)를 탑재하는 측정기(100)에서는, 베이스 기판(102)은, 예를 들면 유리 기판일 수 있다. 제2 센서(405)의 제작에 있어서도, 바닥부 전극 및 복수의 주변 전극을 별도로 형성하는 공정이 불필요하게 된다.The second sensor 405 shown in FIG. 15 has a plurality of electrodes 465 . The plurality of electrodes 465 are provided on the base substrate 102 so as to extend from the upper surface of the base substrate 102 in the plate thickness direction of the base substrate 102 . In the second sensor 405 , the plurality of electrodes 465 provides an end face 465a in the middle between the top and bottom surfaces of the base substrate 102 . Similar to the end faces 365a of the plurality of electrodes 365 of the second sensor 305 , the end faces 465a of the plurality of electrodes 465 constitute a bottom electrode and a plurality of peripheral electrodes. In the measuring instrument 100 on which the second sensor 405 is mounted, the base substrate 102 may be, for example, a glass substrate. Also in the manufacture of the second sensor 405 , the process of separately forming the bottom electrode and the plurality of peripheral electrodes is unnecessary.

이하, 제2 센서(105A~105C) 대신에 측정기(100)에 탑재할 수 있는 제2 센서의 또 다른 예에 대하여 설명한다. 도 16은, 제2 센서의 또 다른 예를 나타내는 단면도이다.Hereinafter, another example of the second sensor that can be mounted on the measuring device 100 instead of the second sensors 105A to 105C will be described. 16 is a cross-sectional view showing still another example of the second sensor.

도 16에 나타내는 제2 센서(505)는, 제2 센서(305)와 마찬가지로, 영역(361) 및 주변 영역(362a~362d)의 각각에 배치된 복수의 전극(365)을 갖고 있다. 그리고, 제2 센서(505)는 포위 전극(370a~370e)을 더 갖고 있다. 포위 전극(370a~370e)은, 도체로 형성되어 있으며, 제2 센서(505) 내에 있어서 전극(365)으로부터 절연되어 있다. 포위 전극(370a)은, 주변 영역(362a) 내에 배치된 일군의 전극(365)의 단면(365a)을 일괄적으로 둘러싸도록 베이스 기판의 바닥면을 따라 형성되어 있다. 포위 전극(370a)에는 베이스 기판을 관통하는 바이어 전극(371a)이 접속되어 있다. 또, 포위 전극(370b)은, 주변 영역(362b) 내에 배치된 일군의 전극(365)의 단면(365a)을 일괄적으로 둘러싸도록 베이스 기판의 바닥면을 따라 형성되어 있다. 포위 전극(370b)에는 베이스 기판을 관통하는 바이어 전극(371b)이 접속되어 있다. 또, 포위 전극(370c)은, 주변 영역(362c) 내에 배치된 일군의 전극(365)의 단면(365a)을 일괄적으로 둘러싸도록 베이스 기판의 바닥면을 따라 형성되어 있다. 포위 전극(370c)에는 베이스 기판을 관통하는 바이어 전극(371c)이 접속되어 있다. 또, 포위 전극(370d)은, 주변 영역(362d) 내에 배치된 일군의 전극(365)의 단면(365a)을 일괄적으로 둘러싸도록 베이스 기판의 바닥면을 따라 형성되어 있다. 포위 전극(370d)에는 베이스 기판을 관통하는 바이어 전극(371d)이 접속되어 있다. 또, 포위 전극(370e)은, 영역(361) 내에 배치된 일군의 전극(365)의 단면(365a)을 일괄적으로 둘러싸도록 베이스 기판의 바닥면을 따라 형성되어 있다. 포위 전극(370e)에는 베이스 기판을 관통하는 바이어 전극(371e)이 접속되어 있다. 바이어 전극(371a~371e)의 각각에는, 고주파 발진기(171)가 전기적으로 접속되어 있으며, 포위 전극(370a~370e)의 각각에 고주파 신호가 부여되도록 되어 있다. 제2 센서(505)에서는, 일군의 전극(365)의 단면(365a)이, 포위 전극(370a~370e) 중 당해 일군의 전극(365)의 단면(365a)을 둘러싸는 포위 전극에 의하여, 당해 포위 전극의 외측에 대하여 차폐된다. 따라서, 정전 용량의 측정에 있어서, 제2 센서(505)의 지향성이 향상된다.The second sensor 505 shown in FIG. 16 has a plurality of electrodes 365 arranged in each of the region 361 and the peripheral regions 362a to 362d, similarly to the second sensor 305 . In addition, the second sensor 505 further includes surrounding electrodes 370a to 370e. The surrounding electrodes 370a to 370e are formed of a conductor and are insulated from the electrode 365 in the second sensor 505 . The enveloping electrode 370a is formed along the bottom surface of the base substrate so as to collectively surround the end surfaces 365a of the group of electrodes 365 disposed in the peripheral region 362a. A via electrode 371a penetrating through the base substrate is connected to the surrounding electrode 370a. In addition, the enveloping electrode 370b is formed along the bottom surface of the base substrate so as to collectively surround the end surfaces 365a of the group of electrodes 365 disposed in the peripheral region 362b. A via electrode 371b penetrating through the base substrate is connected to the surrounding electrode 370b. In addition, the enveloping electrode 370c is formed along the bottom surface of the base substrate so as to collectively surround the end surfaces 365a of the group of electrodes 365 arranged in the peripheral region 362c. A via electrode 371c penetrating through the base substrate is connected to the surrounding electrode 370c. In addition, the enveloping electrode 370d is formed along the bottom surface of the base substrate so as to enclose the end faces 365a of the group of electrodes 365 disposed in the peripheral region 362d at once. A via electrode 371d penetrating through the base substrate is connected to the surrounding electrode 370d. In addition, the enveloping electrode 370e is formed along the bottom surface of the base substrate so as to collectively surround the end surfaces 365a of the group of electrodes 365 arranged in the region 361 . A via electrode 371e penetrating through the base substrate is connected to the surrounding electrode 370e. A high-frequency oscillator 171 is electrically connected to each of the via electrodes 371a to 371e, and a high-frequency signal is applied to each of the surrounding electrodes 370a to 370e. In the second sensor 505 , the end face 365a of the group of electrodes 365 is formed by the surrounding electrode surrounding the end face 365a of the group of electrodes 365 among the surrounding electrodes 370a to 370e. It is shielded against the outside of the enveloping electrode. Accordingly, in the measurement of the capacitance, the directivity of the second sensor 505 is improved.

이상, 다양한 실시형태에 대하여 설명해 왔지만, 상술한 실시형태에 한정되지 않고 다양한 변형 양태를 구성 가능하다. 예를 들면, 프로세스 모듈(PM1~PM6)의 예로서, 플라즈마 처리 장치를 예시했지만, 프로세스 모듈(PM1~PM6)은, 정전 척 및 포커스 링을 이용하는 것이면, 임의의 처리 장치일 수 있다. 또, 상술한 플라즈마 처리 장치(10)는, 용량 결합형의 플라즈마 처리 장치였지만, 프로세스 모듈(PM1~PM6)로서 이용 가능한 플라즈마 처리 장치는, 유도 결합형의 플라즈마 처리 장치, 마이크로파와 같은 표면파를 이용하는 플라즈마 처리 장치와 같이, 임의의 플라즈마 처리 장치일 수 있다.As mentioned above, although various embodiment was demonstrated, it is not limited to embodiment mentioned above, and various modified aspects can be comprised. For example, although a plasma processing apparatus is exemplified as an example of the process modules PM1 to PM6, the process modules PM1 to PM6 may be any processing apparatus as long as they use an electrostatic chuck and a focus ring. In addition, although the above-described plasma processing apparatus 10 is a capacitively coupled plasma processing apparatus, the plasma processing apparatus that can be used as the process modules PM1 to PM6 is an inductively coupled plasma processing apparatus using surface waves such as microwaves. It may be any plasma processing apparatus, such as a plasma processing apparatus.

또, 상술한 실시형태에서는, 복수의 제2 센서의 바닥부 전극과 측정기(100)의 중심 축선(AX100)의 위치 관계는, 재치대(PD)의 중심 축선과 리프트 핀(25a)의 위치 관계와 대략 일치하고 있지만, 복수의 제2 센서의 바닥부 전극과 측정기(100)의 중심 축선(AX100)의 위치 관계는, 이에 한정되는 것은 아니다. 예를 들면, 복수의 제2 센서의 바닥부 전극의 각각과 측정기(100)의 중심 축선(AX100)의 사이의 거리는, 재치대(PD)의 중심 축선과 정전 척의 에지의 사이의 거리와 대략 일치하고 있어도 된다.Moreover, in embodiment mentioned above, the positional relationship between the bottom electrode of a some 2nd sensor, and the central axis line AX100 of the measuring instrument 100 is the positional relationship between the center axis line of the mounting table PD, and the lift pin 25a. , but the positional relationship between the bottom electrodes of the plurality of second sensors and the central axis AX100 of the measuring device 100 is not limited thereto. For example, the distance between each of the bottom electrodes of the plurality of second sensors and the central axis AX100 of the measuring device 100 is approximately the same as the distance between the central axis of the mounting table PD and the edge of the electrostatic chuck may be doing

이하, 이와 같은 다른 실시형태에 관한 측정기에 대하여 설명한다. 즉, 복수의 제2 센서의 바닥부 전극의 각각과 측정기의 중심 축선(AX100)의 사이의 거리가 재치대(PD)의 중심 축선과 정전 척의 에지의 사이의 거리와 대략 일치하는 측정기에 대하여 설명한다. 또한, 당해 다른 실시형태에 관한 측정기도 도 1에 나타나는 처리 시스템에 있어서 사용될 수 있다. 도 17은, 측정기를 바닥면측에서 보아 나타내는 평면도이다. 도 17에 나타내는 측정기(600)는, 베이스 기판(102)을 구비하고 있다. 베이스 기판(102)의 하측 부분(102a)에는, 정전 용량 측정용의 4개의 제1 센서(104A~104D)가 마련되어 있다. 또, 베이스 기판(102)의 하측 부분(102a)에는, 도 6에 나타낸 제2 센서(105A~105C) 대신에, 4개의 제2 센서(605A~605D)가 마련되어 있다. 다만, 측정기(600)에 마련되는 제2 센서의 개수는, 3 이상의 임의의 개수일 수 있다. 제2 센서(605A~605D)는, 베이스 기판(102)의 중심 축선(AX100)을 공유하는 원을 따라, 둘레 방향으로 등간격으로 배치되어 있다. 또, 제2 센서(605A~605D)와 제1 센서(104A~104D)는, 둘레 방향에 있어서 교대로 배치되어 있다. 4개의 제2 센서(605A~605D)의 각각은, 베이스 기판(102)의 바닥면을 따라 마련된 바닥부 전극(606)을 갖고 있다.Hereinafter, the measuring device which concerns on such another embodiment is demonstrated. That is, a distance between each of the bottom electrodes of the plurality of second sensors and the central axis AX100 of the measuring device is approximately the same as the distance between the central axis of the mounting table PD and the edge of the electrostatic chuck. do. Moreover, the measuring device which concerns on the said other embodiment can also be used in the processing system shown in FIG. Fig. 17 is a plan view showing the measuring instrument when viewed from the bottom side. The measuring device 600 shown in FIG. 17 includes a base substrate 102 . Four first sensors 104A to 104D for capacitance measurement are provided in the lower portion 102a of the base substrate 102 . In addition, instead of the second sensors 105A to 105C shown in FIG. 6 , four second sensors 605A to 605D are provided in the lower portion 102a of the base substrate 102 . However, the number of second sensors provided in the measuring device 600 may be any number of 3 or more. The second sensors 605A to 605D are arranged at equal intervals in the circumferential direction along a circle sharing the central axis AX100 of the base substrate 102 . Moreover, 2nd sensor 605A-605D and 1st sensor 104A-104D are arrange|positioned alternately in the circumferential direction. Each of the four second sensors 605A to 605D has a bottom electrode 606 provided along the bottom surface of the base substrate 102 .

도 18은, 정전 척의 단면도이며, 정전 척에 피가공물이 재치된 상태를 나타낸다. 일 실시형태에서는, 정전 척(ESC)은, 도전막인 전극(E)을 한 쌍의 절연층 또는 절연 시트 사이에 배치한 구조를 갖고 있으며, 대략 원반 형상을 갖고 있다. 정전 척(ESC)은, 피가공물(W) 및 측정기(600)가 그 위에 재치되는 재치 영역(R)을 갖고 있다. 재치 영역(R)은, 원형의 에지를 갖고 있다. 피가공물(W) 및 측정기(600)는, 재치 영역(R)의 외경보다 큰 외경을 갖고 있다.18 is a cross-sectional view of the electrostatic chuck, showing a state in which a workpiece is placed on the electrostatic chuck. In one embodiment, the electrostatic chuck ESC has a structure in which the electrode E, which is a conductive film, is disposed between a pair of insulating layers or insulating sheets, and has a substantially disk shape. The electrostatic chuck ESC has a mounting area R on which the workpiece W and the measuring device 600 are mounted. The mounting area R has a circular edge. The to-be-processed object W and the measuring instrument 600 have an outer diameter larger than the outer diameter of the mounting area|region R.

도 19는, 도 17의 부분 확대도이며, 하나의 제2 센서를 나타낸다. 바닥부 전극(606)의 에지는 부분적으로 원호 형상을 이루고 있다. 즉, 바닥부 전극(606)은, 중심 축선(AX100)을 중심으로 한 다른 반경을 갖는 2개의 원호(606a, 606b)에 의하여 규정되는 평면 형상을 갖고 있다. 복수의 제2 센서(605A~605D) 각각의 바닥부 전극(606)에 있어서의 직경 방향 외측의 원호(606b)는, 공통되는 원 상에서 연장된다. 또, 복수의 제2 센서(605A~605D) 각각의 바닥부 전극(606)에 있어서의 직경 방향 내측의 원호(606a)는, 다른 공통되는 원 상에서 연장된다. 바닥부 전극(606)의 에지의 일부의 곡률은, 정전 척(ESC)(재치 영역(R))의 에지의 곡률과 일치하고 있다. 일 실시형태에서는, 바닥부 전극(606)에 있어서의 직경 방향 외측의 에지를 형성하는 원호(606b)의 곡률이, 정전 척(ESC)의 재치 영역(R)의 에지의 곡률과 일치하고 있다. 또한, 원호(606b)의 곡률 중심, 즉 원호(606b)가 그 위에서 연장되는 원의 중심은, 중심 축선(AX100)을 공유하고 있다.FIG. 19 is a partially enlarged view of FIG. 17 , and shows one second sensor. The edge of the bottom electrode 606 is partially arc-shaped. That is, the bottom electrode 606 has a planar shape defined by two arcs 606a and 606b having different radii centered on the central axis AX100. A radially outer arc 606b in the bottom electrode 606 of each of the plurality of second sensors 605A to 605D extends on a common circle. Moreover, the radially inner arc 606a in the bottom electrode 606 of each of some 2nd sensor 605A-605D extends on another common circle. The curvature of a part of the edge of the bottom electrode 606 coincides with the curvature of the edge of the electrostatic chuck ESC (the mounting area R). In one embodiment, the curvature of the arc 606b forming the radially outer edge of the bottom electrode 606 coincides with the curvature of the edge of the mounting region R of the electrostatic chuck ESC. Further, the center of curvature of the arc 606b, that is, the center of the circle on which the arc 606b extends, shares the central axis AX100.

일 실시형태에서는, 제2 센서(605A~605D)의 각각은, 바닥부 전극(606)을 둘러싸는 전극(607)을 더 포함하고 있다. 전극(607)은, 프레임 모양을 이루고 있으며, 바닥부 전극(606)을 그 전체 둘레에 걸쳐 둘러싸고 있다. 전극(607)과 바닥부 전극(606)은, 그들 사이에 절연 영역(608)이 개재되도록 서로 이간되어 있다. 또, 일 실시형태에서는, 제2 센서(605A~605D)의 각각은, 전극(607)의 외측에서 당해 전극(607)을 둘러싸는 전극(609)을 더 포함하고 있다. 전극(609)은, 프레임 모양을 이루고 있으며, 전극(607)을 그 전체 둘레에 걸쳐 둘러싸고 있다. 전극(607)과 전극(609)은, 그들 사이에 절연 영역(610)이 개재되도록 서로 이간되어 있다.In one embodiment, each of the second sensors 605A-605D further includes an electrode 607 surrounding the bottom electrode 606 . The electrode 607 has a frame shape and surrounds the bottom electrode 606 over its entire circumference. The electrode 607 and the bottom electrode 606 are spaced apart from each other so that an insulating region 608 is interposed therebetween. Further, in one embodiment, each of the second sensors 605A to 605D further includes an electrode 609 surrounding the electrode 607 from the outside of the electrode 607 . The electrode 609 has a frame shape and surrounds the electrode 607 over its entire circumference. The electrode 607 and the electrode 609 are spaced apart from each other so that an insulating region 610 is interposed therebetween.

도 20은, 측정기의 회로 기판의 구성을 예시하는 도이다. 측정기(600)는, 회로 기판(106A)을 갖고 있다. 회로 기판(106A)은, 측정기(100)에 있어서의 회로 기판(106)에 상당한다. 도 20에 나타내는 바와 같이, 회로 기판(106A)은, 고주파 발진기(171), 복수의 C/V 변환 회로(172A~172D), 복수의 C/V 변환 회로(680A~680D), A/D 변환기(173), 프로세서(174), 기억 장치(175), 통신 장치(176), 전원(177), 및 기억 장치(178)를 갖고 있다.20 is a diagram illustrating a configuration of a circuit board of a measuring instrument. The measuring device 600 has a circuit board 106A. The circuit board 106A corresponds to the circuit board 106 in the measuring instrument 100 . As shown in Fig. 20, the circuit board 106A includes a high-frequency oscillator 171, a plurality of C/V conversion circuits 172A to 172D, a plurality of C/V conversion circuits 680A to 680D, and an A/D converter. 173 , a processor 174 , a storage device 175 , a communication device 176 , a power supply 177 , and a storage device 178 .

제2 센서(605A~605D)의 바닥부 전극(606)은, 대응하는 배선(681)을 통하여, C/V 변환 회로(680A~680D) 중 대응하는 C/V 변환 회로에 접속되어 있다. 또, 제2 센서(605A~605D)의 각각의 전극(607)은, 대응하는 배선(682)을 통하여, C/V 변환 회로(680A~680D) 중 대응하는 C/V 변환 회로에 접속되어 있다. 제2 센서(605A~605D)의 각각의 바닥부 전극(606) 및 전극(607)은, 그들에 고주파 발진기(171)로부터의 고주파 신호가 부여되도록, 고주파 발진기(171)에 전기적으로 접속되어 있다. C/V 변환 회로(680A~680D)의 각각은, 그 입력에 있어서의 전압 진폭으로부터, 당해 입력에 접속된 전극의 정전 용량을 나타내는 전압 신호를 생성하고, 당해 전압 신호를 출력하도록 구성되어 있다. 또, 제2 센서(605A~605D)의 각각의 전극(609)은, 대응하는 배선(683)을 통하여, 그라운드 전위선(GL)에 접속되어 있다. 또한, 배선(683)은, 그라운드 전위선(GL)에 스위치(SWG)를 통하여 접속되어 있어도 된다.The bottom electrode 606 of the second sensors 605A to 605D is connected to the corresponding C/V conversion circuit among the C/V conversion circuits 680A to 680D via the corresponding wiring 681 . Further, each electrode 607 of the second sensors 605A to 605D is connected to a corresponding C/V conversion circuit among the C/V conversion circuits 680A to 680D via a corresponding wiring 682 . . The bottom electrode 606 and the electrode 607 of each of the second sensors 605A to 605D are electrically connected to the high frequency oscillator 171 so that a high frequency signal from the high frequency oscillator 171 is applied to them. . Each of the C/V conversion circuits 680A to 680D is configured to generate a voltage signal representing the capacitance of an electrode connected to the input from the voltage amplitude at the input, and output the voltage signal. Each electrode 609 of the second sensors 605A to 605D is connected to the ground potential line GL via a corresponding wiring 683 . In addition, the wiring 683 may be connected to the ground potential line GL via the switch SWG.

A/D 변환기(173)의 입력에는, 복수의 C/V 변환 회로(680A~680D)의 출력이 접속되어 있다. 이로써, A/D 변환기(173)는, 바닥부 전극(606)의 정전 용량을 나타내는 디지털값(측정값)을 생성한다. A/D 변환기(173)는, 생성된 디지털값을 프로세서(174)에 출력한다.The outputs of the plurality of C/V conversion circuits 680A to 680D are connected to the input of the A/D converter 173 . Thereby, the A/D converter 173 generates a digital value (measured value) indicating the capacitance of the bottom electrode 606 . The A/D converter 173 outputs the generated digital value to the processor 174 .

이하, 측정기(600)를 이용하여 처리 시스템(1)에 있어서의 반송 위치 데이터를 교정하는 방법에 대하여 설명한다. 또한, 상술한 바와 같이, 처리 시스템(1)에 있어서의 반송 장치(TU2)는, 제어부(MC)에 의하여 제어된다. 일 실시형태에서는, 반송 장치(TU2)는, 제어부(MC)로부터 송신되는 반송 위치 데이터에 근거하여 정전 척(ESC)의 재치 영역(R) 상에 피가공물(W) 및 측정기(600)를 반송할 수 있다. 도 21은, 일 실시형태에 관한 처리 시스템의 반송 장치의 교정 방법을 나타내는 흐름도이다.Hereinafter, the method of calibrating the conveyance position data in the processing system 1 using the measuring instrument 600 is demonstrated. In addition, as mentioned above, the conveyance apparatus TU2 in the processing system 1 is controlled by the control part MC. In one embodiment, the conveyance apparatus TU2 conveys the to-be-processed object W and the measuring instrument 600 on the mounting area R of the electrostatic chuck ESC based on the conveyance position data transmitted from the control part MC. can do. It is a flowchart which shows the calibration method of the conveyance apparatus of the processing system which concerns on one Embodiment.

도 21에 나타내는 방법(MT)에서는, 먼저, 공정 ST1이 실행된다. 공정 ST1에서는, 반송 위치 데이터에 의하여 특정되는 재치 영역(R) 상의 위치에, 반송 장치(TU2)에 의하여 측정기(600)가 반송된다. 구체적으로는, 반송 장치(TU1)가, 로드 록 모듈(LL1) 및 로드 록 모듈(LL2) 중 한쪽의 로드 록 모듈에 측정기(600)를 반송한다. 그리고, 반송 장치(TU2)가, 반송 위치 데이터에 근거하여, 한쪽의 로드 록 모듈로부터, 프로세스 모듈(PM1~PM6) 중 어느 하나에 측정기(600)를 반송하고, 당해 측정기(600)를 정전 척(ESC)의 재치 영역(R) 상에 재치한다. 반송 위치 데이터는, 예를 들면 재치 영역(R)의 중심 위치에 측정기(600)의 중심 축선(AX100)의 위치가 일치하도록 미리 정해진 좌표 데이터이다.In the method MT shown in FIG. 21, first, step ST1 is executed. In process ST1, the measuring instrument 600 is conveyed by conveyance apparatus TU2 to the position on the mounting area|region R identified by conveyance position data. Specifically, the transport device TU1 transports the measuring device 600 to one of the load lock module LL1 and the load lock module LL2. Then, the transport device TU2 transports the measuring device 600 from one load lock module to any one of the process modules PM1 to PM6 based on the transport position data, and uses the measuring device 600 with an electrostatic chuck. It is mounted on the mounting area R of (ESC). The conveyance position data is coordinate data predetermined so that the position of the center axis line AX100 of the measuring instrument 600 may correspond to the center position of the mounting area|region R, for example.

계속되는 공정 ST2에서는, 측정기(600)가 정전 용량의 측정을 행한다. 구체적으로는, 측정기(600)는, 정전 척(ESC)의 재치 영역(R)과 제2 센서(605A~605D)의 각각의 바닥부 전극(606)의 사이의 정전 용량의 크기에 따른 복수의 디지털값(측정값)을 취득하고, 당해 복수의 디지털값을 기억 장치(175)에 기억한다. 또한, 복수의 디지털값은, 프로세서(174)에 의한 제어하에서 미리 정해진 타이밍에 취득될 수 있다. 일 실시형태에서는, 제2 센서(605A~605D)에 의한 정전 용량의 측정의 타이밍에, 제1 센서(104A~104D)에 의한 정전 용량의 측정이 실행되어도 된다.In the subsequent step ST2, the measuring device 600 measures the electrostatic capacity. Specifically, the measuring device 600 includes a plurality of devices according to the magnitude of the capacitance between the mounting region R of the electrostatic chuck ESC and the bottom electrode 606 of each of the second sensors 605A to 605D. A digital value (measured value) is acquired, and the plurality of digital values are stored in the storage device 175 . Also, a plurality of digital values may be acquired at a predetermined timing under control by the processor 174 . In one embodiment, the measurement of the capacitance by the first sensors 104A to 104D may be performed at the timing of the measurement of the capacitance by the second sensors 605A to 605D.

계속되는 공정 ST3에서는, 측정기(600)가 프로세스 모듈로부터 반출되고, 트랜스퍼 모듈(TF), 로드 록 모듈(LL1, LL2), 로더 모듈(LM) 및 용기(4a~4d) 중 어느 하나로 복귀된다. 계속되는 공정 ST4에서는, 측정기(600)가 반송된 재치 영역(R) 상의 위치와 재치 영역(R) 상의 소정의 반송 위치의 오차가 도출된다. 다만, 소정의 반송 위치는, 재치 영역(R)의 중심 위치일 수 있다. 일 실시형태의 공정 ST4에서는, 먼저, 기억 장치(175)에 기억되어 있는 복수의 디지털값이 제어부(MC)에 송신된다. 복수의 디지털값은, 제어부(MC)로부터의 지령에 의하여 통신 장치(176)로부터 제어부(MC)에 송신되어도 되고, 혹은 회로 기판(106A)에 마련된 타이머의 카운트에 근거하는 프로세서(174)의 제어에 의하여, 소정의 타이밍에 제어부(MC)에 송신되어도 된다. 이어서, 제어부(MC)가 수신한 복수의 디지털값에 근거하여, 측정기(600)의 반송 위치의 오차를 도출한다. 일 실시형태에서는, 제어부(MC)는, 재치 영역(R) 상의 측정기(600)의 반송 위치와 제2 센서(605A~605D)에 의하여 취득되는 디지털값의 관계를 나타내는 데이터 테이블을 갖고 있다. 이 데이터 테이블에는, 예를 들면 재치 영역(R)의 각 직경 방향에 있어서의 바닥부 전극(606)의 위치와 당해 위치에 있어서의 바닥부 전극(606)의 정전 용량을 나타내는 디지털값의 관계가 등록되어 있다.In the subsequent step ST3, the measuring device 600 is taken out from the process module, and returned to any one of the transfer module TF, the load lock modules LL1 and LL2, the loader module LM, and the containers 4a to 4d. In subsequent process ST4, the error|error of the position on the mounting area|region R to which the measuring instrument 600 was conveyed, and the predetermined|prescribed conveyance position on the mounting area|region R are derived. However, the predetermined conveyance position may be the center position of the mounting area R. In step ST4 of the embodiment, first, a plurality of digital values stored in the storage device 175 are transmitted to the control unit MC. The plurality of digital values may be transmitted from the communication device 176 to the control unit MC according to a command from the control unit MC, or the control of the processor 174 based on the count of a timer provided on the circuit board 106A. may be transmitted to the control unit MC at a predetermined timing. Next, based on the plurality of digital values received by the control unit MC, an error in the conveyance position of the measuring device 600 is derived. In one Embodiment, control part MC has the data table which shows the relationship between the conveyance position of the measuring instrument 600 on the mounting area R, and the digital value acquired by 2nd sensor 605A-605D. In this data table, for example, the relationship between the position of the bottom electrode 606 in each radial direction of the mounting region R and the digital value indicating the capacitance of the bottom electrode 606 at the position is shown. is registered.

도 22는, 정전 척의 재치 영역에 대한 측정기의 반송 위치를 나타내는 도이다. 도 22의 (a)는, 소정의 반송 위치에 측정기(600)가 반송된 경우에 있어서의 재치 영역(R)과 하나의 바닥부 전극(606)의 위치 관계를 나타낸다. 도 22의 (b), (c)는, 소정의 반송 위치로부터 어긋나 측정기(600)가 반송된 경우에 있어서의 재치 영역(R)과 하나의 바닥부 전극(606)의 위치 관계를 나타낸다. 도 22의 (b)에 나타내는 바와 같이, 바닥부 전극(606)이 재치 영역(R)에 대하여 재치 영역(R)의 직경 방향의 외측으로 어긋난 경우, 바닥부 전극(606)에 의하여 측정되는 정전 용량은, 소정의 반송 위치에 측정기(600)가 반송된 경우(도 22의 (a))의 정전 용량에 비하여 작아진다. 도 22의 (c)에 나타내는 바와 같이, 바닥부 전극(606)이 재치 영역(R)에 대하여 재치 영역(R)의 직경 방향의 내측으로 어긋난 경우, 전극(E)의 영향에 의하여, 바닥부 전극(606)에 의하여 측정되는 정전 용량은, 소정의 반송 위치에 측정기(600)가 반송된 경우(도 22의 (a))의 정전 용량에 비하여 커진다. 따라서, 제2 센서(605A~605D)의 각각의 바닥부 전극(606)의 정전 용량을 나타내는 디지털값을 이용하여 데이터 테이블을 참조함으로써, 재치 영역(R)의 각 직경 방향에 있어서의 각 바닥부 전극(606)의 어긋남량을 구할 수 있다. 그리고, 각 직경 방향에 있어서의 제2 센서(605A~605D)의 각각의 바닥부 전극(606)의 어긋남량으로부터, 측정기(600)의 반송 위치의 오차를 구할 수 있다.22 is a diagram illustrating a conveyance position of the measuring device with respect to the mounting area of the electrostatic chuck. Fig. 22(a) shows the positional relationship between the mounting area R and one bottom electrode 606 when the measuring instrument 600 is conveyed to a predetermined conveyance position. 22(b) and (c) show the positional relationship between the mounting area R and one bottom electrode 606 in a case where the measuring device 600 is conveyed out of a predetermined conveyance position. 22B, when the bottom electrode 606 shifts outward in the radial direction of the mounting region R with respect to the mounting region R, the electrostatic measured by the bottom electrode 606 is The capacitance becomes smaller than the capacitance in the case where the measuring device 600 is transported to a predetermined transport position (FIG. 22(a)). As shown in FIG.22(c), when the bottom electrode 606 shifts|deviates to the inside of the radial direction of the mounting area|region R with respect to the mounting area|region R, under the influence of the electrode E, a bottom The electrostatic capacity measured by the electrode 606 becomes larger than the electrostatic capacity when the measuring instrument 600 is conveyed to a predetermined conveying position (FIG. 22A). Therefore, each bottom part in each radial direction of the mounting area|region R by referring a data table using the digital value which shows the electrostatic capacity of each bottom electrode 606 of 2nd sensor 605A-605D. The shift amount of the electrode 606 can be calculated|required. And the error of the conveyance position of the measuring instrument 600 can be calculated|required from the shift|offset|difference amount of each bottom electrode 606 of 2nd sensor 605A-605D in each radial direction.

측정기(600)의 반송 위치의 오차가, 소정의 임곗값보다 큰 경우에는, 계속되는 공정 ST5에 있어서, 반송 위치 데이터의 교정이 필요하다고 판정된다. 이 경우, 공정 ST6에 있어서, 오차를 제거하도록 반송 위치 데이터가 제어부(MC)에 의하여 수정된다. 그리고, 공정 ST7에 있어서, 직전에 측정기(600)가 반송되어 있었던 프로세스 모듈과 동일한 프로세스 모듈에 다시 측정기(600)가 반송되어, 공정 ST2~공정 ST5가 다시 실행된다. 한편, 측정기(600)의 반송 위치의 오차가, 소정의 임곗값보다 작은 경우에는, 공정 ST5에 있어서, 반송 위치 데이터의 교정이 필요없는 것으로 판정된다. 이 경우, 공정 ST8에 있어서, 다음으로 측정기(600)가 반송되어야 할 다른 프로세스 모듈에 측정기(600)를 반송할지 여부가 판정된다. 다음으로 측정기(600)가 반송되어야 할 다른 프로세스 모듈이 남아 있는 경우에는, 계속되는 공정 ST9에 있어서, 당해 다른 프로세스 모듈에 측정기(600)가 반송되어, 공정 ST2~공정 ST5가 실행된다. 한편, 다음으로 측정기(600)가 반송되어야 할 다른 프로세스 모듈이 남지 않은 경우에는, 방법(MT)이 종료된다.When the error of the conveyance position of the measuring instrument 600 is larger than a predetermined|prescribed threshold value, it is determined in continuing process ST5 that the calibration of conveyance position data is necessary. In this case, in process ST6, the conveyance position data is corrected by control part MC so that an error|error may be eliminated. And in step ST7, the measuring device 600 is conveyed again to the same process module as the process module to which the measuring device 600 was conveyed immediately before, and steps ST2 to ST5 are executed again. On the other hand, when the error of the conveyance position of the measuring instrument 600 is smaller than a predetermined|prescribed threshold value, in process ST5, it is determined that the calibration of conveyance position data is unnecessary. In this case, in step ST8, it is determined whether or not to transfer the measuring device 600 to another process module to which the measuring device 600 is to be transported next. Next, when another process module to which the measuring device 600 is to be transported remains, in the subsequent step ST9, the measuring device 600 is transported to the other process module, and steps ST2 to ST5 are executed. On the other hand, if there are no other process modules left to which the measuring instrument 600 is to be transferred next, the method MT ends.

이와 같이 측정기(600)를 이용하는 방법(MT)에 의하면, 반송 장치(TU2)에 의한 반송에 이용되는 반송 위치 데이터의 교정에 있어서 이용 가능한 복수의 디지털값이 측정기(600)에 의하여 제공된다. 이러한 복수의 디지털값을 이용함으로써, 필요에 따라 반송 위치 데이터를 교정하는 것이 가능하게 된다. 이와 같이 교정된 반송 위치 데이터를 반송 장치(TU2)에 의한 피가공물(W)의 반송에 이용함으로써, 피가공물(W)을 소정의 반송 위치에 반송하는 것이 가능하게 된다.According to the method MT using the measuring device 600 in this way, the measuring device 600 provides a plurality of digital values usable in calibration of the transport position data used for transport by the transport device TU2. By using such a plurality of digital values, it becomes possible to correct the transport position data as necessary. By using the conveyance position data corrected in this way for conveyance of the to-be-processed object W by conveyance apparatus TU2, it becomes possible to convey the to-be-processed object W to a predetermined conveyance position.

또, 일 실시형태에서는, 제2 센서(605A~605D)의 각각의 바닥부 전극(606)이, 베이스 기판(102)의 중심 축선(AX100)을 공유하는 원을 따라 배치되어 있다. 소정의 반송 위치인 재치 영역(R)의 중심에 베이스 기판(102)의 중심 축선(AX100)이 일치하도록 측정기(600)가 반송된 경우에는, 제2 센서(605A~605D) 각각의 바닥부 전극(606)의 정전 용량을 나타내는 디지털값은 이상적으로는 동일하게 된다. 따라서, 용이하게 측정기(600)의 반송 위치의 오차를 구할 수 있다.Further, in one embodiment, the bottom electrode 606 of each of the second sensors 605A to 605D is arranged along a circle sharing the central axis AX100 of the base substrate 102 . When the measuring instrument 600 is conveyed so that the center axis line AX100 of the base substrate 102 may coincide with the center of the mounting area R which is a predetermined conveyance position, the bottom electrode of each of 2nd sensor 605A-605D The digital value representing the capacitance of 606 would ideally be the same. Therefore, the error of the conveyance position of the measuring device 600 can be calculated|required easily.

또, 제2 센서(605A~605D)의 각각의 바닥부 전극(606)의 에지의 일부는, 원호 형상을 갖고 있고, 재치 영역(R)의 직경과 대략 일치하는 직경을 갖는 원 상에서 연장되어 있다. 또, 바닥부 전극(606)의 에지의 당해 일부의 곡률은, 재치 영역(R)의 에지의 곡률과 일치하고 있다. 따라서, 측정기(600)의 반송 위치와 소정의 반송 위치의 사이의 각 직경 방향에 있어서의 어긋남량을 양호한 정밀도로 측정할 수 있다.In addition, a part of the edge of the bottom electrode 606 of each of the second sensors 605A to 605D has a circular arc shape and extends on a circle having a diameter substantially equal to the diameter of the mounting region R. . Moreover, the curvature of the said part of the edge of the bottom electrode 606 coincides with the curvature of the edge of the mounting area|region R. As shown in FIG. Therefore, the shift|offset|difference amount in each radial direction between the conveyance position of the measuring instrument 600 and a predetermined|prescribed conveyance position can be measured accurately.

Claims (9)

정전 용량 측정용 측정기로서,
원반 형상으로, 상면, 바닥면 및 측면을 갖는 베이스 기판과,
상기 베이스 기판의 상기 측면을 따라 배열된, 복수의 측부 전극을 각각 제공하는 복수의 제1 센서와,
각각이 상기 베이스 기판의 상기 바닥면을 따라 마련된 바닥부 전극을 갖는 1 이상의 제2 센서와,
상기 베이스 기판의 상기 상면에 탑재되어 있으며, 상기 복수의 제1 센서 및 상기 1 이상의 제2 센서의 각각에 접속된 회로 기판으로서, 상기 복수의 측부 전극 및 상기 바닥부 전극에 고주파 신호를 부여하는 발진기, 및 상기 복수의 측부 전극에 있어서의 전압 진폭의 각각으로부터 정전 용량을 나타내는 복수의 제1 측정값을 생성하고, 상기 바닥부 전극에 있어서의 전압 진폭으로부터 정전 용량을 나타내는 제2 측정값을 생성하는 프로세스를 포함하도록 구성되며, 상기 제1 측정값은, 상기 측부 전극과 상기 베이스 기판의 상기 측면에 대면하는 제1 물체와의 상대적인 위치 관계를 반영하고, 상기 제2 측정값은, 상기 바닥부 전극과 상기 베이스 기판의 상기 바닥면에 대면하는 제2 물체와의 상대적인 위치 관계를 반영하는, 상기 회로 기판을 구비하는 측정기.
A measuring instrument for measuring capacitance, comprising:
A base substrate having a disk shape, a top surface, a bottom surface, and a side surface;
a plurality of first sensors arranged along the side surface of the base substrate, each providing a plurality of side electrodes;
One or more second sensors each having a bottom electrode provided along the bottom surface of the base substrate;
An oscillator mounted on the upper surface of the base substrate and connected to each of the plurality of first sensors and the one or more second sensors, the oscillator providing a high-frequency signal to the plurality of side electrodes and the bottom electrode and generating a plurality of first measured values indicative of capacitance from each of the voltage amplitudes at the plurality of side electrodes, and generating a second measured value indicative of capacitance from the voltage amplitudes at the bottom electrodes. process, wherein the first measurement reflects a relative positional relationship between the side electrode and a first object facing the side of the base substrate, and wherein the second measurement value comprises: and a second object facing the bottom surface of the base substrate to reflect a relative positional relationship, the measuring device having the circuit board.
청구항 1에 있어서,
상기 1 이상의 제2 센서의 각각의 상기 바닥부 전극은, 원 형상을 갖고,
상기 1 이상의 제2 센서의 각각은, 상기 바닥부 전극을 둘러싸도록 배치된 주변 전극을 더 가지며,
상기 회로 기판은, 상기 주변 전극에 상기 고주파 신호를 부여하여, 상기 주변 전극에 있어서의 전압 진폭으로부터 정전 용량을 나타내는 제3 측정값을 생성하도록 추가로 구성되어 있는 측정기.
The method according to claim 1,
each said bottom electrode of said at least one second sensor has a circular shape,
Each of the one or more second sensors further has a peripheral electrode disposed to surround the bottom electrode,
The circuit board is further configured to apply the high-frequency signal to the peripheral electrode to generate a third measured value indicative of the capacitance from the voltage amplitude at the peripheral electrode.
청구항 1에 있어서,
상기 1 이상의 제2 센서는, 복수의 제2 센서이며,
상기 복수의 제2 센서는, 상기 베이스 기판의 중심 축선을 공유하는 원을 따라 배치되어 있는 측정기.
The method according to claim 1,
The one or more second sensors are a plurality of second sensors,
The plurality of second sensors are arranged along a circle sharing a central axis of the base substrate.
청구항 1 내지 청구항 3 중 어느 한 항에 있어서,
상기 1 이상의 제2 센서의 각각은, 상기 베이스 기판의 상면으로부터 상기 베이스 기판의 판두께 방향으로 뻗도록 상기 베이스 기판에 마련된 복수의 전극을 더 갖고,
상기 1 이상의 제2 센서의 각각의 상기 바닥부 전극은, 상기 복수의 전극의 상기 바닥면의 측의 단면에 의하여 구성되어 있는 측정기.
4. The method according to any one of claims 1 to 3,
Each of the one or more second sensors further has a plurality of electrodes provided on the base substrate so as to extend from the upper surface of the base substrate in the plate thickness direction of the base substrate,
each said bottom electrode of said at least one second sensor is constituted by a cross section on a side of said bottom surface of said plurality of electrodes.
청구항 1 내지 청구항 3 중 어느 한 항에 있어서,
상기 1 이상의 제2 센서의 각각은, 상기 베이스 기판을 관통하는 1 이상의 관통 전극을 더 갖고,
상기 1 이상의 제2 센서의 각각의 상기 바닥부 전극은, 상기 1 이상의 관통 전극을 통하여 상기 회로 기판에 접속되어 있는 측정기.
4. The method according to any one of claims 1 to 3,
Each of the one or more second sensors further has one or more through-electrodes penetrating the base substrate,
and the bottom electrode of each of the at least one second sensor is connected to the circuit board through the at least one through electrode.
청구항 1에 있어서,
상기 1 이상의 제2 센서는 3 이상의 제2 센서이며,
상기 3 이상의 제2 센서의 각각은, 상기 베이스 기판의 바닥면을 따라 마련된 바닥부 전극을 갖고, 상기 베이스 기판의 중심 축선을 공유하는 원을 따라 배치되어 있으며,
상기 3 이상의 제2 센서의 각각의 상기 바닥부 전극의 에지의 일부는, 원호 형상을 갖고 상기 원 상에서 연장되는 측정기.
The method according to claim 1,
The one or more second sensors are three or more second sensors,
Each of the three or more second sensors has a bottom electrode provided along a bottom surface of the base substrate and is disposed along a circle sharing a central axis of the base substrate,
a portion of an edge of the bottom electrode of each of the three or more second sensors has an arc shape and extends on the circle.
청구항 6에 기재된 측정기를 이용하여 처리 시스템에 있어서의 반송 위치 데이터를 교정하는 방법으로서,
상기 처리 시스템은,
챔버 본체, 및 상기 챔버 본체에 의하여 제공되는 챔버 내에 마련되어 있고, 원형의 에지를 갖는 재치 영역을 가지며, 상기 재치 영역 상에 피가공물이 재치되는 정전 척을 갖는 처리 장치와,
반송 위치 데이터에 근거하여 상기 재치 영역 상에 상기 피가공물을 반송하는 반송 장치를 구비하고, 상기 방법은,
상기 반송 위치 데이터에 의하여 특정되는 상기 재치 영역 상의 위치에, 상기 반송 장치를 이용하여 상기 측정기를 반송하는 공정과,
상기 재치 영역 상에 반송된 상기 측정기의 상기 3 이상의 제2 센서에 의하여 3 이상의 정전 용량을 측정하는 공정과,
상기 3 이상의 정전 용량의 측정값으로부터, 상기 측정기가 반송된 상기 재치 영역 상의 위치의, 상기 재치 영역 상의 소정의 반송 위치에 대한 오차를 구하는 공정과,
상기 오차를 이용하여 상기 반송 위치 데이터를 교정하는 공정을 포함하는 방법.
A method of calibrating conveyance position data in a processing system using the measuring device according to claim 6, comprising:
The processing system is
A processing apparatus comprising: a chamber body and an electrostatic chuck provided in a chamber provided by the chamber body, the electrostatic chuck having a mounting area having a circular edge, and on which a workpiece is placed on the mounting area;
A conveying apparatus which conveys the to-be-processed object on the said mounting area based on conveyance position data, the said method comprising:
The process of conveying the said measuring instrument to the position on the said mounting area specified by the said conveyance position data using the said conveyance apparatus;
measuring the electrostatic capacity of 3 or more by the 3 or more second sensors of the measuring device conveyed on the mounting area;
a step of obtaining an error of a position on the mounting area to which the measuring device has been conveyed with respect to a predetermined conveying position on the mounting area from the measured values of the three or more capacitances;
and calibrating the transport position data using the error.
청구항 7에 있어서,
상기 바닥부 전극의 에지의 상기 일부의 곡률은, 상기 재치 영역의 에지의 곡률과 일치하고 있는 방법.
8. The method of claim 7,
The curvature of the part of the edge of the said bottom electrode coincides with the curvature of the edge of the said mounting area|region.
상면, 바닥면 및 측면을 갖는 베이스 기판과,
상기 베이스 기판의 상기 측면에 탑재되어, 상기 베이스 기판의 에지에 배치되는 복수의 제1 센서로서, 각 제1 센서는, 적어도 1개의 제1 전극을 포함하는, 복수의 제1 센서와,
상기 베이스 기판의 상기 바닥면에 탑재되어, 상기 베이스 기판의 바닥부에 배치되는 복수의 제2 센서로서, 각 제2 센서는, 적어도 1개의 제2 전극을 포함하는, 복수의 제2 센서와,
상기 베이스 기판의 상기 상면에 탑재되는 회로로서, 상기 회로는, 상기 복수의 제1 센서 및 상기 복수의 제2 센서에 전기적으로 접속되고, 상기 회로는, 발진기 및 프로세서를 포함하며, 상기 발진기는, 상기 프로세서와 상기 복수의 제1 센서 및 상기 복수의 제2 센서와의 사이에 각각 접속되고, 상기 발진기는, 상기 복수의 제1 센서의 각각에서의 상기 적어도 1개의 제1 전극 및 상기 복수의 제2 센서의 각각에서의 상기 적어도 1개의 제2 전극에 고주파 신호를 공급하도록 구성되며, 상기 프로세서는, 상기 발진기에 의해 상기 복수의 제1 센서의 각각에서의 상기 적어도 1개의 제1 전극에 상기 고주파 신호를 공급하고 있는 동안에 상기 적어도 1개의 제1 전극으로부터 적어도 1개의 제1 측정값을 취득하고, 상기 발진기에 의해 상기 복수의 제2 센서의 각각에서의 상기 적어도 1개의 제2 전극에 상기 고주파 신호를 공급하고 있는 동안에 상기 적어도 1개의 제2 전극으로부터 적어도 1개의 제2 측정값을 취득하도록 구성되며, 상기 적어도 1개의 제1 측정값은, 상기 적어도 1개의 제1 전극과 상기 베이스 기판의 상기 측면에 대면하는 제1 물체와의 상대적인 위치 관계를 반영하고, 상기 적어도 1개의 제2 측정값은, 상기 적어도 1개의 제2 전극과 상기 베이스 기판의 바닥면에 대면하는 제2 물체와의 상대적인 위치 관계를 반영하는, 상기 회로를 포함하는, 측정기.
a base substrate having a top surface, a bottom surface and a side surface;
a plurality of first sensors mounted on the side surface of the base substrate and disposed at an edge of the base substrate, each first sensor including at least one first electrode;
a plurality of second sensors mounted on the bottom surface of the base substrate and arranged on the bottom of the base substrate, wherein each second sensor includes at least one second electrode;
A circuit mounted on the upper surface of the base substrate, wherein the circuit is electrically connected to the plurality of first sensors and the plurality of second sensors, the circuit including an oscillator and a processor, the oscillator comprising: respectively connected between the processor and the plurality of first sensors and the plurality of second sensors, wherein the oscillator includes the at least one first electrode and the plurality of second sensors in each of the plurality of first sensors. and supply a high-frequency signal to the at least one second electrode in each of two sensors, wherein the processor is configured to: supply the high-frequency signal to the at least one first electrode in each of the plurality of first sensors by the oscillator acquiring at least one first measurement value from the at least one first electrode while supplying a signal, and by means of the oscillator the high frequency signal to the at least one second electrode in each of the plurality of second sensors and obtain at least one second measurement value from the at least one second electrode while supplying reflects the relative positional relationship between the first object and the at least one second measurement value, A measuring instrument, comprising the circuit, reflecting
KR1020170075800A 2016-06-20 2017-06-15 Measuring instrument for measuring electrostatic capacity and method of calibrating transfer position data in processing system by using measuring instrument KR102381838B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2016121714 2016-06-20
JPJP-P-2016-121714 2016-06-20
JPJP-P-2016-207649 2016-10-24
JP2016207649A JP6712939B2 (en) 2016-06-20 2016-10-24 Measuring instrument for capacitance measurement and method of calibrating transfer position data in a processing system using the measuring instrument

Publications (2)

Publication Number Publication Date
KR20170142905A KR20170142905A (en) 2017-12-28
KR102381838B1 true KR102381838B1 (en) 2022-04-04

Family

ID=60892229

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170075800A KR102381838B1 (en) 2016-06-20 2017-06-15 Measuring instrument for measuring electrostatic capacity and method of calibrating transfer position data in processing system by using measuring instrument

Country Status (3)

Country Link
JP (1) JP6712939B2 (en)
KR (1) KR102381838B1 (en)
TW (1) TWI724185B (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7029983B2 (en) * 2018-03-09 2022-03-04 東京エレクトロン株式会社 How to find the measuring instrument and the amount of deviation of the measuring instrument
JP7037964B2 (en) * 2018-03-09 2022-03-17 東京エレクトロン株式会社 How the system operates to inspect the measuring instrument and focus ring
US11342210B2 (en) * 2018-09-04 2022-05-24 Applied Materials, Inc. Method and apparatus for measuring wafer movement and placement using vibration data
US11521872B2 (en) * 2018-09-04 2022-12-06 Applied Materials, Inc. Method and apparatus for measuring erosion and calibrating position for a moving process kit
US11404296B2 (en) 2018-09-04 2022-08-02 Applied Materials, Inc. Method and apparatus for measuring placement of a substrate on a heater pedestal
US10794681B2 (en) 2018-09-04 2020-10-06 Applied Materials, Inc. Long range capacitive gap measurement in a wafer form sensor system
US10847393B2 (en) * 2018-09-04 2020-11-24 Applied Materials, Inc. Method and apparatus for measuring process kit centering
JP2020085452A (en) * 2018-11-15 2020-06-04 オムロン株式会社 Proximity sensor unit and distance observation device
JP7129325B2 (en) * 2018-12-14 2022-09-01 東京エレクトロン株式会社 Conveying method and conveying system
JP2020115499A (en) * 2019-01-17 2020-07-30 東京エレクトロン株式会社 Plasma processing apparatus and ring member position deviation measuring method
TW202410105A (en) * 2020-03-20 2024-03-01 荷蘭商Asml荷蘭公司 Electrostatic chuck control systems and related non-transitory computer-readable medium
JP2022068582A (en) 2020-10-22 2022-05-10 東京エレクトロン株式会社 Calibration method of conveyance position data
JP2022107401A (en) 2021-01-08 2022-07-21 東京エレクトロン株式会社 Measurement instrument and measurement method

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0866375A3 (en) * 1997-03-17 2000-05-24 Nikon Corporation Article positioning apparatus and exposing apparatus having the same
JP3697477B2 (en) * 2001-08-06 2005-09-21 ソニー株式会社 Substrate storage container measuring apparatus and substrate storage container measurement jig
JP2009054993A (en) * 2007-08-02 2009-03-12 Tokyo Electron Ltd Tool for detecting position
JP4460592B2 (en) * 2007-08-07 2010-05-12 小島プレス工業株式会社 On-vehicle equipment operation device
JP4956328B2 (en) * 2007-08-24 2012-06-20 東京エレクトロン株式会社 Adjusting method for position of transfer arm and jig for position detection
KR101016229B1 (en) * 2008-11-06 2011-02-25 한양대학교 산학협력단 Measurement method and system of motion error in precision linear stage

Also Published As

Publication number Publication date
KR20170142905A (en) 2017-12-28
TW201803004A (en) 2018-01-16
JP2017228754A (en) 2017-12-28
TWI724185B (en) 2021-04-11
JP6712939B2 (en) 2020-06-24

Similar Documents

Publication Publication Date Title
KR102381838B1 (en) Measuring instrument for measuring electrostatic capacity and method of calibrating transfer position data in processing system by using measuring instrument
KR102520285B1 (en) Method of obtaining amount of deviation of a measuring device, and method of calibrating transfer position data in a processing system
KR102636225B1 (en) Method for calibrating measuring device and case used in the calibration method
US10634479B2 (en) Measuring instrument for measuring electrostatic capacity and method of calibrating transfer position data in processing system by using measuring instrument
KR102299122B1 (en) Method for acquiring data indicating electrostatic capacitance
US10861729B2 (en) Transfer method and transfer system
CN110243273B (en) Determinator and method for operating a system for checking a focus ring
CN110246796B (en) Measuring device and method for determining deviation of measuring device
CN108693409B (en) Measuring device for measuring electrostatic capacitance
KR102675477B1 (en) Transfer method and transfer system
TW202232108A (en) Measuring device and measuring method wherein the measuring method can stabilize the measurement accuracy of a measuring device
KR20230099647A (en) Measurement device
KR20220053476A (en) Method for correcting transfer position data

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant