KR102060626B1 - 포토닉 패키지 및 이를 형성하는 방법 - Google Patents

포토닉 패키지 및 이를 형성하는 방법 Download PDF

Info

Publication number
KR102060626B1
KR102060626B1 KR1020170166101A KR20170166101A KR102060626B1 KR 102060626 B1 KR102060626 B1 KR 102060626B1 KR 1020170166101 A KR1020170166101 A KR 1020170166101A KR 20170166101 A KR20170166101 A KR 20170166101A KR 102060626 B1 KR102060626 B1 KR 102060626B1
Authority
KR
South Korea
Prior art keywords
adapter
die
opening
photonic
photonic die
Prior art date
Application number
KR1020170166101A
Other languages
English (en)
Other versions
KR20190003296A (ko
Inventor
숭-휘 후앙
티엔-유 후앙
유슌 린
유? 린
쥐 시에 라이
웬-쳉 첸
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20190003296A publication Critical patent/KR20190003296A/ko
Application granted granted Critical
Publication of KR102060626B1 publication Critical patent/KR102060626B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • H01L25/167Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits comprising optoelectronic devices, e.g. LED, photodiodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/075Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00
    • H01L25/0756Stacked arrangements of devices
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12002Three-dimensional structures
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/26Optical coupling means
    • G02B6/30Optical coupling means for use between fibre and thin-film device
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/26Optical coupling means
    • G02B6/34Optical coupling means utilising prism or grating
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4214Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms the intermediate optical element having redirecting reflective means, e.g. mirrors, prisms for deflecting the radiation from horizontal to down- or upward direction toward a device
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/4239Adhesive bonding; Encapsulation with polymer material
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4274Electrical aspects
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4274Electrical aspects
    • G02B6/428Electrical aspects containing printed circuit boards [PCB]
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/43Arrangements comprising a plurality of opto-electronic elements and associated optical interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/075Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00
    • H01L25/0753Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Optical Couplings Of Light Guides (AREA)

Abstract

방법은 전자 다이를 포토닉 다이에 본딩시키는 단계를 포함한다. 상기 포토닉 다이는 개구를 포함한다. 상기 방법은 상기 포토닉 다이 상에 어댑터를 부착시키는 단계 - 상기 어댑터의 일부분은 상기 전자 다이의 일부분과 동일한 레벨에 있음 -; 상기 어댑터를 관통하는 관통-홀을 형성하는 단계 - 상기 관통-홀은 상기 개구에 정렬됨 -; 및 광학 디바이스를 상기 어댑터에 부착시키는 단계를 더 포함한다. 상기 광학 디바이스는 상기 포토닉 다이 내로 광을 발광하거나 또는 상기 포토닉 다이로부터 광을 수광하도록 구성된다.

Description

포토닉 패키지 및 이를 형성하는 방법{PHOTONIC PACKAGE AND METHOD FORMING SAME}
본 출원은 이하의 가출원된 미국 특허 출원: 2017년 6월 30일자로 출원된 발명의 명칭이 "포토닉 패키지 및 이를 형성하는 방법"인 출원 번호 제62/527,185호의 이익을 주장하며, 상기 출원은 본 명세서에 참조로서 병합된다.
전기 시그널링 및 프로세싱은 신호 전송 및 프로세싱을 위한 주류 기술이었다. 광 시그널링 및 프로세싱은 특히 신호 전송을 위한 광섬유 관련 애플리케이션의 사용으로 인해 최근 몇 년 동안 점점 더 많은 애플리케이션에서 사용되고 있다.
광학 시그널링 및 프로세싱은 거의 항상 전기 시그널링 및 프로세싱과 결합되어 완전한 애플리케이션을 제공한다. 예를 들어, 광섬유는 장거리 신호 전송에 사용될 수 있고, 전기 신호는 단거리 신호 전송 및 프로세싱 및 제어에 사용될 수 있다. 따라서, 광 신호와 전기 신호 사이의 변환 및 광 신호 및 전기 신호의 프로세싱을 위해 광학 컴포넌트 및 전기 컴포넌트를 통합하는 디바이스가 형성된다. 따라서, 패키지는 광학 디바이스를 포함하는 광학(포토닉) 다이 및 전자 디바이스를 포함하는 전자 다이 모두를 포함할 수 있다.
본 개시의 양태들은 첨부된 도면과 함께 읽을 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 관행에 따르면, 다양한 피처들이 일정한 비율로 도시되지 않는다는 것을 알아야 한다. 실제로 다양한 피처의 치수는 논의의 명확성을 위해 임의로 증가되거나 또는 감소될 수 있다.
도 1a 내지 도 1g는 일부 실시예에 따른 광학 디바이스 및 전기 디바이스를 모두 포함하는 칩-온-웨이퍼(chip-on-wafer) 패키지의 형성에서 중간 스테이지의 단면도를 도시한다.
도 2 및 도 3은 일부 실시예에 따른 광학 디바이스 및 전기 디바이스를 모두 포함하는 패키지를 도시한다.
도 4a 내지 도 4d는 일부 실시예에 따른 광학 디바이스 및 전기 디바이스를 모두 포함하는 칩-온-칩 패키지의 형성에서 중간 스테이지의 단면도를 도시한다.
도 5a 내지 도 5d는 일부 실시예에 따른 광학 디바이스 및 전기 디바이스를 모두 포함하는 칩-온-웨이퍼 패키지의 형성에서 중간 스테이지의 단면도를 도시한다.
도 6a 내지 도 6c는 일부 실시예에 따른 어댑터의 형성에서 중간 스테이지의 단면도를 도시한다.
도 7a는 일부 실시예에 따른 어댑터의 형성에서 단면도를 도시한다.
도 7b 내지 도 7g는 일부 실시예에 따른 어댑터의 형성에서 중간 스테이지의 평면도 및 단면도를 도시한다.
도 8 및 도 9는 일부 실시예에 따른 일부 어댑터 및 대응하는 그레이팅 커플러(GC) 홀의 평면도를 도시한다.
도 10은 일부 실시예에 따른 칩-온-웨이퍼 패키지를 형성하기 위한 공정 흐름을 도시한다.
이하의 개시는 본 발명의 상이한 특징을 구현하기 위한 많은 상이한 실시예들 또는 예들을 제공한다. 본 개시를 간단히 하기 위해 구성 요소 및 배열의 특정 예들이 아래에 설명된다. 이들은 물론 예시일 뿐이고, 제한하도록 의도되지 않는다. 예를 들어, 이하의 설명에서 제2 피처 위에 또는 제2 피처 상에 제1 피처를 형성하는 것은 제1 피처 및 제2 피처가 직접 접촉하여 형성되는 실시예를 포함할 수 있으며, 제1 및 제2 피처가 직접 접촉하지 않을 수 있도록 제1 피처와 제2 피처 사이에 추가의 피처가 형성될 수 있는 실시예도 또한 포함할 수 있다. 또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순성 및 명료함을 목적으로 하며, 논의된 다양한 실시예들 및/또는 구성들 간의 관계를 그 자체로 규정하지는 않는다.
또한, "아래에 있는(underlying)", "아래에(below)", "하부(lower)", "위에 있는(overlying)" "상부(upper)" 등과 같은 공간적으로 상대적인 용어는 본 명세서에서 도면에 도시된 바와 같은 다른 요소(들) 또는 특징(들)에 대한 하나의 요소 또는 특징의 관계를 설명하기 위해 설명의 용이함을 목적으로 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 도시된 배향 외에 사용 또는 작동 중인 디바이스의 상이한 배향을 포함하도록 의도된다. 장치는 다르게 배향될 수도 있고(90도 또는 다른 배향으로 회전될 수 있음), 본 명세서에서 사용되는 공간적으로 상대적인 기술어도 마찬가지로 유사하게 해석될 수 있다.
광학 디바이스 및 전기 디바이스를 모두 포함하는 3차원(3D) 패키지 및 이를 형성하는 방법이 다양한 예시적인 실시예에 따라 제공된다. 패키지를 형성하는 중간 스테이지가 일부 실시예에 따라 도시된다. 일부 실시예의 몇몇 변형이 논의된다. 다양한 도면 및 예시적인 실시예 전체에 걸쳐, 동일한 참조 번호는 동일한 요소를 지정하는데 사용된다.
도 1a 내지 도 1g는 본 발명의 일부 실시예에 따른 패키지의 형성에서 중간 스테이지의 단면도를 도시한다. 도 1a 내지 도 1g에 도시된 단계들은 또한 도 10에 도시된 공정 흐름(200)에 개략적으로 반영된다.
도 1a는 접착제(4)를 통해 캐리어(2) 상에 장착된 포토닉 다이(10)의 단면도를 도시한다. 각각의 단계는 도 10에 도시된 공정 흐름에서 단계(202)로서 도시된다. 본 발명의 일부 실시예에 따르면, 비록 하나의 포토닉 다이(10)가 상세하게 예시되어 있지만, 포토닉 다이(10)는 어레이로서 배열된 복수의 동일한 포토닉 다이(10)를 포함하는 웨이퍼(6)의 일부이다. 포토닉 다이(10)는 광 신호를 수신하고, 포토닉 다이(10) 내부로 광 신호를 전송하고, 광 신호를 포토닉 다이(10) 외부로 전송하고, 전자 다이(54)와 전기적으로 통신하는 기능을 갖는다. 따라서, 포토닉 다이(10)는 또한 광 신호의 입출력(IO)을 담당한다.
포토닉 다이(10)는 기판(12)을 포함한다. 기판(12)은 실리콘 기판, 실리콘 게르마늄 기판, 또는 다른 반도체 물질로 형성된 기판일 수 있는 반도체 기판일 수 있다. 본 발명의 대안적인 실시예에 따르면, 기판(12)은 예를 들어 실리콘 산화물로 형성된 유전체 기판이다. 본 발명의 일부 실시예에 따르면, 포토닉 다이(10)는 인터포저(interposer)로서 사용되며, 기판(12)을 관통하는 관통-비아(through-vias)(TVs)(기판-관통 비아 또는 실리콘-관통 비아라고도 함)(14)를 포함한다. TVs(14)는 또한 텅스텐, 구리, 티타늄 등과 같은 금속 물질일 수도 있는 전도성 물질로 형성된다. 격리 층(16)은 TVs(14)를 둘러싸고, 기판(12)으로부터 TVs(14)를 전기적으로 격리한다.
도 1a 내지 도 1g에 도시된 공정은 도 1a에 도시된 바와 같이 포토닉 다이(10)의 후면 구조(솔더 영역(26)을 포함함)가 먼저 형성되는 솔더-퍼스트 공정으로 지칭된다. 도 1a에 도시된 구조에서, 유전체 층(18)은 기판(12) 아래에 있고, 실리콘 산화물, 실리콘 질화물, 실리콘 카바이드 또는 다른 유전체 물질로 형성될 수 있다. TVs(14)는 유전체 층(18)을 관통할 수 있다. 재배선 라인(RDLs)(22)은 TVs(14)의 아래에 형성되고 이에 연결되며, 전기 신호, 전력, 전기 접지 등의 경로 변경을 위해 사용된다. 재배선 라인(22)은 유전체 층(20)에 형성된다. UBMs(Under-Bump Metallurgies)(24)은 RDLs(22) 아래에 있고 이에 전기적으로 연결되어 형성되고, 솔더 영역(26)은 UBMs(24) 상에 형성된다.
본 발명의 일부 실시예에 따르면, 집적 회로 디바이스(28)는 기판(12)의 상단 표면에 형성될 수 있다. 본 발명의 일부 실시예에 따르면, 집적 회로 디바이스(28)는 트랜지스터 및/또는 다이오드(포토 다이오드를 포함할 수 있음)와 같은 능동 디바이스를 포함한다. 집적 회로 디바이스(28)는 또한 커패시터, 레지스터 등과 같은 수동 디바이스를 포함할 수도 있다. 본 발명의 대안적인 실시예에 따르면, 능동 디바이스가 형성되지 않고, 수동 디바이스가 포토닉 다이(10)에 형성될 수 있다.
기판(12) 위에는 (복수의 유전체 층을 나타낼 수도 있는) 유전체 층(30)이 위치한다. 본 발명의 일부 실시예에 따르면, 유전체 층(30)은 실리콘 산화물, 실리콘 질화물 등으로 형성된다. 실리콘 층(32)이 유전체 층(30) 위에 형성되어 접촉할 수 있다. 실리콘 층(32)은 패터닝될 수 있으며, 광 신호의 내부 전송을 위한 도파관을 형성하는데 사용된다. 따라서, 실리콘 층(32)은 이하에서 도파관(들)이라고도 불린다. 그레이팅 커플러(34)는 실리콘 층(32) 상에 형성되고, 그레이팅 커플러(34)의 상단 부분은 그레이팅을 가지므로, 그레이팅 커플러(34)는 광을 수광하거나 또는 광을 전달하는 기능을 갖는다. 광을 수광하는데 사용되는 그레이팅 커플러(34)는 위에 있는 광원 또는 광 신호 소스(도 1g에 도시된 바와 같은 광섬유(84)와 같은)로부터의 광을 수광하여, 광을 도파관(32)으로 전달한다. 광을 전달하는데 사용되는 그레이팅 커플러(34)는 도파관(32)으로부터 광을 수광하고 광을 광섬유(84)로 전달한다(도 1g). 변조기(들)(36)는 또한 실리콘 층(32) 상에 형성되고, 광 신호를 변조하는데 사용된다. 포토닉 다이(10)는 본 개시의 일부 실시예에 따라 또한 고려되는 광 신호 및 전기 신호를 프로세싱하고 전송하는데 사용될 수 있는 다양한 다른 디바이스 및 회로를 포함할 수 있음을 알 수 있다.
도 1은 또한 그레이팅 커플러(34) 위에 형성된 상호 연결 구조(38)를 도시한다. 상호 연결 구조(38)는 내부에 복수의 유전체 층(40, 42) 및 금속 라인 및 비아(조합하여 44로 언급됨)를 포함한다. 유전체 층(40)은 실리콘 산화물과 같은 광 투과성 물질로 형성된다. 유전체 층(42)은 또한 금속간 유전체(Inter-metal Dielectrics)(IMDs)로 지칭되며, 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물 등, 또는 약 3.0보다 낮은 k 값을 갖는 로우-k 유전체 물질로 형성될 수 있다. 로우-k 유전체 물질은 블랙 다이아몬드(어플라이드 머티리얼즈의 등록 상표), 탄소 함유 로우-k 유전체 물질, 수소 실세스 퀴옥산(HSQ), 메틸 실세스 퀴옥산(MSQ) 등을 포함할 수 있다. 에칭 정지 층은 이웃하는 IMDs를 분리하도록 형성될 수 있으며, 유전체 층에 대해 높은 에칭 선택도를 갖는 물질(들)로 형성된다. 에칭 정지 층은 실리콘 카바이드, 실리콘 탄-질화물 등으로 형성될 수 있다. 금속 라인 및 비아(44)는 다마신 공정을 사용하여 형성될 수 있으며, 예를 들어 확산 장벽 층 상에 구리를 포함할 수 있다. 확산 장벽 층은 티타늄, 티타늄 질화물, 탄탈, 탄탈 질화물 등으로 형성될 수 있다. 일부 실시예에 따르면, TVs(14)는 유전체 층(42) 내의 바닥 유전체 층의 금속 라인(44)까지 연장한다.
금속 패드(48)는 금속 라인/비아(44) 위에 형성되어 연결된다. 패시베이션 층(46)이 형성될 수 있고, 패시베이션 층(46)의 적어도 일부분이 금속 패드(48)의 에지 부분을 커버한다. 금속 패드(48)는 알루미늄 구리로 형성되고, 이에 따라 금속 패드(48)의 대부분의 요소가 알루미늄이기 때문에, 알루미늄 패드라고도 한다. 금속 패드(48)는 금속 라인 및 비아(44)를 통해 (디바이스(28)와 같은) 전기 디바이스 및/또는 관통-비아(14)에 전기적으로 연결되며, 전기 디바이스는 광-전기 변환 디바이스 및/또는 전기-광 변환 디바이스일 수 있다. 광-전기 변환 디바이스 및/또는 전기-광 변환 디바이스는 포토닉 다이(10) 내부에 형성될 수 있거나 또는 포토닉 다이(10) 외부에 부착될 수 있다. 광-전기 변환 디바이스는 포토다이오드를 포함할 수 있다. 전기-광 변환 디바이스는 발광 다이오드, 램프 등을 포함할 수 있다.
패시베이션 층(46)은 넌-로우(non-low)-k 유전체 물질로 형성될 수 있다. 예를 들어, 패시베이션 층(46)은 실리콘 산화물 층, 및 실리콘 산화물 층 위의 실리콘 질화물 층을 포함할 수 있다. PBO, 폴리이미드 등과 같은 폴리머 층은 유전체 층(46) 위에 있는 층(49)과 같은 상단 유전체 층을 형성하는데 사용되거나 또는 사용되지 않을 수도 있다. 전기 커넥터(50)는 포토닉 다이(10)의 상단 표면에 형성된다. 본 발명의 일부 실시예에 따르면, 전기 커넥터(50)는 구리, 니켈, 티타늄 또는 이들의 다중 층으로 형성되고, 금속 필러(pillars)로 형성될 수 있다. 전기 커넥터(50)는 또한 솔더 캡(도시되지 않음)을 포함할 수도 있다.
홀(52A, 52B)은 포토닉 다이(10)에 형성되고, 층(49, 46, 42)을 포함하는 복수의 유전체 층을 관통한다. 홀(52A, 52B)은 또한 집합적으로 그리고 개별적으로 홀(또는 개구)(52)로 지칭된다. 홀(52)의 형성은 포토 리소그래피 프로세스를 사용하는 에칭 프로세스를 포함한다. 홀(52)은 유전체 층(40)의 상단 표면까지 연장되고, 유전체 층(40)의 적어도 일부분은 홀(52)의 각각의 바로 아래에 위치한다. 본 발명의 일부 실시예에 따르면, 홀(52)은 하부의 그레이팅 커플러(34)와 중첩된다. 홀(52)의 평면도 형상은 직사각형, 원형, 육각형 등을 포함할 수 있으며, 이에 제한되지 않는다. 하나의 홀(52A) 및 하나의 홀(52B)이 도시되어 있지만, 복수의 홀(52A) 및/또는 복수의 홀(52B)이 있을 수 있다는 것을 알아야 한다. 유전체 층(42)이 로우-k 유전체 물질을 포함하는 일부 실시예에 따르면, 홀(52)의 측벽을 컨포멀한 넌-로우-k 유전체 층(도시되지 않음)으로 커버함으로써 홀(52)을 패시베이션하여 로우-k 유전체 물질이 노출되지 않는다.
도 1b를 참조하면, 전자 다이(54)는 포토닉 다이(10)에 본딩된다. 각각의 단계는 도 10에 도시된 공정 흐름에서 단계(204)로서 예시된다. 본딩은 예를 들어 솔더 영역(56)을 사용하는 솔더 본딩을 통해 달성될 수 있다. 다이렉트 금속-대-금속 본딩 또는 하이브리드 본딩과 같은 다른 본딩 방법이 또한 사용될 수 있다. 언더필(underfill)(58)이 전자 다이(54)와 포토닉 다이(10) 사이의 갭으로 분산될(dispensed) 수 있고, 그 후 경화된다.
본 발명의 일부 실시예에 따르면, 전자 다이(54)는 포토닉 다이(10)에서 디바이스의 동작을 제어하기 위한 제어 회로를 포함하는 중앙 처리 유닛으로서 동작한다. 전자 다이(54)는 또한 (솔더 영역(56)과 같은) 본딩 영역을 통해 포토닉 다이(10)와 전기 신호를 교환한다. 또한, 전자 다이(54)는 포토닉 다이(10)에서 광 신호로부터 변환된 전기 신호를 프로세싱하기 위한 회로를 포함한다.
후속 단계에서, 도 1c에 도시된 바와 같이, 어댑터(60)는 포토닉 다이(10)에 부착된다. 각각의 단계는 도 10에 도시된 공정 흐름에서 단계(206)로서 도시된다. 본 발명의 일부 실시예에 따르면, 어댑터(60)는 접착제(62)를 통해 포토닉 다이(10)에 부착된다. 본 발명의 대안적인 실시예에 따르면, 어댑터(60)는 본딩, 예를 들어 용융 본딩 (유전체-대-유전체) 본딩을 통해 포토닉 다이(10)에 부착된다. 본딩은 예를 들어, 산화물이 산소 원자를 포함할 수 있는 실리콘-대-산화물 본딩 또는 산화물-대-산화물 본딩을 통해 달성될 수 있다. 본딩에서, 어댑터(60) 내의 정렬 마크(들)(73)는 어댑터(60)를 포토닉 다이(10)에 정렬시키기 위해 사용되어 어댑터(60)의 홀(66A, 66B)(집합적으로 또는 개별적으로 홀 또는 개구(66)로 지칭됨)은 각각의 아래에 있는 홀(52A, 52B)에 각각 정렬된다. 본 발명의 일부 실시예에 따르면, 홀(66)은 대응하는 하부 홀(52)의 측방향 치수보다 큰 측방향 치수를 갖는다. 홀(66)은 대응하는 하부 홀(52)의 에지를 넘어 측방향으로 연장될 수 있다. 홀(66A) 및 하부 홀(52A)은 각각의 홀(66A)이 하나의 홀(52A)과 중첩되는 상태로 일대일로 대응한다. 홀(66A) 및 아래에 있는 홀(52A)은 일대다 대응 관계를 가질 수 있어, 홀(66A) 각각은 복수의(2개 이상의) 홀(52A)과 중첩된다. 홀(66B) 및 아래에 있는 홀(52B)은 하나의 홀(66B)이 하나의 홀(52B)과 중첩되는 상태에서 일대일로 대응할 수 있다. 홀(66B) 및 아래에 있는 홀(52B)은 또한 일대다 대응 관계를 가질 수 있어, 홀(66B) 각각은 복수의(2개 이상의) 홀(52B)과 중첩된다.
도 6a 내지 도 6c는 본 발명의 일부 실시예에 따른 어댑터(60)의 형성에서 중간 스테이지의 단면도를 도시한다. 도 6a를 참조하면, 블랭크 플레이트(68)가 제공된다. 본 발명의 일부 실시예에 따르면, 블랭크 플레이트(68)는 균질 물질(72)로 형성된다. 또한, 블랭크 플레이트(68) 상에 형성된 능동 디바이스 및 수동 디바이스는 없다. 블랭크 플레이트(68)는 반도체 물질, 유전체 물질, 또는 금속 물질로 형성될 수 있다. 또한, 블랭크 플레이트(68)는 캡슐화 물질(74)(도 1d)의 열팽창 계수(CTE)보다 작은 열팽창 계수(CTE)를 갖는 물질로 형성될 수 있다. 블랭크 플레이트(68)의 CTE는 또한 실리콘의 CTE(약 2.6 ppm/℃), 또는 실리콘의 CTE와 캡슐화 물질(74)의 CTE 사이와 같거나 또는 유사할 수 있다. 본 발명의 일부 실시예에 따르면, 블랭크 플레이트(68)는 실리콘과 같은 반도체 물질로 형성되고, 블랭크 실리콘 웨이퍼의 형태일 수 있다. 대안적인 실시예에 따르면, 블랭크 플레이트(68)는 실리콘 산화물 또는 실리콘 질화물(CTE가 약 3.2 ppm/℃와 동일함)과 같은 유전체 물질로 형성된다. 또 다른 실시예에 따르면, 블랭크 플레이트(68)는 구리(CTE가 약 8.4 ppm/℃임), 알루미늄, 스테인레스 강 등과 같은 금속 물질로 형성된다.
도 6b를 참조하면, 홀(52A, 52B)은 블랭크 플레이트(68)의 상단 표면으로부터 블랭크 플레이트(68)의 중간 레벨까지 연장하도록 형성된다. 홀(52A, 52B)은 에칭을 통해 형성될 수 있다. 에칭은 이방성이므로, 홀(52A, 52B)의 측벽은 직선 및 수직이다.
다음으로, 도 6c를 참조하면, 라이너(70)가 베이스 물질(72) 상에 형성된다. 플레이트(68)가 실리콘 플레이트 또는 실리콘 웨이퍼일 때, 라이너(70)는 플레이트(68)의 열 산화를 통해 형성될 수 있으며, 따라서 라이너(70)는 실리콘 산화물 층이다. 이들 실시예에 따르면, 라이너(70)는 라이너(70)의 일부분이 도시되지 않은 플레이트(68)의 측벽 및 바닥 표면에 형성될 수도 있다. 본 발명의 일부 실시예에 따르면, 라이너(70)는 퇴적을 통해 형성되고, 라이너(70)는 실리콘 산화물, 실리콘 질화물 등을 포함할 수 있다. 라이너(70)는 실리콘 산화물과 같은 균질 물질로 형성된 단일 층일 수 있거나, 또는 상이한 물질로 형성된 복수의 서브 층을 포함하는 복합 층일 수 있다. 예를 들어, 라이너(70)는 실리콘 산화물 층, 및 실리콘 산화물 층 위의 티타늄 질화물 층을 포함할 수 있다. 형성은 원자 층 퇴적(ALD), 화학 기상 퇴적(CVD) 등과 같은 컨포멀 방법을 사용하여 수행될 수 있다.
접착 필름(62)은 후속적인 부착을 위해 일부 실시예에 따르면 라이너(70)의 상단 표면 상에 형성될 수 있다. 다른 실시예에 따르면, 접착 필름(62)은 형성되지 않는다. 또한, 정렬 마크(73)가 형성되어 있다. 정렬 마크는 플레이트(68) 내로 연장하는 노치일 수 있다는 것을 알아야 한다. 다음으로, 플레이트(68)는 복수의 동일한 어댑터(60)로 소잉되고(sawed), 어댑터(60) 중 하나는 도 1c에 도시된 바와 같이 포토닉 다이(10)에 부착된다. 어댑터(60)가 용융 본딩을 통해 포토닉 다이(10)에 부착될 때, 어떠한 접착제도 플레이트(68) 상에 배치되지 않는다. 따라서, 도 1c의 접착제(62)는 그것이 존재할 수도 있고 존재하지 않을 수도 있다는 것을 나타내기 위해 점선으로 도시된다.
도 7a는 다른 실시예에 따른 어댑터(60)의 형성을 도시한다. 초기 구조, 물질 및 공정 단계는 또한 도 6a 및 도 6b에 도시된 것과 동일하다. 다음으로, 도 7a에 도시된 바와 같이, 라이너(70) 및 투명 충전 물질(71)이 형성되고, 라이너(70) 및 투명 충전 물질(71)의 상단 표면은 예를 들어 화학적 기계적 연마(CMP) 또는 기계적 연삭으로 평탄화될 수 있다. 투명한 충전 물질(71)은 라이너(70)의 제2 굴절률(n2)보다 큰 제1 굴절률(n1)을 갖는다. 따라서, 투명한 충전 물질(71)이 광을 전달하는데 사용될 수 있고, 투명한 충전 물질(71)에서 투과된 광이 라이너(70)에 도달할 때 투명 충전 물질(71)에서 전반사가 발생할 수 있다. 본 발명의 일부 실시예에 따르면, 투명한 충전 물질(71)은 실리콘 산화물, 실리콘 질화물, 실리콘, 유리 등으로 형성되며, 형성 방법은 퇴적 또는 스핀-온 코팅을 포함할 수 있다. 라이너(70) 및 투명한 충전 물질(71)의 평탄화 후에, 플레이트(68)는 어댑터(60)로 소잉된다. 접착제는 라이너(70)의 물질 및 어댑터(60)를 포토닉 다이(10)에 부착하기 위한 의도된 방법에 따라 플레이트(68) 상에 배치될 수 있거나 또는 배치되지 않을 수 있다.
도 7b 내지 도 7g는 대안적인 실시예에 따른 어댑터(60)의 형성에서의 평면도 및 단면도를 도시한다. 단면도인 도 7b를 참조하면, 반도체 플레이트(68)가 제공된다. 반도체 플레이트(68)는 실리콘 기판일 수 있거나, 또는 다른 투명한 반도체 물질로 형성될 수 있다. 개구(69A, 69B)는 예를 들어 에칭을 통해 반도체 플레이트(68)에 형성된다. 도 7c는 반도체 플레이트(68)의 평면도를 도시한다. 개구(69A, 69B)는 각각 반도체 플레이트(68)의 부분(68')을 둘러싸는 링을 형성한다.
다음으로, 도 7d 및 도 7e에 도시된 바와 같이, 열 산화가 수행되어 실리콘 산화물 영역일 수 있는 산화물 영역(77)을 형성한다. 열 산화에서의 부피 증가로 인해, 개구(69A, 69B)는 산화물 링을 형성하는 산화물 영역으로 충전되며, 산화물 링(77A, 77B)으로 각각 지칭된다. 산화물 영역(77)은 또한 반도체 플레이트(68)의 상단에 일부분을 포함한다.
다음에, 도 7f 및 도 7g에 도시된 바와 같이, 산화물 영역(77)의 적어도 일부 수평 부분이 제거된다. 본 발명의 일부 실시예에 따르면, 산화물 영역(77)의 수평 부분은 CMP 또는 기계적 연마 단계에서 제거된다. 따라서, 반도체 부분(68')이 노출된다. 대안적으로, 에칭이 부분(68')을 커버하는 산화물 영역(77)의 부분을 제거하도록 수행되고, 산화물 영역(77)의 다른 부분은 에칭되지 않도록 남겨둔다. 그 후 반도체 플레이트(68)는 도 2의 구조에서 사용되는 어댑터(60)로 소잉된다. 이들 실시예에 따르면, 산화물 링(77A, 77B)은 광을 안내하기 위한 도파관을 형성하고, 반도체 부분(68')은 도 2에 도시된 바와 같이 (개구(66A, 66B) 내의) 투명 물질(71)이다. 하부의 포토닉 다이(10)(도 2)에 대한 각각의 어댑터(60)의 본딩은 용융 본딩일 수 있다.
도 6d 또는 도 7a에 도시된 바와 같은 어댑터(60)가 포토닉 다이(10)에 부착된 후에, 도 1d에 도시된 바와 같이, 캡슐화 공정이 수행되어 캡슐화 물질(74)에 어댑터(60) 및 전자 다이(54)를 캡슐화한다. 각각의 단계는 도 10에 도시된 공정 흐름에서 단계(208)로서 예시된다. 캡슐화 물질(74)은 베이스 물질(폴리머 또는 레진) 및 베이스 물질의 필러를 포함할 수 있는 몰딩 화합물일 수 있다. 필러는 구형 입자일 수 있다.
도 1e는 CMP 또는 기계적 연삭을 통해 수행될 수 있는 캡슐화 물질(74)의 평탄화를 도시한다. 각각의 단계는 도 10에 도시된 공정 흐름에서 단계(210)로 도시된다. 평탄화 동안, 캡슐화 물질(74), 어댑터(60) 및 가능하게는 전자 다이(54)가 박형화된다. 그 결과, 개구(52A, 52B, 66A, 66B)가 노출된다. 개구(66A, 66B)는 어댑터(60)를 관통하여, 관통-홀이 된다. 결과적인 구조에서, 캡슐화 물질(74), 어댑터(60) 및 전자 다이(54)의 상단 표면은 평탄한 표면과 동일 평면 상에 있다. 이는 소정의 공정 단계(예를 들어, 도 5c 및 도 5d에 도시된 단계)를 수행하기 위해 평탄한 표면이 다른 캐리어(도시되지 않음) 상에 배치될 수 있는 후속 공정 단계에 유리한 특징을 제공한다. 또한, 전자 다이(54)가 포토닉 다이(10)의 일부에 부착되기 때문에, 포토닉 다이는 응력 하에서 뒤틀림(warpage)을 갖게 되어, 전자 다이(54)와 포토닉 다이(10) 사이의 콜드 조인트를 야기할 수 있다. 포토닉 다이(10)는 또한 응력 하에서 균열될(crack) 수도 있다. 어댑터(60)의 채용에 의해 또한 (특히 어댑터(60)의 CTE가 포토닉 다이(10) 및 전자 다이(54)의 CTE에 근접할 때) 응력이 감소된다.
도 1a 내지 도 1e에 도시된 공정 단계는 웨이퍼 레벨에 있다. 따라서, 도 1b 및 도 1c에 도시된 단계에서, 복수의 동일한 전자 다이(54) 및 복수의 동일한 어댑터(60)가 웨이퍼(6)의 복수의 포토닉 다이(10)에 부착된다. 결과적으로, 도 1e에 도시된 구조도 또한 웨이퍼 레벨에 있고, 접착제(4) 위의 결과적인 구조는 복합 웨이퍼(76)로 지칭된다.
복합 웨이퍼(76)는 그 후 캐리어(2)로부터 분리되고, 도 1f에 도시된 바와 같이 다이싱 테이프(75)에 부착된다. 다음으로, 복합 웨이퍼(76)는 단일화되어 서로 동일한 복수의 패키지(78)를 생성한다. 각각의 단계는 도 10에 도시된 공정 흐름에서 단계(212)로서 도시된다.
도 1g는 패키지 기판, 인쇄 회로 기판 등일 수 있는 패키지 컴포넌트(80)에 대한 패키지(78)의 본딩을 도시한다. 솔더 영역(26)은 리플로우되어(reflowed) 패키지 컴포넌트(80)의 본드 패드(81)를 결합시킨다.
또한, 커플러(82) 및 램프(86)는 패키지(78)에 부착된다. 각각의 단계는 도 10에 도시된 공정 흐름에서 단계(214)로 도시된다. 커플러(82)는 홀(66A, 52A)에 정렬된다. 커플러(82)는 포토닉 다이(10)에 대한 광 신호의 입력/출력을 위해 사용된다. 커플러(82)는 하나 또는 복수의 광섬유를 나타내는 광섬유(84)를 포함한다. 광섬유(84)는 개구(66A)를 관통하여 개구(52A) 내로 연장될 수 있고, 광섬유(84)는 하부의 그레이팅 커플러(34)에 광학적으로 결합된다. 광섬유(84)에서 전송된 광은 그레이팅 커플러(34) 상에 투사되거나, 또는 그레이팅 커플러(34)로부터 발광된 광은 광섬유(84)에 의해 수광된다.
또한, 램프일 수 있는 복사선 소스(86)는 대안적으로 램프(86)로도 지칭되고, 포토닉 다이(10)에 부착되고, 홀(66B, 52B)에 정렬된다. 램프(86)는 개구(66B, 52B) 내로 광(88)(레이저 빔일 수 있음)을 투사하도록 구성되며, 광(88)은 하나 또는 복수의 하부의 그레이팅 커플러(34) 상에 투사된다.
본 발명의 일부 실시예에 따르면, 맑은 (따라서 투명한) 접착제인 광학 접착제(90)가 커플러(82) 및 램프(86)를 포토닉 다이(10) 상에 고정하는데 사용된다. 광학 접착제(90)는 개구(66A, 66B, 52A, 52B) 내로 충전될 수 있다. 대안적으로, 광학 접착제(90)는 커플러(82) 및 램프(86) 위에 분배되어 둘러싸고, 개구(66A, 66B, 52A, 52B)를 최종 제품의 에어 갭으로서 남긴다. 따라서 패키지(100)가 형성된다.
도 2, 도 3, 도 4a 내지 도 4d 및 도 5a 내지 도 5d는 본 발명의 일부 실시예에 따른 패키지 형성에서의 중간 스테이지의 단면도를 도시한다. 다른 설명이 없는 한, 이들 실시예에서의 구성 요소의 물질 및 형성 방법은 도 1a 내지 도 1g에 도시된 실시예에서 동일한 참조 번호로 나타낸 동일한 구성 요소와 본질적으로 동일하다. 따라서, 패키지의 형성 프로세스 및 물질에 관한 세부 사항은 도 1a 내지 도 1g에 도시된 실시예의 논의에서 발견될 수 있다.
도 2는 본 발명의 일부 실시예에 따른 패키지(100)를 도시한다. 이러한 실시예에 따른 어댑터(60)는 도 7에 도시된 공정 또는 도 7b 내지 도 7i에 도시된 공정을 사용하여 형성된다. 따라서, 도 2에 도시된 바와 같은 어댑터(60)는 투명한 충전 물질(71)을 포함한다. 투명한 충전 물질(71)은 개구(52A, 52B)와 중첩되고, 상기 개구는 또한 에어 갭이다. 캡슐화 및 평탄화 후에, 어댑터(60) 내의 충전 물질(71)이 노출된다. 따라서, 이들 실시예에 따르면, 관통-홀(66A, 66B)은 투명한 충전 물질(71)로 충전된다. 그 다음, 커플러(82) 및 램프(86)가 부착된다. 이들 실시예에 따르면, 광섬유(84)는 어댑터(60)의 상단 표면과 동등하거나 또는 이보다 높은 바닥 단부를 갖는다. 광섬유(84) 중 하나로부터 투사된 광은 투명한 충전 물질(71)을 통과하고, 그레이팅 커플러(34) 중 하나에 의해 수광된다. 그레이팅 커플러(34) 중 하나로부터 투사된 광은 또한 투명한 충전 물질(71)을 통과할 수 있고, 광섬유(84) 중 대응하는 하나에 의해 수광된다. 양방향 광 통신이 달성된다. 이러한 실시예에 따르면, 광학 접착제(90)는 또한 커플러(82) 및 램프(86)를 고정하는데 사용된다. 어댑터(60)에 개구가 없다. 개구(52A, 52B)는 광학 접착제(90)로 충전되지 않을 수 있고, 최종 제품에서 에어 갭으로서 남아있을 수 있다.
도 3은 본 발명의 일부 실시예에 따른 패키지(100)를 도시한다. 별도의 구성 요소인 램프 중 일부는 충분히 작고 얇으며, 따라서 어댑터(60)의 상단 표면보다 더 높게 돌출하는 임의의 부분이 없이 최종 제품의 개구(66B) 내부에 끼워질 수 있다. 본 발명의 일부 실시예에 따르면, 램프(86)는 본드 패드를 통해 포토닉 다이(10)에 본딩된다. 그런 다음 어댑터(60)가 부착되고, 캡슐화 및 평탄화가 이어진다. 어댑터(60)의 부착 동안, 램프(86)는 개구(66B) 내로 삽입된다. 램프(86)는 아래에 있는 포토닉 다이(10)로부터 전력을 수전하고, 램프(86)에 전력을 공급하기 위해 외부 라인이 필요하지 않다. 따라서, 패키지(78)의 동일 평면성은 램프(86)에 의해 악영향을 받지 않는다. 커플러(82)가 그 후에 부착되고, 광학 접착제(90)가 또한 커플러(82)를 고정하도록 분산된다. 광학 접착제(90)는 개구(66A, 52A) 내로 분산될 수 있으며, 개구(52B) 내로 분산될 수 있거나 또는 분산되지 않을 수 있다. 따라서, 개구(52B)는 에어 갭으로서 남겨질 수 있거나, 또는 광학 접착제(90)로 충전될 수 있다.
도 1a 내지 도 1g에 도시된 실시예는 웨이퍼 레벨에서 수행된다. 따라서, 도 1a 내지 도 1e에 도시된 공정 동안, 포토닉 다이(10)는 복수의 포토닉 다이(10)를 포함하는 비-소잉된 웨이퍼(6)의 일부이다. 웨이퍼는 도 1f에 도시된 단계에서 소잉된다. 도 4a 내지 도 4d는 본 발명의 일부 실시예에 따른 패키지(100)의 다이-레벨 형성을 도시한다.
도 4a에서, 각각의 웨이퍼로부터 이미 소잉된 개별 포토닉 다이(10)는 도 1a에 도시된 캐리어(2)보다 훨씬 더 작은 캐리어인 캐리어(2) 위에 배치된다. 홀(52A, 52B)은 포토닉 다이에 형성되고, 홀(52A, 52B)은 일부 실시예에 따라 웨이퍼의 소잉 전에 형성될 수 있다. 다른 실시예에 따르면, 홀(52A, 52B)은 웨이퍼의 소잉 후에 형성된다. 전자 다이(54)는 포토닉 다이(10)에 본딩되고 언더필(58)이 분산되고 경화된다.
다음으로, 도 4b를 참조하면, 어댑터(60)는 예를 들어 접착제를 사용하는 접착을 통해 또는 유전체-대-유전체 본딩을 통해 포토닉 다이(10)에 부착된다. 일부 실시예에 따른 어댑터(60)는 도 6a 내지 도 6c에 도시된 단계를 먼저 수행한 다음, CMP 또는 연삭을 수행하여 플레이트(68)의 잉여 부분을 제거함으로써, 개구(52A, 52B)가 플레이트(68)를 관통하는 관통-개구가 됨으로써 형성될 수 있다. 플레이트(68)는 그 다음 복수의 어댑터(60)로 소잉되고, 어댑터(60) 중 하나는 도 4b에 도시된 구조를 갖는다. 어댑터(60)의 높이는 플레이트(68)의 CMP 동안 선택되어, 어댑터(60)의 상단 표면이 가능한 한 다이(54)의 상단 표면과 평면이 된다.
도 4c를 참조하면, 어댑터(60)와 전자 다이(54)가 구조적으로 결합되도록 어댑터(60)와 전자 다이(54) 사이에 접착제(94)가 분산된다. 접착제(94)는 또한 (평면도로 볼 때) 어댑터(60) 및 전자 다이(54) 각각을 둘러싸도록 분산될 수도 있다. 접착제(94)의 상단 표면은 어댑터(60) 및 전자 다이(54)의 상단 표면보다 약간 낮다. 이에 따라 패키지(78)가 형성된다.
도 4d에서, 커플러(82) 및 램프(86)는 패키지(78)에 부착되고, 광학 접착제(90)가 분산된다. 또한, 패키지(78)는 패키지 컴포넌트(80)에 본딩된다. 이에 따라 패키지(100)가 형성된다.
도 1a 내지 도 1g에 도시된 실시예는 솔더 영역(26)이 전자 다이(54) 및 어댑터(60)의 본딩/부착 전에 형성되는 솔더-퍼스트 공정(또는 솔더 영역(26)이 종종 C4 범프라고 지칭되기 때문에 C4-퍼스트 공정)으로 지칭된다. 도 5a 내지 도 5d는 전자 다이(54) 및 어댑터(60)가 포토닉 다이(10)에 본딩/부착된 후에 솔더 영역이 형성되는 솔더-래스트 공정의 중간 단계를 도시한다. 도 5a를 참조하면, 복수의 포토닉 다이(10)를 포함하는 비-소잉된 웨이퍼(6)는 접착제(4)를 통해 캐리어(2) 상에 장착된다. 포토닉 다이(10)에서, TVs(14)는 기판(12)의 중간 레벨로 연장되고, 이 때 기판(12)을 관통하지 않는다.
다음에, 도 1b 내지 도 1e에 도시된 공정 단계들이 수행되어, 도 5b에 도시된 구조를 얻는다. 공정 단계들 및 물질들은 도 1b 내지 도 1e에 도시된 실시예들의 논의에서 발견될 수 있으며, 따라서 여기에서 반복되지 않는다. 이에 따라, 복합 웨이퍼(76)가 형성된다.
예를 들어 접착제(98)를 통해 캐리어(96)(도 5c)가 먼저 복합 웨이퍼(76)에 부착되고, 이어서 캐리어(2)(도 5b)로부터 복합 웨이퍼(76)가 분리되는 캐리어 스왑(carrier swap)이 수행된다. 결과적인 구조가 도 5c에 도시된다. 다음으로, 기판(12)의 일부 후면 부분을 제거하기 위해 후면 연삭이 수행되고, 따라서 TVs(14)가 노출된다. 그 후 기판(12)은 TVs(14)가 기판(12)의 후면으로부터 돌출하도록 약간 에칭될 수 있다. 후속 단계에서, 유전체 층(18, 20), RDLs(22), UBMs(24) 및 솔더 영역(26)이 형성된다. 결과적인 복합 웨이퍼(76)가 도 5d에 도시되어 있다.
후속 단계에서, 복합 웨이퍼(76)는 캐리어(96)로부터 분리된다. 후속 단계는 도 1f 및 도 1g에 도시된 것과 본질적으로 동일하므로, 여기에서 반복하지 않는다. 결과적인 패키지는 도 1g에 도시된 것과 본질적으로 동일하다.
전술한 다양한 실시예에 따르면, 패키지(100)의 일부 구성 요소는 상이한 변형을 갖는다는 것을 알아야 한다. 예를 들어, 어댑터(60)는 개구에 투명한 충전 물질을 포함하거나 또는 포함하지 않을 수 있고, 패키지(100)는 웨이퍼 레벨로 또는 다이 레벨로 형성될 수 있으며, 솔더-퍼스트 또는 솔더-래스트 공정을 사용하여 형성될 수 있다. 램프(86)는 어댑터(60) 위에 배치되거나 또는 어댑터(60)에 삽입될 수 있다. 실시예의 변형들에 따른 이러한 공정 단계들 및 구조들은 적용 가능할 때마다 임의의 조합으로 혼합될 수 있다. 예를 들어, 도 4a 내지 도 4d 및 도 5a 내지 도 5d에 도시된 실시예에서, 투명한 충전 물질(도 2)이 채용될 수 있거나, 또는 램프(86)가 도 3의 실시예와 유사하게 어댑터(60)에 삽입될 수 있다.
도 8은 일부 예시적인 실시예에 따른 패키지(100) 내의 어댑터(60) 부분의 평면도를 도시한다. 본 발명의 일부 실시예에 따르면, (66A1으로 표시된) 하나의 개구(66A)는 복수의 개구(52A)와 중첩되며, 각각의 개구(52A)는 하나의 광섬유를 그 안에 삽입하는데 사용될 수 있다. 본 발명의 다른 실시예에 따르면, 복수의 개구(66A2)가 형성되고, 각각의 개구(66A2)는 하나의 개구(52A)와 일대일 대응으로 중첩되고, 개구(52A/66A2) 각각은 하나의 광섬유를 그 안에 삽입하는데 사용된다. 개구(66B)는 일부 실시예에 따른 하나의 개구(52B)에 대응한다.
도 9는 대안적인 실시예에 따른 어댑터(60)의 평면도를 도시한다. 이 구조는 개구(66B)가 복수의 개구(52B)에 대응한다는 점을 제외하고는 도 8에 도시된 구조와 유사하다.
본 개시의 일부 실시예에 따르면, 일부 예시적인 공정 및 특징이 본 개시의 일부 실시예에 따라 설명된다. 다른 특징 및 공정이 또한 포함될 수도 있다. 예를 들어 3D 패키징 또는 3DIC 디바이스의 검증 테스트를 돕기 위해 테스트 구조가 포함될 수 있다. 테스트 구조는 예를 들어 3D 패키징 또는 3DIC의 테스트, 프로브 및/또는 프로브 카드의 사용 등을 허용하는 기판 상에 또는 재분배 층에 형성된 테스트 패드를 포함할 수 있다. 검증 테스트는 중간 구조 및 최종 구조에서 수행될 수 있다. 또한, 여기에 개시된 구조 및 방법은 수율을 증가시키고 비용을 감소시키기 위해 알려진 양호한 다이의 중간 검증을 통합하는 테스트 방법과 관련하여 사용될 수 있다.
본 발명의 실시예들은 몇 가지 유리한 특징을 갖는다. 어댑터의 채택에 의해 솔더-래스트 공정에서 솔더 영역의 형성과 같은 일부 공정 단계에서 캐리어에 접착될 수 있는 평면 상단 표면이 발생될 수 있다. 또한, 특히 어댑터의 CTE가 인터포저 다이 및 전자 다이의 CTE와 유사할 때, 어댑터는 결과적인 구조의 응력을 줄이고 균형을 맞출 수 있다. 따라서 응력으로 인한 문제를 회피할 수 있다.
본 발명의 일부 실시예에 따르면, 방법은 전자 다이를 포토닉 다이에 본딩시키는 단계 - 상기 포토닉 다이는 제1 개구를 포함함 -; 상기 포토닉 다이 상에 어댑터를 부착시키는 단계 - 상기 어댑터의 일부분은 상기 전자 다이의 일부분과 동일한 레벨에 있음 -; 상기 어댑터를 관통하는 관통-홀을 형성하는 단계 - 상기 관통-홀은 상기 제1 개구와 정렬됨 -; 및 광학 디바이스를 상기 어댑터에 부착시키는 단계 - 상기 광학 디바이스는 상기 포토닉 다이 내로 광을 발광하거나 또는 상기 포토닉 다이로부터 광을 수광하도록 구성됨 - 를 포함한다. 일 실시예에서, 상기 방법은 상기 어댑터가 상기 포토닉 다이에 부착된 후에, 상기 전자 다이 및 상기 어댑터를 캡슐화 물질에 캡슐화하는 단계; 및 상기 어댑터 및 상기 전자 다이의 상단 부분을 제거하기 위해 평탄화를 수행하는 단계 - 상기 관통-홀은 상기 평탄화 이후에 노출됨 - 를 더 포함한다. 일 실시예에서, 상기 광학 디바이스는 커플러를 포함하고, 상기 광학 디바이스를 부착시키는 단계에서, 상기 커플러의 광섬유가 상기 관통-홀 및 상기 제1 개구 내로 연장된다. 일 실시예에서, 상기 포토닉 다이는 제2 개구를 더 포함하고, 상기 어댑터는 상기 제2 개구에 정렬되는 추가의 관통-홀을 더 포함하고, 상기 방법은 상기 제2 개구에 정렬되는 램프를 부착하는 단계를 더 포함한다. 일 실시예에서, 상기 포토닉 다이는 웨이퍼로부터 소잉된 개별 다이이고, 상기 방법은 상기 어댑터가 상기 포토닉 다이에 부착된 후, 상기 어댑터와 상기 전자 다이 사이에 접착제를 분산시키는 단계를 더 포함한다. 일 실시예에서, 상기 광학 디바이스를 상기 어댑터에 부착시키는 단계는 상기 광학 디바이스의 적어도 일부분을 상기 어댑터에 중첩하게 배치시키는 단계를 포함한다. 일 실시예에서, 상기 포토닉 다이는 제2 개구를 더 포함하고, 상기 방법은 램프를 상기 포토닉 다이에 본딩시키는 단계 - 상기 어댑터가 부착된 후, 상기 램프는 상기 어댑터의 상기 제2 개구에 위치됨 - 를 더 포함한다. 일 실시예에서, 상기 방법은 상기 어댑터를 형성하는 단계를 더 포함하고, 상기 어댑터를 형성하는 단계는 블랭크 플레이트에 개구를 형성하는 단계; 상기 개구 내로 연장되는 보호 층을 형성하는 단계; 및 복수의 어댑터를 형성하도록 상기 개구를 갖는 상기 블랭크 플레이트를 소잉하는 단계 - 상기 어댑터는 상기 복수의 어댑터 중 하나임 - 를 포함한다.
본 발명의 일부 실시예에 따르면, 방법은 어댑터를 형성하는 단계 - 상기 어댑터를 형성하는 단계는 블랭크 플레이트에 제1 개구를 형성하는 단계; 및 상기 제1 개구 내로 연장되는 부분을 갖는 보호 층을 형성하는 단계를 포함함 -; 상기 포토닉 다이 상에 상기 어댑터를 부착시키는 단계 - 상기 포토닉 다이는 웨이퍼 내에 있음 -; 상기 포토닉 다이 상에 전자 다이를 본딩시키는 단계; 상기 전자 다이 및 상기 어댑터를 캡슐화 물질에 캡슐화하는 단계; 상기 어댑터, 상기 어댑터의 제1 개구 및 상기 전자 다이를 노출시키기 위해 상기 캡슐화 물질에 대해 평탄화를 수행하는 단계; 및 복수의 패키지를 형성하도록 상기 캡슐화 물질 및 상기 웨이퍼를 소잉하는 단계 - 상기 패키지 중 하나는 상기 어댑터, 상기 포토닉 다이 및 상기 전자 다이를 포함함 - 를 포함한다. 일 실시예에서, 상기 방법은 상기 포토닉 다이에 제2 개구를 형성하는 단계 - 상기 어댑터가 상기 포토닉 다이에 부착된 후, 연속적인 개구를 형성하도록 상기 제1 개구는 상기 제2 개구와 결합됨 - 를 더 포함한다. 일 실시예에서, 상기 방법은 상기 어댑터에 커플러를 부착시키는 단계를 더 포함하고, 상기 커플러의 광섬유가 상기 제1 개구 내로 연장된다. 일 실시예에서, 상기 커플러의 상기 광섬유는 상기 포토닉 다이의 추가의 개구 내로 더 연장된다. 일 실시예에서, 상기 방법은 상기 포토닉 다이에 관통-비아(through-via)를 형성하는 단계 - 상기 관통-비아는 상기 포토닉 다이 내의 반도체 기판을 관통함 -; 및 상기 관통-비아에 전기적으로 결합하도록 솔더 영역을 형성하는 단계를 더 포함한다. 일 실시예에서, 상기 어댑터를 형성하는 단계는 상기 어댑터에 제2 개구를 형성하는 단계를 포함하고, 상기 방법은 상기 어댑터의 상단에 램프를 부착시키는 단계 - 상기 램프는 상기 제2 개구 바로 위에 있음 - 를 더 포함한다. 일 실시예에서, 상기 어댑터를 형성하는 단계는 상기 어댑터에 제2 개구를 형성하는 단계, 및 상기 어댑터의 상기 제2 개구 내부에 램프를 배치시키는 단계를 포함한다.
본 발명의 일부 실시예에 따르면, 패키지는 제1 개구를 포함하는 포토닉 다이; 상기 포토닉 다이 위에서 본딩된 전자 다이; 상기 포토닉 다이 위에서 부착된 어댑터 - 상기 어댑터는 상기 어댑터를 관통하는 관통-홀을 포함하고, 상기 관통-홀은 상기 제1 개구와 정렬됨 -; 및 광 커플러 - 상기 광 커플러의 일부분은 상기 어댑터의 상기 관통-홀과 중첩됨 - 를 포함한다. 일 실시예에서, 상기 어댑터의 일부분은 상기 전자 다이의 일부분과 동일한 레벨에 있다. 일 실시예에서, 상기 포토닉 다이는 제2 개구를 더 포함하고, 상기 패키지는 상기 제2 개구와 중첩되는 램프를 더 포함한다. 일 실시예에서, 상기 광 커플러는 상기 관통-홀 및 상기 제1 개구 내로 연장되는 광섬유를 포함한다. 일 실시예에서, 상기 어댑터는 실리콘 층을 포함하고, 능동 디바이스 및 수동 디바이스가 상기 실리콘 층 상에 형성되지 않는다.
본 발명의 일부 실시예에 따르면, 방법은 포토닉 다이 내에 제1 개구 및 제2 개구를 형성하는 단계; 상기 포토닉 다이 위에 전자 다이를 본딩하는 단계; 상기 포토닉 다이 상에 실리콘 어댑터를 부착하는 단계 - 상기 실리콘 어댑터는 그 내부에 능동 디바이스 및 수동 디바이스가 없고, 상기 실리콘 어댑터의 제3 개구가 상기 제1 개구 바로 위에 있음 -; 및 상기 실리콘 어댑터 및 상기 전자 다이의 상단 표면을 평탄화하는 단계를 포함하고, 상기 실리콘 어댑터의 일부분을 제거하여 상기 제1 개구 및 상기 제2 개구 모두를 노출시키고, 상기 제3 개구는 상기 실리콘 어댑터를 관통하는 관통-홀이 된다. 일 실시예에서, 상기 방법은 상기 실리콘 어댑터 및 상기 전자 다이를 캡슐화 물질에 캡슐화하는 단계를 더 포함하며, 상기 평탄화 단계에서, 상기 캡슐화 물질, 상기 실리콘 어댑터 및 상기 전자 다이는 박형화된다. 일 실시예에서, 상기 방법은 상기 실리콘 어댑터 상에 보호 층을 형성하는 단계를 더 포함하며, 상기 보호 층은 상기 제3 개구 내로 연장된다. 일 실시예에서, 상기 방법은 상기 실리콘 어댑터에 광 커플러를 부착하는 단계를 더 포함하며, 상기 광 커플러는 상기 제1 개구 및 상기 제3 개구에 정렬된다. 일 실시예에서, 상기 방법은 상기 실리콘 어댑터에 램프를 부착하는 단계를 더 포함하며, 상기 램프는 상기 제2 개구에 정렬된다.
본 발명의 일부 실시예에 따르면, 패키지는 제1 홀 및 제2 홀을 포함하는 포토닉 다이; 상기 포토닉 다이 위에서 부착되는 실리콘 어댑터 - 상기 실리콘 어댑터는 상기 제1 홀 및 상기 제2 홀에 각각 정렬되고 결합된 제3 홀 및 제4 홀을 포함함 -; 상기 제1 홀 및 상기 제3 홀과 중첩되는 광 커플러; 및 상기 제2 홀 및 상기 제4 홀과 중첩되는 램프를 포함한다. 일 실시예에서, 실리콘 어댑터는 그 내부에 능동 디바이스 및 수동 디바이스가 없다. 일 실시예에서, 광 커플러는 상기 제1 홀 및 상기 제3 홀 모두로 연장되는 광섬유를 포함한다.
본 발명의 일부 실시예에 따르면, 패키지는 제1 홀을 포함하는 포토닉 다이; 상기 포토닉 다이 위에서 부착되는 어댑터 - 상기 어댑터는 상기 제1 홀에 정렬되고 결합되는 제2 홀을 포함하며, 상기 어댑터는 제1 상단 표면을 포함함 -; 상기 어댑터를 둘러싸는 몰딩 화합물 - 상기 몰딩 화합물은 제2 상단 표면을 포함함 -; 상기 제1 상단 표면 및 상기 제2 상단 표면 위에서 접촉하는 광학 접착제; 및 상기 제1 홀과 중첩되는 광 커플러를 포함하고, 상기 광 커플러는 상기 광학 접착제 내에 적어도 일부분을 갖는다. 일 실시예에서, 상기 포토닉 다이는 제3 홀을 더 포함하고, 상기 어댑터는 제3 홀에 정렬되고 결합된 제4 홀을 더 포함하고, 상기 패키지는 상기 제3 홀과 중첩되는 램프를 더 포함한다.
전술된 내용은 당업자가 본 개시의 양태들을 더 잘 이해할 수 있도록 몇몇 실시예의 특징을 개략적으로 설명한다. 당업자는 본 명세서에서 소개된 실시예들의 동일한 목적을 수행하고 그리고/또는 동일한 장점을 달성하기 위한 다른 공정 및 구조를 설계 또는 변경하기 위한 기초로서 본 개시물을 용이하게 사용할 수 있음을 이해해야 한다. 또한, 당업자는 이러한 동등한 구성이 본 개시물의 사상 및 범위를 벗어나지 않는다는 것을 알 것이고, 본 개시물의 사상 및 범위를 벗어나지 않고 본 명세서에서 다양한 변경, 대체 및 변형을 행할 수 있음을 알 것이다.
실시예들
실시예 1. 방법에 있어서,
전자 다이를 포토닉 다이에 본딩시키는 단계 - 상기 포토닉 다이는 제1 개구를 포함함 -;
상기 포토닉 다이 상에 어댑터를 부착시키는 단계 - 상기 어댑터의 일부분은 상기 전자 다이의 일부분과 동일한 레벨에 있음 -;
상기 어댑터를 관통하는 관통-홀을 형성하는 단계 - 상기 관통-홀은 상기 제1 개구에 정렬됨 -; 및
광학 디바이스를 상기 어댑터에 부착시키는 단계 - 상기 광학 디바이스는 상기 포토닉 다이 내로 광을 발광하거나 또는 상기 포토닉 다이로부터 광을 수광하도록 구성됨 -
를 포함하는, 방법.
실시예 2. 실시예 1에 있어서,
상기 어댑터가 상기 포토닉 다이에 부착된 후에, 상기 전자 다이 및 상기 어댑터를 캡슐화 물질 내에 캡슐화하는 단계; 및
상기 어댑터 및 상기 전자 다이의 상단 부분을 제거하기 위해 평탄화를 수행하는 단계 - 상기 관통-홀은 상기 평탄화 이후에 노출됨 -
를 더 포함하는, 방법.
실시예 3. 실시예 2에 있어서,
상기 광학 디바이스는 커플러를 포함하고, 상기 광학 디바이스를 부착시키는 단계에서, 상기 커플러의 광섬유가 상기 관통-홀 및 상기 제1 개구 내로 연장되는 것인, 방법.
실시예 4. 실시예 3에 있어서,
상기 포토닉 다이는 제2 개구를 더 포함하고, 상기 어댑터는 상기 제2 개구에 정렬되는 추가의 관통-홀을 더 포함하고, 상기 방법은 상기 제2 개구에 정렬되는 램프를 부착하는 단계를 더 포함하는 것인, 방법.
실시예 5. 실시예 1에 있어서,
상기 포토닉 다이는 웨이퍼로부터 소잉된(sawed) 개별 다이이고,
상기 방법은 상기 어댑터가 상기 포토닉 다이에 부착된 후, 상기 어댑터와 상기 전자 다이 사이에 접착제를 분산시키는 단계를 더 포함하는 것인, 방법.
실시예 6. 실시예 1에 있어서,
상기 광학 디바이스를 상기 어댑터에 부착시키는 단계는 상기 광학 디바이스의 적어도 일부분을 상기 어댑터에 중첩하게 배치시키는 단계를 포함하는 것인, 방법.
실시예 7. 실시예 1에 있어서,
상기 포토닉 다이는 제2 개구를 더 포함하고,
상기 방법은 램프를 상기 포토닉 다이에 본딩시키는 단계 - 상기 어댑터가 부착된 후, 상기 램프는 상기 어댑터 내의 상기 제2 개구 내에 위치됨 - 를 더 포함하는 것인, 방법.
실시예 8. 실시예 1에 있어서,
상기 어댑터를 형성하는 단계를 더 포함하고,
상기 어댑터를 형성하는 단계는,
블랭크 플레이트 내에 개구를 형성하는 단계;
상기 개구 내로 연장되는 보호 층을 형성하는 단계; 및
복수의 어댑터들을 형성하도록 상기 개구를 갖는 상기 블랭크 플레이트를 소잉하는 단계 - 상기 어댑터는 상기 복수의 어댑터들 중 하나임 -
를 포함하는 것인, 방법.
실시예 9. 방법에 있어서,
어댑터를 형성하는 단계 - 상기 어댑터를 형성하는 단계는,
블랭크 플레이트 내에 제1 개구를 형성하는 단계; 및
상기 제1 개구 내로 연장되는 부분을 갖는 보호 층을 형성하는 단계를 포함함 -;
포토닉 다이 상에 상기 어댑터를 부착시키는 단계 - 상기 포토닉 다이는 웨이퍼 내에 있음 -;
상기 포토닉 다이 상에 전자 다이를 본딩시키는 단계;
상기 전자 다이 및 상기 어댑터를 캡슐화 물질 내에 캡슐화하는 단계;
상기 어댑터, 상기 어댑터 내의 제1 개구 및 상기 전자 다이를 노출시키기 위해 상기 캡슐화 물질에 대해 평탄화를 수행하는 단계; 및
복수의 패키지들을 형성하도록 상기 캡슐화 물질 및 상기 웨이퍼를 소잉하는 단계 - 상기 패키지들 중 하나는 상기 어댑터, 상기 포토닉 다이 및 상기 전자 다이를 포함함 -
를 포함하는, 방법.
실시예 10. 실시예 9에 있어서,
상기 포토닉 다이 내에 제2 개구를 형성하는 단계 - 상기 어댑터가 상기 포토닉 다이에 부착된 후, 연속적인 개구를 형성하도록 상기 제1 개구는 상기 제2 개구와 결합됨 - 를 더 포함하는, 방법.
실시예 11. 실시예 9에 있어서,
상기 어댑터에 커플러를 부착시키는 단계를 더 포함하고, 상기 커플러의 광섬유가 상기 제1 개구 내로 연장되는 것인, 방법.
실시예 12. 실시예 11에 있어서,
상기 커플러의 상기 광섬유는 상기 포토닉 다이 내의 추가의 개구 내로 더 연장되는 것인, 방법.
실시예 13. 실시예 9에 있어서,
상기 포토닉 다이에 관통-비아(through-via)를 형성하는 단계 - 상기 관통-비아는 상기 포토닉 다이 내의 반도체 기판을 관통함 -; 및
상기 관통-비아에 전기적으로 결합하도록 솔더 영역을 형성하는 단계
를 더 포함하는, 방법.
실시예 14. 실시예 9에 있어서,
상기 어댑터를 형성하는 단계는 상기 어댑터 내에 제2 개구를 형성하는 단계를 포함하고,
상기 방법은,
상기 어댑터의 상단 상에 램프를 부착시키는 단계 - 상기 램프는 상기 제2 개구 바로 위에 있음 - 를 더 포함하는 것인, 방법.
실시예 15. 실시예 9에 있어서,
상기 어댑터를 형성하는 단계는 상기 어댑터 내에 제2 개구를 형성하는 단계와, 상기 어댑터의 상기 제2 개구 내부에 램프를 배치시키는 단계를 포함하는 것인, 방법.
실시예 16. 패키지에 있어서,
제1 개구를 포함하는 포토닉 다이;
상기 포토닉 다이 위에 있고 상기 포토닉 다이에 본딩된 전자 다이;
상기 포토닉 다이 위에 있고 상기 포토닉 다이에 부착된 어댑터 - 상기 어댑터는 상기 어댑터를 관통하는 관통-홀을 포함하고, 상기 관통-홀은 상기 제1 개구에 정렬됨 -; 및
광 커플러 - 상기 광 커플러의 일부분은 상기 어댑터 내의 상기 관통-홀과 중첩됨 -
를 포함하는, 패키지.
실시예 17. 실시예 16에 있어서,
상기 어댑터의 일부분은 상기 전자 다이의 일부분과 동일한 레벨에 있는 것인, 패키지.
실시예 18.
실시예 16에 있어서,
상기 포토닉 다이는 제2 개구를 더 포함하고,
상기 패키지는, 상기 제2 개구와 중첩되는 램프를 더 포함하는 것인, 패키지.
실시예 19. 실시예 16에 있어서,
상기 광 커플러는 상기 관통-홀 및 상기 제1 개구 내로 연장되는 광섬유를 포함하는 것인, 패키지.
실시예 20. 실시예 16에 있어서,
상기 어댑터는 실리콘 층을 포함하고, 어떠한 능동 디바이스와 수동 디바이스도 상기 실리콘 층 상에 형성되지 않은 것인, 패키지.

Claims (10)

  1. 방법에 있어서,
    전자 다이를 포토닉 다이에 본딩시키는 단계 - 상기 포토닉 다이는 제1 개구를 포함함 - ;
    상기 포토닉 다이 상에 어댑터를 부착시키는 단계 - 상기 어댑터의 일부분은 상기 전자 다이의 일부분과 동일한 레벨에 있음 - ;
    상기 어댑터가 상기 포토닉 다이에 부착된 후에, 상기 전자 다이 및 상기 어댑터를 캡슐화 물질 내에 캡슐화하는 단계;
    상기 어댑터 및 상기 전자 다이의 상단 부분을 제거하기 위해 평탄화를 수행하는 단계;
    상기 어댑터를 관통하는 관통 홀(through-hole)을 형성하는 단계 - 상기 관통 홀은 상기 제1 개구에 정렬되고, 상기 평탄화 이후에 노출됨 - ; 및
    광학 디바이스를 상기 어댑터에 부착시키는 단계 - 상기 광학 디바이스는 상기 포토닉 다이 내로 광을 발광하거나 또는 상기 포토닉 다이로부터 광을 수광하도록 구성됨 -
    를 포함하는, 방법.
  2. 삭제
  3. 제1항에 있어서,
    상기 광학 디바이스는 커플러를 포함하고, 상기 광학 디바이스를 부착시키는 단계에서, 상기 커플러의 광섬유가 상기 관통 홀 및 상기 제1 개구 내로 연장되는 것인, 방법.
  4. 제3항에 있어서,
    상기 포토닉 다이는 제2 개구를 더 포함하고, 상기 어댑터는 상기 제2 개구에 정렬되는 추가의 관통 홀을 더 포함하고, 상기 방법은 상기 제2 개구에 정렬되는 램프를 부착하는 단계를 더 포함하는 것인, 방법.
  5. 제1항에 있어서,
    상기 포토닉 다이는 웨이퍼로부터 소잉된(sawed) 개별 다이이고,
    상기 방법은 상기 어댑터가 상기 포토닉 다이에 부착된 후, 상기 어댑터와 상기 전자 다이 사이에 접착제를 분산시키는 단계를 더 포함하는 것인, 방법.
  6. 제1항에 있어서,
    상기 광학 디바이스를 상기 어댑터에 부착시키는 단계는 상기 광학 디바이스의 적어도 일부분을 상기 어댑터에 중첩하게 배치시키는 단계를 포함하는 것인, 방법.
  7. 제1항에 있어서,
    상기 포토닉 다이는 제2 개구를 더 포함하고,
    상기 방법은 램프를 상기 포토닉 다이에 본딩시키는 단계 - 상기 어댑터가 부착된 후, 상기 램프는 상기 어댑터 내의 상기 제2 개구 내에 위치됨 - 를 더 포함하는 것인, 방법.
  8. 제1항에 있어서,
    상기 어댑터를 형성하는 단계를 더 포함하고,
    상기 어댑터를 형성하는 단계는,
    블랭크 플레이트 내에 개구를 형성하는 단계;
    상기 개구 내로 연장되는 보호 층을 형성하는 단계; 및
    복수의 어댑터들을 형성하도록 상기 개구를 갖는 상기 블랭크 플레이트를 소잉하는 단계 - 상기 어댑터는 상기 복수의 어댑터들 중 하나임 -
    를 포함하는 것인, 방법.
  9. 방법에 있어서,
    어댑터를 형성하는 단계 - 상기 어댑터를 형성하는 단계는,
    블랭크 플레이트 내에 제1 개구를 형성하는 단계; 및
    상기 제1 개구 내로 연장되는 부분을 갖는 보호 층을 형성하는 단계를 포함함 - ;
    포토닉 다이 상에 상기 어댑터를 부착시키는 단계 - 상기 포토닉 다이는 웨이퍼 내에 있음 - ;
    상기 포토닉 다이 상에 전자 다이를 본딩시키는 단계;
    상기 전자 다이 및 상기 어댑터를 캡슐화 물질 내에 캡슐화하는 단계;
    상기 어댑터, 상기 어댑터 내의 제1 개구 및 상기 전자 다이를 노출시키기 위해 상기 캡슐화 물질에 대해 평탄화를 수행하는 단계; 및
    복수의 패키지들을 형성하도록 상기 캡슐화 물질 및 상기 웨이퍼를 소잉(sawing)하는 단계 - 상기 패키지들 중 하나는 상기 어댑터, 상기 포토닉 다이 및 상기 전자 다이를 포함함 -
    를 포함하는, 방법.
  10. 패키지에 있어서,
    제1 개구를 포함하는 포토닉 다이;
    상기 포토닉 다이 위에 있고 상기 포토닉 다이에 본딩된 전자 다이;
    상기 포토닉 다이 위에 있고 상기 포토닉 다이에 부착된 어댑터 - 상기 어댑터는 상기 어댑터를 관통하는 관통 홀을 포함하고, 상기 관통 홀은 상기 제1 개구에 정렬됨 - ;
    광 커플러 - 상기 광 커플러의 일부분은 상기 어댑터 내의 상기 관통 홀과 중첩되고, 상기 광 커플러의 광섬유 부분은 상기 제1 개구 내로 연장되고, 상기 광섬유 부분 이외의 상기 광 커플러의 나머지 부분들은 상기 포토닉 다이 및 상기 제1 개구의 외부에 있음 - ; 및
    상기 포토닉 다이 위의 캡슐화제(encapsulant) - 상기 전자 다이 및 상기 어댑터는 상기 캡슐화제 내에 캡슐화되고, 상기 캡슐화제, 상기 어댑터, 및 상기 전자 다이의 상단 표면들은 동일 평면 상에 있음 - 를 포함하는, 패키지.
KR1020170166101A 2017-06-30 2017-12-05 포토닉 패키지 및 이를 형성하는 방법 KR102060626B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762527185P 2017-06-30 2017-06-30
US62/527,185 2017-06-30
US15/725,911 US10267988B2 (en) 2017-06-30 2017-10-05 Photonic package and method forming same
US15/725,911 2017-10-05

Publications (2)

Publication Number Publication Date
KR20190003296A KR20190003296A (ko) 2019-01-09
KR102060626B1 true KR102060626B1 (ko) 2019-12-30

Family

ID=64738105

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170166101A KR102060626B1 (ko) 2017-06-30 2017-12-05 포토닉 패키지 및 이를 형성하는 방법

Country Status (4)

Country Link
US (3) US10267988B2 (ko)
KR (1) KR102060626B1 (ko)
CN (1) CN109216334B (ko)
TW (1) TWI653480B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960036916A (ko) * 1995-04-05 1996-11-19 베르너 발데크 살충제 조성물
KR20210122045A (ko) * 2020-03-27 2021-10-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 광학 경로를 갖는 패키징된 디바이스
TWI798609B (zh) * 2020-03-27 2023-04-11 台灣積體電路製造股份有限公司 封裝元件及其形成方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10267988B2 (en) 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic package and method forming same
US10365446B2 (en) * 2017-11-06 2019-07-30 Panasonic Intellectual Property Management Co., Ltd. Optical module structure
US10852476B2 (en) * 2019-02-26 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package, integrated optical communication system and manufacturing method of integrated optical communication system
FR3094502A1 (fr) * 2019-03-25 2020-10-02 Stmicroelectronics (Crolles 2) Sas Puce de circuit intégré photonique
US10937736B2 (en) * 2019-06-14 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US11088079B2 (en) * 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having line connected via portions
EP4052078A4 (en) * 2019-10-31 2022-12-14 Ayar Labs, Inc. VERTICAL INTEGRATED PHOTONIC CHIP FOR OPTICAL INTERCONNECTION IN PACKAGE
CN110828443A (zh) * 2019-11-13 2020-02-21 中国科学院微电子研究所 无衬底光电混合集成结构及其制备方法
US11635566B2 (en) * 2019-11-27 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package and method of forming same
EP3840543A1 (en) * 2019-12-20 2021-06-23 Knowledge Development for POF SL Fiber optic connector
US11614592B2 (en) * 2020-01-22 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11114409B2 (en) 2020-01-30 2021-09-07 Hewlett Packard Enterprise Development Lp Chip on wafer on substrate optoelectronic assembly and methods of assembly thereof
US11333827B2 (en) 2020-03-02 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Protective ring structure to increase waveguide performance
US11658069B2 (en) * 2020-03-26 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device having an interconnect structure over a substrate
US11347001B2 (en) * 2020-04-01 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11340512B2 (en) * 2020-04-27 2022-05-24 Raytheon Bbn Technologies Corp. Integration of electronics with Lithium Niobate photonics
US11327228B2 (en) * 2020-07-09 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photonic device and fabrication method thereof
US11482649B2 (en) * 2020-07-29 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method of semiconductor package
US20230418011A1 (en) * 2020-11-09 2023-12-28 Psiquantum, Corp. Structure and method to remove semiconductor chip material for optical signal access to a photonic chip
US20220334310A1 (en) * 2021-04-16 2022-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and process for photonic packages
WO2022266760A1 (en) * 2021-06-23 2022-12-29 Universite Laval Printed photonic component based photonic device probing and testing
EP4388354A1 (en) 2021-08-18 2024-06-26 Lyte AI, Inc. Integrated arrays for coherent optical detection
US11798931B2 (en) * 2021-08-30 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
CN118140364A (zh) * 2021-10-27 2024-06-04 莱特人工智能公司 多芯片收发器阵列设备
US11686908B2 (en) * 2021-11-17 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Photonic semiconductor device and method of manufacture

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160216445A1 (en) * 2015-01-26 2016-07-28 Oracle International Corporation Packaged opto-electronic module

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4163953A (en) * 1977-07-07 1979-08-07 Northern Telecom Limited Double heterostructure laser for direct coupling to an optical fiber
DE59308072D1 (de) * 1992-12-03 1998-03-05 Siemens Ag Bidirektionaler optischer Sende- und Empfangsmodul
US5479540A (en) * 1994-06-30 1995-12-26 The Whitaker Corporation Passively aligned bi-directional optoelectronic transceiver module assembly
US5521992A (en) * 1994-08-01 1996-05-28 Motorola, Inc. Molded optical interconnect
US5835646A (en) * 1995-09-19 1998-11-10 Fujitsu Limited Active optical circuit sheet or active optical circuit board, active optical connector and optical MCM, process for fabricating optical waveguide, and devices obtained thereby
DE19838519A1 (de) * 1998-08-25 2000-03-02 Bosch Gmbh Robert Leiterplatte und Verfahren zur Herstellung
JP3728147B2 (ja) * 1999-07-16 2005-12-21 キヤノン株式会社 光電気混載配線基板
JP2004519000A (ja) * 2000-10-31 2004-06-24 ヴィアシステムズ グループ,インコーポレイテッド ファイバ光回路基板コネクタ
AUPR174200A0 (en) * 2000-11-28 2000-12-21 Redfern Integrated Optics Pty Ltd Method and apparatus for attaching an optical fibre to an optical device
US6512861B2 (en) * 2001-06-26 2003-01-28 Intel Corporation Packaging and assembly method for optical coupling
EP1399952A4 (en) 2001-06-29 2007-03-21 Xanoptix Inc INTEGRATION OF OPTOELECTRONIC COMPONENTS
WO2003012512A1 (en) * 2001-07-30 2003-02-13 Nanyang Technological University High density fibre coupling
US7418895B2 (en) * 2002-01-08 2008-09-02 Demil International, Inc. Purging an airlock of an explosion containment chamber
FI20021225A (fi) * 2002-06-24 2003-12-25 Nokia Oyj Optisen tai elektronisen komponentin kohdentaminen
US6792179B2 (en) * 2002-12-31 2004-09-14 Intel Corporation Optical thumbtack
DE10328265A1 (de) 2003-06-23 2005-01-27 Infineon Technologies Ag Sensorbauteil und Nutzen zu seiner Herstellung
US8755644B2 (en) * 2003-09-30 2014-06-17 International Business Machines Corporation Silicon based optical vias
US7084496B2 (en) * 2004-01-14 2006-08-01 International Business Machines Corporation Method and apparatus for providing optoelectronic communication with an electronic device
US7005719B2 (en) * 2004-02-27 2006-02-28 Texas Instruments Incorporated Integrated circuit structure having a flip-chip mounted photoreceiver
US7061106B2 (en) 2004-04-28 2006-06-13 Advanced Chip Engineering Technology Inc. Structure of image sensor module and a method for manufacturing of wafer level package
JP2015060097A (ja) 2013-09-19 2015-03-30 ソニー株式会社 光伝送モジュール
JP2006120956A (ja) * 2004-10-22 2006-05-11 Ibiden Co Ltd 多層プリント配線板
US7206472B2 (en) * 2005-03-15 2007-04-17 Fujitsu Ltd. Optical backplanes with integrated optical couplers and methods of making the same
US7767493B2 (en) * 2005-06-14 2010-08-03 John Trezza Post & penetration interconnection
TWI308228B (en) * 2006-12-06 2009-04-01 Ind Tech Res Inst Hybrid opto-electrical circuit board and method for manufacturing the same
US20080197474A1 (en) 2007-02-16 2008-08-21 Advanced Chip Engineering Technology Inc. Semiconductor device package with multi-chips and method of the same
US7800211B2 (en) 2007-06-29 2010-09-21 Stats Chippac, Ltd. Stackable package by using internal stacking modules
US7977798B2 (en) * 2007-07-26 2011-07-12 Infineon Technologies Ag Integrated circuit having a semiconductor substrate with a barrier layer
US8280207B2 (en) * 2008-11-06 2012-10-02 Luxtera Inc. Method and system for coupling optical signals into silicon optoelectronic chips
US8831437B2 (en) * 2009-09-04 2014-09-09 Luxtera, Inc. Method and system for a photonic interposer
JP2010091863A (ja) * 2008-10-09 2010-04-22 Oki Electric Ind Co Ltd 送受信モジュール
JP5226488B2 (ja) * 2008-12-05 2013-07-03 浜松ホトニクス株式会社 光素子モジュールの製造方法
US8773635B2 (en) 2008-12-19 2014-07-08 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
US9057853B2 (en) * 2009-02-20 2015-06-16 The Hong Kong University Of Science And Technology Apparatus having an embedded 3D hybrid integration for optoelectronic interconnects
US9331096B2 (en) * 2009-09-04 2016-05-03 Luxtera, Inc. Method and system for hybrid integration of optical communication systems
WO2011084155A1 (en) * 2010-01-06 2011-07-14 Hewlett-Packard Development Company, L.P. Optical interconnect
JP5278623B2 (ja) * 2010-10-01 2013-09-04 住友ベークライト株式会社 光導波路モジュール、光導波路モジュールの製造方法および電子機器
US9551844B2 (en) 2011-01-11 2017-01-24 Hewlett Packard Enterprise Development Lp Passive optical alignment
US9310553B2 (en) 2011-11-16 2016-04-12 Intel Corporation Optical connection techniques and configurations
WO2013101184A1 (en) * 2011-12-30 2013-07-04 Intel Corporation Optical i/o system using planar light-wave integrated circuit
TWI502689B (zh) 2012-03-16 2015-10-01 Luxtera Inc 光子材料中介層的方法及系統
JP5842714B2 (ja) * 2012-03-30 2016-01-13 富士通株式会社 光導波路デバイス、および、光導波路デバイスの製造方法
US9874688B2 (en) * 2012-04-26 2018-01-23 Acacia Communications, Inc. Co-packaging photonic integrated circuits and application specific integrated circuits
US9256027B2 (en) * 2012-07-02 2016-02-09 Stmicroelectronics S.R.L. Integrated optoelectronic device and system with waveguide and manufacturing process thereof
US8934745B2 (en) * 2012-07-31 2015-01-13 Hewlett-Packard Development Company, L.P. Apparatus for use in optoelectronics having a sandwiched lens
PT2692956T (pt) * 2012-08-03 2016-12-07 Geberit Int Ag Válvula de drenagem regulável em altura
TW201441689A (zh) * 2013-04-23 2014-11-01 Hon Hai Prec Ind Co Ltd 光通訊裝置
US9297971B2 (en) * 2013-04-26 2016-03-29 Oracle International Corporation Hybrid-integrated photonic chip package with an interposer
US8903210B2 (en) * 2013-04-29 2014-12-02 International Business Machines Corporation Vertical bend waveguide coupler for photonics applications
TWI572933B (zh) * 2013-05-20 2017-03-01 鴻海精密工業股份有限公司 光通訊裝置
US9094135B2 (en) * 2013-06-10 2015-07-28 Freescale Semiconductor, Inc. Die stack with optical TSVs
JP6085526B2 (ja) 2013-06-12 2017-02-22 新光電気工業株式会社 光電気混載基板、及び光モジュール
US20150125110A1 (en) * 2013-11-04 2015-05-07 Cisco Technology, Inc. Passively Placed Vertical Optical Connector
EP2881753B1 (en) 2013-12-05 2019-03-06 ams AG Optical sensor arrangement and method of producing an optical sensor arrangement
JP6029115B2 (ja) * 2014-03-26 2016-11-24 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation 光デバイス、光コネクタ・アセンブリおよび光接続方法
US9453969B2 (en) * 2014-04-29 2016-09-27 Corning Optical Communications LLC Grating-coupler assembly with small mode-field diameter for photonic-integrated-circuit systems
JP6423753B2 (ja) * 2015-04-23 2018-11-14 新光電気工業株式会社 光モジュール及びその製造方法
TWI700900B (zh) * 2015-06-02 2020-08-01 美商樂仕特拉有限責任公司 藉由拼接之大型矽光子中介層之方法及系統
US9709746B2 (en) * 2015-11-17 2017-07-18 International Business Machines Corporation Micro-filter structures for wavelength division multiplexing in polymer waveguides
US20170212307A1 (en) * 2016-01-21 2017-07-27 Jia Jiang Optical Coupling Using Plastic Optical Fiber
JP6770361B2 (ja) * 2016-07-28 2020-10-14 富士通株式会社 光配線モジュール、光トランシーバ、及び光接続方法
US20180180808A1 (en) * 2016-12-22 2018-06-28 Oracle International Corporation Wafer-level packaged optoelectronic module
US10267988B2 (en) * 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic package and method forming same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160216445A1 (en) * 2015-01-26 2016-07-28 Oracle International Corporation Packaged opto-electronic module

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960036916A (ko) * 1995-04-05 1996-11-19 베르너 발데크 살충제 조성물
KR20210122045A (ko) * 2020-03-27 2021-10-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 광학 경로를 갖는 패키징된 디바이스
KR102486223B1 (ko) * 2020-03-27 2023-01-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 광학 경로를 갖는 패키징된 디바이스
TWI798609B (zh) * 2020-03-27 2023-04-11 台灣積體電路製造股份有限公司 封裝元件及其形成方法
US11899242B2 (en) 2020-03-27 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a packaged device with optical pathway

Also Published As

Publication number Publication date
US10459159B2 (en) 2019-10-29
TW201905519A (zh) 2019-02-01
KR20190003296A (ko) 2019-01-09
US20190004247A1 (en) 2019-01-03
US20190369329A1 (en) 2019-12-05
CN109216334B (zh) 2020-12-01
US20190250327A1 (en) 2019-08-15
US10267988B2 (en) 2019-04-23
US11150404B2 (en) 2021-10-19
CN109216334A (zh) 2019-01-15
TWI653480B (zh) 2019-03-11

Similar Documents

Publication Publication Date Title
KR102060626B1 (ko) 포토닉 패키지 및 이를 형성하는 방법
KR102267850B1 (ko) 포토닉 통합 패키지 및 그 형성 방법
CN112530925B (zh) 封装件及其形成方法
US20230350142A1 (en) Optical Transceiver and Manufacturing Method Thereof
US11605622B2 (en) Photonic semiconductor device and method
US11841541B2 (en) Package assembly and manufacturing method thereof
US11493689B2 (en) Photonic semiconductor device and method of manufacture
US11935837B2 (en) Photonics integrated circuit package
US11482649B2 (en) Semiconductor package and manufacturing method of semiconductor package
US11855054B2 (en) Method of forming package structure
US11428879B2 (en) Method for forming a package structure for optical fiber
US20240061195A1 (en) Package assembly and manufacturing method thereof
US20240085619A1 (en) Semiconductor structure
TW202318049A (zh) 封裝及其製造方法
US20220365274A1 (en) Photonic silicon spatial beam transformer integrated on 3dic package and methods for forming the same
US11789201B2 (en) Package, optical device, and manufacturing method of package
US20240077669A1 (en) Integrated circuit package and method of forming same
TW202347652A (zh) 半導體封裝體及其製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant