KR101921597B1 - Continuous treatment apparatus and method of substrate - Google Patents

Continuous treatment apparatus and method of substrate Download PDF

Info

Publication number
KR101921597B1
KR101921597B1 KR1020160172509A KR20160172509A KR101921597B1 KR 101921597 B1 KR101921597 B1 KR 101921597B1 KR 1020160172509 A KR1020160172509 A KR 1020160172509A KR 20160172509 A KR20160172509 A KR 20160172509A KR 101921597 B1 KR101921597 B1 KR 101921597B1
Authority
KR
South Korea
Prior art keywords
substrate
plasma
chamber
heating
chambers
Prior art date
Application number
KR1020160172509A
Other languages
Korean (ko)
Other versions
KR20180070755A (en
Inventor
박영수
전은수
류수렬
최우진
손혁주
허성일
Original Assignee
(주)에스티아이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by (주)에스티아이 filed Critical (주)에스티아이
Priority to KR1020160172509A priority Critical patent/KR101921597B1/en
Priority to CN201710516268.4A priority patent/CN108206146A/en
Priority to TW106122035A priority patent/TW201824428A/en
Publication of KR20180070755A publication Critical patent/KR20180070755A/en
Application granted granted Critical
Publication of KR101921597B1 publication Critical patent/KR101921597B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Robotics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 플라즈마를 이용하여 기판에 존재하는 산화막을 제거함으로써 포름산을 사용할 필요가 없는 기판의 연속 처리 장치 및 방법을 제공함에 그 목적이 있다. 이를 구현하기 위한 본 발명의 기판의 연속 처리 장치는, 기판에 존재하는 산화막을 플라즈마를 이용하여 제거하기 위한 플라즈마 챔버(200); 상기 플라즈마 챔버(200)에서 플라즈마 처리가 이루어진 기판을 설정된 온도로 가열하는 히팅 챔버(400,500); 상기 히팅 챔버(400,500)로부터 이송된 기판을 냉각하고, 상기 냉각된 기판을 상기 로딩 및 언로딩 챔버(100)로 이송되도록 하는 냉각 챔버(600); 상기 기판을 상기 챔버들 사이에서 이송되도록 하기 위한 이송부(800)를 포함한다.An object of the present invention is to provide an apparatus and a method for continuously processing a substrate that does not require the use of formic acid by removing an oxide film existing on the substrate by using plasma. The apparatus for continuously processing a substrate according to the present invention includes: a plasma chamber 200 for removing an oxide film present on a substrate using plasma; A heating chamber (400, 500) for heating the plasma-processed substrate in the plasma chamber (200) to a predetermined temperature; A cooling chamber 600 for cooling the substrates transferred from the heating chambers 400 and 500 and for transferring the cooled substrates to the loading and unloading chamber 100; And a transfer unit 800 for transferring the substrate between the chambers.

Description

기판의 연속 처리 장치 및 방법{CONTINUOUS TREATMENT APPARATUS AND METHOD OF SUBSTRATE}BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an apparatus and a method for continuously processing a substrate,

본 발명은 기판의 연속 처리 장치 및 방법에 관한 것으로, 보다 상세하게는 포름산을 사용하지 않고 플라즈마를 이용하여 기판의 산화막을 제거할 수 있는 기판의 연속 처리 장치 및 방법에 관한 것이다.The present invention relates to an apparatus and method for continuously processing a substrate, and more particularly, to an apparatus and a method for continuously processing a substrate that can remove an oxide film of a substrate using plasma without using formic acid.

일반적으로 반도체 기판에는 와이어, 컨덕터 등의 연결을 위하여 솔더 돌출부가 형성된다. 이러한 솔더부(범프)의 제조과정 중 하나인 리플로우(reflow) 공정은 솔더 볼, 솔더 크림 등을 용융시켜 기판에 밀착시키며, 적당한 프로파일을 가지도록 하는 공정이다.In general, a solder projection is formed on a semiconductor substrate for connection of wires, conductors, and the like. The reflow process, which is one of the processes of manufacturing the solder part (bump), is a process of melting the solder ball, the solder cream, etc. and bringing the solder ball and the solder cream into close contact with the substrate and having an appropriate profile.

리플로우(reflow)를 수행하는 장치는, 공정단계마다 분위기와 온도에 차등을 둘 수 있도록 다수의 격리된 챔버를 두고 있으며, 그 챔버들의 사이에서 연속공정이 가능하도록 반도체 웨이퍼를 이송하는 수단이 마련되어 있다.An apparatus for performing reflow includes a plurality of isolated chambers for differentiating the atmosphere and temperature at each process step and means for transferring semiconductor wafers so that a continuous process is possible between the chambers is provided have.

특히 다수의 챔버를 원형으로 배치하고, 로딩된 반도체 웨이퍼를 각 챔버로 순차 이송시키기 위하여 각 챔버를 경유하는 턴테이블을 사용하고, 포름산 증기를 이용하여 리플로우하는 장치 및 방법으로서 미국특허 US 6827789호와 US 7358175호가 공개되어 있다. Particularly, as a device and a method for arranging a plurality of chambers in a circular shape and using a turntable passing through each chamber for refilling the loaded semiconductor wafer to each chamber sequentially and using reflux using formic acid vapor, US Pat. Nos. 6,827,789 US 7358175 is disclosed.

상기 미국특허 US 6827789호에는 로딩챔버와 언로딩챔버를 포함하는 총 6개의 챔버가 구비되어 있으며, 턴테이블을 사용하여 로딩된 웨이퍼를 다음의 공정챔버로 순차 이동시키며, 최종적으로 웨이퍼를 언로딩챔버로 이송하여, 처리가 완료된 웨이퍼를 로봇에 의해 언로딩 시키도록 구성되어 있다.US Pat. No. 6,822,789 discloses a total of six chambers including a loading chamber and an unloading chamber. The wafers loaded using the turntable are sequentially moved to the next process chamber, and finally the wafer is loaded into the unloading chamber And unloads the processed wafers by the robot.

또한, 미국특허 US 7358175에는 웨이퍼를 복수의 단계를 거치면서 가열처리하여 리플로우 처리하는 방법이 기재되어 있다. 이 경우 웨이퍼를 가열하는 챔버 내부에는 포름산과 질소 분위기를 형성한 상태에서 가열처리를 하게 된다.In addition, U.S. Patent No. 7,358,875 discloses a method of performing a reflow process by heating a wafer while passing through a plurality of steps. In this case, the inside of the chamber for heating the wafer is subjected to heat treatment in the form of formic acid and nitrogen atmosphere.

상기 포름산은 웨이퍼에 존재하는 산화막을 제거하기 위한 것인데, 포름산은 위험 물질에 해당하므로 이를 처리하기 위한 별도의 설비가 필요하다. 따라서 포름산을 사용하지 않고 산화막을 제거한 후 리플로우 처리하는 장치의 필요성이 대두되고 있다. The formic acid is for removing the oxide film present on the wafer. Since formic acid corresponds to a hazardous material, a separate facility for treating the formic acid is needed. Therefore, there is a need for an apparatus for reflow processing after removing an oxide film without using formic acid.

본 발명은 상술한 문제점을 해결하기 위해 안출된 것으로, 플라즈마를 이용하여 기판에 존재하는 산화막을 제거함으로써 포름산을 사용할 필요가 없는 기판의 연속 처리 장치 및 방법을 제공함에 그 목적이 있다.SUMMARY OF THE INVENTION It is an object of the present invention to provide an apparatus and a method for continuously processing a substrate that does not require the use of formic acid by removing an oxide film existing on a substrate by using plasma.

본 발명의 다른 목적은, 히팅 챔버와 냉각 챔버를 격벽으로 격리시킬 필요가 없어 구조가 간단한 기판의 연속 처리 장치를 제공함에 있다.It is another object of the present invention to provide an apparatus for continuously processing a substrate, which does not need to separate the heating chamber and the cooling chamber from each other by a partition.

상술한 목적을 달성하기 위한 본 발명의 기판의 연속 처리 장치는, 기판에 존재하는 산화막을 플라즈마를 이용하여 제거하기 위한 플라즈마 챔버(200); 상기 플라즈마 챔버(200)에서 플라즈마 처리가 이루어진 기판을 설정된 온도로 가열하는 히팅 챔버(400,500); 상기 히팅 챔버(400,500)로부터 이송된 기판을 냉각하고, 상기 냉각된 기판을 상기 로딩 및 언로딩 챔버(100)로 이송되도록 하는 냉각 챔버(600); 상기 기판을 상기 챔버들 사이에서 이송되도록 하기 위한 이송부(800)를 포함한다.According to an aspect of the present invention, there is provided an apparatus for continuously treating a substrate, including: a plasma chamber for removing an oxide film existing on a substrate using plasma; A heating chamber (400, 500) for heating the plasma-processed substrate in the plasma chamber (200) to a predetermined temperature; A cooling chamber 600 for cooling the substrates transferred from the heating chambers 400 and 500 and for transferring the cooled substrates to the loading and unloading chamber 100; And a transfer unit 800 for transferring the substrate between the chambers.

본 발명의 다른 실시예에 의한 기판의 연속 처리 장치는, 기판에 존재하는 산화막을 플라즈마를 이용하여 제거하기 위한 제1플라즈마 챔버(200-1); 상기 제1플라즈마 챔버(200-1)에서 1차 플라즈마 처리가 이루어진 기판을 2차 플라즈마 처리하여 기판에 존재하는 산화막을 제거하기 위한 제2플라즈마 챔버(400-1); 상기 1차와 2차 플라즈마 처리가 이루어진 기판을 설정된 온도로 가열하는 히팅 챔버(500-1); 상기 히팅 챔버(500-1)로부터 이송된 기판을 냉각하는 냉각 챔버(600-1); 상기 기판을 상기 챔버들 사이에서 이송되도록 하기 위한 이송부(800-1)를 포함한다.The apparatus for continuously treating a substrate according to another embodiment of the present invention includes: a first plasma chamber 200-1 for removing an oxide film present on a substrate using plasma; A second plasma chamber 400-1 for removing an oxide film present on the substrate by performing a secondary plasma process on the substrate subjected to the first plasma process in the first plasma chamber 200-1; A heating chamber 500-1 for heating the substrate subjected to the primary and secondary plasma processes to a predetermined temperature; A cooling chamber 600-1 for cooling the substrate transferred from the heating chamber 500-1; And a transfer unit 800-1 for transferring the substrate between the chambers.

상기 플라즈마 챔버(200, 200-1)는, 플라즈마를 발생시키는 플라즈마 발생부(210)가 내부에 구비된 플라즈마 상부챔버(200a); 상기 플라즈마 상부챔버(200a)의 하부에 형성되어 상기 챔버들 사이에 상기 기판이 이송되도록 하는 기판이송공간(700c)으로 이루어지고, 상기 플라즈마를 이용한 기판 처리가 이루어지는 동안 상기 플라즈마 상부챔버(200a)의 내부 공간은 상기 기판이송공간(700c)에 대하여 격리된 것일 수 있다.The plasma chambers 200 and 200-1 include a plasma upper chamber 200a having therein a plasma generating portion 210 for generating a plasma; And a substrate transferring space 700c formed at a lower portion of the plasma upper chamber 200a for transferring the substrate between the chambers. In the substrate processing process using the plasma, the upper surface of the plasma upper chamber 200a The inner space may be isolated from the substrate transfer space 700c.

상기 플라즈마 챔버(200, 200-1)에는, 상기 기판이 안착되는 서셉터(240)와, 상기 서셉터(240)를 상하로 이동 가능하도록 구동하는 서셉터승강구동부(250)가 구비되고; 상기 플라즈마를 이용한 기판 처리는, 상기 서셉터(240)가 상기 서셉터승강구동부(250)에 의해 상방향으로 이동되어 상기 플라즈마 상부챔버(200a)의 내부 공간과 상기 기판이송공간의 경계가 되는 시트부(215)에 밀착된 상태에서 수행되는 것일 수 있다.A susceptor 240 on which the substrate is mounted and a susceptor lifting and driving unit 250 for driving the susceptor 240 to move up and down are provided in the plasma chambers 200 and 200-1; The substrate processing using the plasma is performed by moving the susceptor 240 upwardly by the susceptor lifting and lowering driving unit 250 so as to form a sheet that is a boundary between the inner space of the plasma upper chamber 200a and the substrate transfer space, In the state of being in close contact with the part (215).

상기 서셉터(240)를 상하로 관통하는 복수의 리프트핀(261)과, 상기 리프트핀(261)을 상하로 이동하도록 구동하는 기판승강실린더(262)를 구비하여, 상기 기판을 승강 가능하도록 지지하는 기판승강구동부(260)를 더 포함할 수 있다.A plurality of lift pins 261 passing through the susceptor 240 up and down and a substrate lift cylinder 262 driving the lift pins 261 to move up and down, And a substrate lifting / lowering unit 260 for lifting the substrate lifting /

상기 플라즈마 상부챔버(200a)의 내부 공간을 상기 기판이송공간에 대하여 격리시키되, 상기 히팅 챔버(400,500,500-1)와 냉각 챔버(600,600-1)에서 공정이 이루어지는 내부 공간은 서로 연통하는 것일 수 있다.The inner space of the plasma upper chamber 200a may be isolated from the substrate transfer space, and the inner spaces of the heating chambers 400, 500 and 500-1 and the cooling chambers 600 and 600-1 may communicate with each other.

상기 플라즈마 챔버(200,200-1)와 히팅 챔버(400,500,500-1)와 냉각 챔버(600, 600-1)에서 공정이 이루어지는 내부 공간은 서로 연통하는 것일 수 있다.The inner spaces of the plasma chambers 200 and 200-1, the heating chambers 400 and 500 and the cooling chambers 600 and 600-1 may communicate with each other.

상기 챔버들 및 이들 사이의 연통하는 공간은 불활성 가스 분위기일 수 있다.The chambers and the space communicating therewith may be an inert gas atmosphere.

상기 히팅 챔버(400,500,500-1)에는 상기 기판의 상부에 구비된 상부히터와 상기 기판의 하부에 구비된 하부히터가 구비될 수 있다.The heating chambers 400, 500, and 500 may include an upper heater disposed on the substrate and a lower heater disposed on the lower portion of the substrate.

상기 히팅챔버(400,500)는, 상기 기판을 설정된 온도 프로파일로 가열하기 위한 제1히팅챔버(400)와, 상기 제1히팅챔버(400)에서 가열이 이루어진 기판을 상기 제1히팅챔버(400)보다 더 높은 온도 프로파일로 가열하기 위한 제2히팅챔버(500)로 이루어질 수 있다.The heating chambers 400 and 500 may include a first heating chamber 400 for heating the substrate to a predetermined temperature profile and a second heating chamber 400 for heating the substrate heated in the first heating chamber 400, And a second heating chamber 500 for heating to a higher temperature profile.

상기 제1플라즈마 챔버(200-1)와 제2플라즈마 챔버(400-1) 사이에는 히팅챔버(300-1)가 구비되고; 상기 히팅챔버(500-1)에서는 상기 히팅챔버(300-1)와 같은 온도로 가열하거나 상기 히팅챔버(300-1)보다 더 높은 온도 프로파일로 가열하는 것일 수 있다.A heating chamber 300-1 is provided between the first plasma chamber 200-1 and the second plasma chamber 400-1; The heating chamber 500-1 may be heated to the same temperature as the heating chamber 300-1 or to a temperature profile higher than that of the heating chamber 300-1.

상기 챔버들은 원주방향을 따라 일정 간격으로 배치되고; 상기 이송부는 상기 챔버들 사이에 상기 기판을 이송하기 위해 구동부(810)에 의해 회전하는 턴테이블(800,800-1)로 이루어진 것일 수 있다.The chambers being arranged at regular intervals along the circumferential direction; The transfer unit may include a turntable (800, 800-1) rotated by a driving unit (810) to transfer the substrate between the chambers.

상기 턴테이블(800,800-1)은, 상기 구동부(810)의 회전축(811)을 중심으로 방사상으로 연결되고 상기 챔버들과 동일한 수로 구비된 다수의 아암(820)을 포함하고; 상기 아암(820)에는 상기 기판의 양단을 지지하기 위한 기판지지부(830)가 구비된 것일 수 있다.The turntables 800 and 800-1 include a plurality of arms 820 connected in a radial manner about the rotation axis 811 of the driving unit 810 and provided in the same number as the chambers 820; The arm 820 may be provided with a substrate supporting part 830 for supporting both ends of the substrate.

상기 챔버들에서 기판의 처리가 수행되는 동안, 상기 다수의 아암(720)은 상기 챔버들 사이사이의 영역에 위치하는 것일 수 있다.During processing of the substrate in the chambers, the plurality of arms 720 may be located in the region between the chambers.

상기 기판지지부(830)는, 상기 아암(820)의 양측부 저면에서 서로 대향하는 절곡된 형태로 형성되어 그 상면에 상기 기판이 안착되어 지지되는 제1기판지지턱(831)과 제2기판지지턱(832)으로 이루어진 것일 수 있다.The substrate supporting unit 830 includes a first substrate supporting jaw 831 and a second substrate supporting jaw 831. The first substrate supporting jaw 831 and the second substrate supporting jaw 831 are formed on the bottom surface of the arm 820, The jaw 832 may be used.

상기 플라즈마 챔버(200)로부터 이송된 기판을 냉각하기 위한 제1 냉각 챔버(300)가 구비되고; 상기 제1 냉각 챔버(300)에서 냉각된 기판은 상기 히팅 챔버(400,500)로 이송되어 가열되는 것일 수 있다.A first cooling chamber 300 is provided for cooling the substrate transferred from the plasma chamber 200; The substrate cooled in the first cooling chamber 300 may be transferred to the heating chamber 400 or 500 and heated.

상기 제1플라즈마 챔버(200-1)와 제2플라즈마 챔버(400-1) 사이에는 기판을 가열하기 위한 히팅 챔버(300-1)가 구비된 것일 수 있다.A heating chamber 300-1 for heating the substrate may be provided between the first plasma chamber 200-1 and the second plasma chamber 400-1.

상기 기판의 로딩 및 언로딩이 이루어지는 로딩 및 언로딩 챔버(100)가 구비되고, 상기 로딩 및 언로딩 챔버(100)에 로딩된 기판은 상기 플라즈마 챔버(200)로 이송되며, 상기 냉각챔버(600)에서 냉각이 이루어진 기판은 상기 로딩 및 언로딩 챔버(100)에서 냉각 후 언로딩되는 것일 수 있다.A loading and unloading chamber 100 in which the loading and unloading of the substrate is performed and a substrate loaded in the loading and unloading chamber 100 are transferred to the plasma chamber 200 and the cooling chamber 600 May be cooled in the loading and unloading chamber 100 and then unloaded.

상기 기판의 로딩 및 언로딩이 이루어지는 로딩 및 언로딩 챔버(100-1)가 구비되고, 상기 로딩 및 언로딩 챔버(100-1)에 로딩된 기판은 상기 제1플라즈마 챔버(200-1) 로 이송되며, 상기 냉각챔버(600-1)에서 냉각이 이루어진 기판은 상기 로딩 및 언로딩 챔버(100-1)에서 냉각 후 언로딩되는 것일 수 있다.A loading and unloading chamber 100-1 in which the substrate is loaded and unloaded and a substrate loaded in the loading and unloading chamber 100-1 are connected to the first plasma chamber 200-1 And the substrate cooled in the cooling chamber 600-1 may be unloaded after cooling in the loading and unloading chamber 100-1.

본 발명의 다른 실시예에 의한 기판의 연속 처리장치는, 제1기판에 존재하는 산화막을 플라즈마를 이용하여 제거하기 위한 제1플라즈마 챔버(100-2); 제2기판에 존재하는 산화막을 플라즈마를 이용하여 제거하기 위한 제2플라즈마 챔버(200-2); 상기 제1플라즈마 챔버(100-2)에서 플라즈마 처리가 이루어진 제1기판 및 제2플라즈마 챔버(200-2)에서 플라즈마 처리가 이루어진 제2기판을 히팅 및 냉각하기 위한 복수의 공정챔버(300-2,400-2,500-2,600-2); 상기 제1기판과 제2기판이 상기 제1플라즈마 챔버(100-2)와 제2플라즈마 챔버(200-2) 및 복수의 공정챔버(300-2,400-2,500-2,600-2) 사이에서 이송되도록 하기 위한 이송부(800-2)를 포함한다.The apparatus for continuously treating a substrate according to another embodiment of the present invention includes: a first plasma chamber 100-2 for removing an oxide film present on a first substrate using plasma; A second plasma chamber (200-2) for removing an oxide film existing on the second substrate using plasma; A plurality of process chambers 300-2400 for heating and cooling the first substrate subjected to the plasma treatment in the first plasma chamber 100-2 and the second substrate subjected to the plasma treatment in the second plasma chamber 200-2 -2,500-2,600-2); The first substrate and the second substrate are transferred between the first plasma chamber 100-2 and the second plasma chamber 200-2 and between the plurality of process chambers 300-2, And a transfer unit 800-2.

상기 복수의 공정챔버(300-2,400-2,500-2,600-2) 각각은 상기 제1플라즈마 챔버(100-2) 또는 제2플라즈마 챔버(200-2)에서 플라즈마 처리가 이루어진 기판을 히팅한 후 냉각하는 것일 수 있다.Each of the plurality of process chambers 300-2, 400-2, 500-2, and 600-2 may heat the substrate subjected to the plasma treatment in the first plasma chamber 100-2 or the second plasma chamber 200-2, Lt; / RTI >

상기 복수의 공정챔버(300-2,400-2,500-2,600-2) 각각에는, 기판을 가열하는 가열부가 상기 기판의 상부에 구비되고, 상기 기판을 냉각하기 위한 냉각부가 상기 기판의 하부에 구비된 것일 수 있다.In each of the plurality of process chambers 300-2, 400-2, 500-2, and 600-2, a heating unit for heating the substrate is provided on the substrate, and a cooling unit for cooling the substrate is provided in the lower portion of the substrate have.

상기 제1플라즈마 챔버(100-2) 또는 제2플라즈마 챔버(200-2)에서 플라즈마 처리가 이루어진 기판은 상기 복수의 공정챔버(300-2,400-2,500-2,600-2) 중 기판처리가 이루어지지 않고 있는 챔버에 투입되어 히팅 및 냉각이 이루어지는 것일 수 있다.The substrate subjected to the plasma treatment in the first plasma chamber 100-2 or the second plasma chamber 200-2 is not subjected to substrate processing among the plurality of process chambers 300-2, 400-2, 500-2, and 600-2 And the heating and cooling may be performed.

본 발명에 의한 기판의 연속 처리 방법은, 플라즈마 챔버(200,200-1,400-1,100-2,200-2)에서 플라즈마를 이용하여 기판의 산화막을 제거하는 플라즈마처리단계; 상기 플라즈마 챔버(200,200-1,400-1,100-2,200-2)에서 플라즈마 처리가 이루어진 기판을 히팅 챔버(400,500,500-1) 또는 공정 챔버(300-2,400-2,500-2,600-2)로 이송하여 기판을 가열하는 기판가열단계로 이루어진다.A method of continuously treating a substrate according to the present invention includes: a plasma processing step of removing an oxide film of a substrate using plasma in plasma chambers (200, 200-1, 400-1, 100-2, and 200-2); The substrate which has been plasma-processed in the plasma chambers 200, 200-1, 400-1, 100-2, and 200-2 is transferred to the heating chambers 400, 500, 500-1 or the process chambers 300-2, 400-2, Heating step.

로딩 및 언로딩 챔버(100,100-1)에 기판이 로딩되는 단계; 상기 로딩 및 언로딩 챔버(100,100-1)로부터 상기 플라즈마 챔버(200, 200-1,400-1)로 기판을 이송하고, 상기 플라즈마 챔버(200, 200-1,400-1)에서 플라즈마를 이용하여 기판의 산화막을 제거하는 상기 플라즈마처리단계; 상기 플라즈마 챔버(200, 200-1,400-1)에서 플라즈마 처리가 이루어진 기판을 히팅 챔버(400,500,500-1)로 이송하여 기판을 가열하는 상기 기판가열단계; 상기 히팅 챔버(400,500,500-1)로부터 기판을 냉각 챔버(600,600-1)로 이송하여 기판을 냉각하는 단계; 상기 냉각 챔버(600,600-1)로부터 기판을 상기 로딩 및 언로딩 챔버(100, 100-1)로 이송한 후 기판을 언로딩하는 단계로 이루어질 수 있다.Loading the substrate into the loading and unloading chambers 100, 100-1; The substrate is transferred from the loading and unloading chambers 100 and 100-1 to the plasma chambers 200 and 200-1 and 400-1 and plasma is generated in the plasma chambers 200, The plasma processing step of removing plasma from the plasma; The substrate heating step of transferring the plasma-processed substrate from the plasma chambers 200, 200-1, 400-1 to the heating chambers 400, 500, 500-1 to heat the substrate; Transferring the substrate from the heating chamber (400, 500, 500-1) to the cooling chamber (600, 600-1) to cool the substrate; And transferring the substrate from the cooling chambers 600 and 600-1 to the loading and unloading chambers 100 and 100-1 and unloading the substrate.

상기 기판가열단계에서는 상기 히팅 챔버(400,500,500-1)를 포름산 증기가 포함되지 않은 질소 분위기로 형성한 상태에서 상기 기판의 가열이 이루어질 수 있다.In the substrate heating step, the heating chamber 400, 500, or 500-1 may be heated in a nitrogen atmosphere containing no formic acid vapor.

상기 플라즈마 챔버(200,200-1,400-1)와 히팅 챔버(400,500,500-1) 및 냉각 챔버(600,600-1)는 서로 연통한 상태에서 기판 처리가 이루어질 수 있다.The plasma chambers 200, 200-1, 400-1, the heating chambers 400, 500, 500-1, and the cooling chambers 600, 600-1 may communicate with each other while the substrates are processed.

상기 플라즈마처리단계는 상기 플라즈마 챔버(200,200-1,400-1)의 내부공간을 격리시킨 상태에서 상기 기판의 처리가 이루어지고; 상기 기판가열단계와 기판을 냉각하는 단계에서 히팅 챔버(400,500,500-1) 및 냉각 챔버(600,600-1)는 서로 연통한 상태에서 기판 처리가 이루어질 수 있다.The processing of the substrate is performed while isolating the internal space of the plasma chambers 200, 200-1, and 400-1; In the step of heating the substrate and cooling the substrate, the heating chambers 400, 500, and 500-1 and the cooling chambers 600 and 600-1 may be processed in a state of being in communication with each other.

상기 플라즈마처리단계는 상기 플라즈마 챔버(200,200-1,400-1)의 내부공간을 진공상태로 형성한 다음 플라즈마 처리가 이루어질 수 있다.In the plasma processing step, an inner space of the plasma chambers 200, 200-1, and 400-1 may be formed in a vacuum state, and plasma processing may be performed.

상기 플라즈마 챔버(200)에서 플라즈마 처리가 이루어진 기판을 냉각 챔버(300)로 이송하여 냉각하는 단계가 더 구비되고; 상기 냉각 챔버(300)에서 냉각된 기판은 상기 히팅 챔버(400,500)로 이송되어 상기 기판가열단계가 수행될 수 있다.Further comprising: transferring the plasma-processed substrate in the plasma chamber (200) to the cooling chamber (300) and cooling the substrate; The substrate cooled in the cooling chamber 300 may be transferred to the heating chambers 400 and 500 to perform the substrate heating process.

상기 플라즈마 챔버(200-1,400-1)는 제1플라즈마챔버(200-1)와 제2플라즈마챔버(400-1)로 이루어지고, 상기 플라즈마처리단계는 하나의 기판에 대해 상기 제1플라즈마챔버(200-1)에서 1차 플라즈마 처리 후 상기 제2플라즈마챔버(400-1)에서 2차 플라즈마 처리하도록 이루어질 수 있다.The plasma chambers 200-1 and 400-1 may include a first plasma chamber 200-1 and a second plasma chamber 400-1. The plasma processing may include a first plasma chamber 200-1 in the second plasma chamber 400-1 after the first plasma process and the second plasma process in the second plasma chamber 400-1.

상기 기판가열단계는 제1기판가열단계와 제2기판가열단계로 이루어지고, 상기 1차 플라즈마 처리 후 상기 제1기판가열단계가 이루어지고, 상기 제1기판가열단계 후 상기 2차 플라즈마 처리가 이루어지며, 상기 2차 플라즈마 처리 후 상기 제2기판가열단계가 이루어질 수 있다.The substrate heating step includes a first substrate heating step and a second substrate heating step, wherein the first substrate heating step is performed after the first plasma processing, and the second plasma processing is performed after the first substrate heating step And the second substrate heating step after the second plasma treatment may be performed.

상기 제1기판가열단계에서 기판의 가열온도보다 상기 제2기판가열단계에서의 기판의 가열온도가 더 높은 것일 수 있다.The heating temperature of the substrate in the second substrate heating step may be higher than the heating temperature of the substrate in the first substrate heating step.

상기 플라즈마 챔버(100-2,200-2)는 서로 다른 기판에 대한 플라즈마 처리가 각각 이루어지는 제1플라즈마 챔버(100-2)와 제2플라즈마 챔버(200-2)로 이루어지고; 상기 제1플라즈마 챔버(100-2)와 제2플라즈마 챔버(200-2)에서는 서로 다른 기판을 동시에 플라즈마 처리하는 것일 수 있다.The plasma chambers 100-2 and 200-2 include a first plasma chamber 100-2 and a second plasma chamber 200-2 in which plasma processing is performed on different substrates, respectively; In the first plasma chamber 100-2 and the second plasma chamber 200-2, different substrates may be plasma-processed at the same time.

상기 공정 챔버(300-2,400-2,500-2,600-2)에서는 상기 기판을 가열한 후 냉각하는 처리가 하나의 챔버 내부에서 이루어질 수 있다.In the process chambers 300-2, 400-2, 500-2, and 600-2, the process of heating and cooling the substrate may be performed in one chamber.

상기 공정 챔버(300-2,400-2,500-2,600-2)는 복수로 이루어지고; 상기 제1플라즈마 챔버(100-2) 또는 제2플라즈마 챔버(200-2)에서 플라즈마 처리가 이루어진 기판은 상기 복수의 공정 챔버(300-2,400-2,500-2,600-2) 중에서 기판 처리가 이루어지지 않는 챔버로 투입되어 기판 처리가 이루어질 수 있다. Wherein the process chambers 300-2, 400-2, 500-2, and 600-2 are plural; The substrate subjected to the plasma treatment in the first plasma chamber 100-2 or the second plasma chamber 200-2 is not subjected to substrate processing among the plurality of process chambers 300-2, 400-2, 500-2, and 600-2 The substrate can be processed into a chamber.

본 발명에 의하면, 포름산을 사용하지 않고도 기판 또는 솔더범프에 존재하는 산화막을 제거할 수 있어 포름산의 처리에 필요한 설비가 필요하지 않으므로 장치를 구성하기 위한 비용을 절감할 수 있다.According to the present invention, an oxide film existing in a substrate or a solder bump can be removed without using formic acid, and facilities necessary for the treatment of formic acid are not required, so that the cost for constructing the apparatus can be reduced.

또한, 포름산을 사용하지 않게 되므로 다수의 챔버 사이를 격벽으로 격리시킬 필요가 없어 장치 내부의 구조가 간단하다.In addition, since formic acid is not used, it is not necessary to isolate a plurality of chambers from each other by a partition, so that the structure inside the apparatus is simple.

또한, 하나의 기판에 대해 플라즈마 처리 공정을 2회 반복하여 수행함으로써 산화막 제거 효율을 향상시킬 수 있다.In addition, the plasma treatment process is repeated twice for one substrate to improve the oxide film removal efficiency.

또한, 2개의 플라즈마 챔버를 구비하고, 플라즈마 처리가 완료된 기판을 복수의 공정챔버 중 어느 하나의 공정챔버에서 히팅 및 냉각과정이 각각 독립적으로 수행되도록 함으로써 수율을 향상시킬 수 있다.In addition, the yield can be improved by providing the two plasma chambers and heating and cooling the plasma-processed substrates independently in one of the plurality of process chambers.

도 1은 본 발명의 제1실시예에 따른 기판의 연속 처리 장치의 구성을 개략적으로 보여주는 평면도
도 2는 도 1의 상태에서 턴테이블이 회전되어 챔버들 사이에 위치한 상태를 보여주는 평면도
도 3은 도 1의 A-A 단면도
도 4는 기판이 로딩 및 언로딩 챔버의 로드락부에 로딩되는 단계를 보여주는 도면
도 5는 기판이 리프트핀에 인계되는 단계를 보여주는 도면
도 6은 기판이 서셉터 상에 안착되고 로드락부에 진공이 인가되는 단계를 보여주는 도면
도 7은 기판과 서셉터가 제1챔버의 하부로 하강하는 단계를 보여주는 도면
도 8은 기판이 턴테이블에 인계되는 단계를 보여주는 도면
도 9는 턴테이블이 회전하여 기판이 플라즈마 챔버로 이송되는 단계를 보여주는 도면
도 10은 플라즈마 챔버의 내부 공간이 격리되고, 로딩 및 언로딩 챔버의 로드락부에 기판이 로딩되는 단계를 보여주는 도면
도 11은 로딩 및 언로딩 챔버와 플라즈마 챔버에서 각각 기판이 턴테이블에 인계되는 단계를 보여주는 도면
도 12는 도 1의 B-B 단면도
도 13은 제4챔버의 내부 공간을 격리시키는 구조를 보여주는 도면
도 14는 본 발명의 제1실시예에 의한 기판 처리 방법을 보여주는 흐름도
도 15는 본 발명의 제2실시예에 의한 기판의 연속 처리 장치의 구성을 개략적으로 보여주는 평면도
도 16은 도 15에 나타난 기판 처리 장치에 의한 기판 처리 방법을 보여주는 흐름도
도 17은 본 발명의 제3실시예에 의한 기판의 연속 처리 장치의 구성을 개략적으로 보여주는 평면도
도 18은 도 17에 나타난 기판 처리 장치에 의한 기판 처리 방법을 보여주는 흐름도
1 is a plan view schematically showing a configuration of a substrate processing apparatus according to a first embodiment of the present invention;
Fig. 2 is a plan view showing the state in which the turntable is rotated and positioned between the chambers in the state of Fig.
FIG. 3 is a cross-sectional view taken along line AA in FIG.
4 is a view showing a step in which a substrate is loaded into a load lock portion of a loading and unloading chamber
5 is a view showing the step in which the substrate is taken over by a lift pin
6 is a view showing a step in which a substrate is placed on a susceptor and a vacuum is applied to a load lock portion
7 is a view showing a step in which the substrate and the susceptor descend to the lower portion of the first chamber
8 is a view showing a step in which the substrate is taken over by a turntable
9 is a view showing a step in which a turntable is rotated and a substrate is transferred to a plasma chamber
10 is a view showing a step in which the inner space of the plasma chamber is isolated and the substrate is loaded on the load lock portion of the loading and unloading chamber
11 is a view showing a step in which the substrate is taken over by the turntable in the loading and unloading chamber and the plasma chamber, respectively
12 is a sectional view taken along the line BB of Fig.
13 is a view showing a structure for isolating the inner space of the fourth chamber
14 is a flowchart showing a substrate processing method according to the first embodiment of the present invention
15 is a plan view schematically showing a configuration of a substrate processing apparatus according to a second embodiment of the present invention
Fig. 16 is a flowchart showing a substrate processing method by the substrate processing apparatus shown in Fig. 15
17 is a plan view schematically showing a configuration of a substrate processing apparatus according to a third embodiment of the present invention
Fig. 18 is a flowchart showing a substrate processing method by the substrate processing apparatus shown in Fig. 17

이하 첨부한 도면을 참조하여 본 발명의 바람직한 실시예에 대한 구성 및 작용을 상세히 설명하면 다음과 같다.DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings.

<제1실시예>&Lt; Embodiment 1 >

도 1을 참조하면, 본 발명의 바람직한 제1실시예에 따른 기판의 연속 처리 장치(1)는, 중앙을 기준으로 원형으로 배치되는 제1 내지 제6챔버(100,200,300,400,500,600)를 포함하여 구성된다. Referring to FIG. 1, an apparatus 1 for continuously processing a substrate according to a first preferred embodiment of the present invention includes first through sixth chambers 100, 200, 300, 400, 500, 600 arranged in a circle with a center as a reference.

상기 기판의 연속 처리 장치(1)에서는 리플로우 공정이 수행될 수 있다. 상기 제1챔버(100; 로딩 및 언로딩 챔버)에서는 기판의 로딩 및 언로딩이 수행됨과 아울러 제2 내지 제6챔버(200,300,400,500,600)를 경유하며 가열처리된 기판의 냉각이 수행된다. 상기 제2챔버(200; 플라즈마 챔버)에서는 플라즈마를 이용하여 기판에 존재하는 산화막을 제거하는 공정이 수행된다. 상기 제3챔버(300; 제1 냉각 챔버)에서는 상기 제2챔버(200)에서 플라즈마 처리된 기판의 냉각이 수행될 수 있다. 상기 제4,5챔버(400,500; 히팅 챔버)에서는 산화막이 제거된 기판을 가열하여 리플로우 처리하는 공정이 수행된다. 상기 제6챔버(600; 제2 냉각 챔버)에서는 제5챔버(500)에서 가열처리된 기판을 냉각하는 공정이 수행된다. 상기 제6챔버(600)에서 냉각된 기판은 제1챔버(100)에서 언로딩된다.In the substrate processing apparatus 1, a reflow process may be performed. In the first and second chambers (100 and 100) (loading and unloading chambers), loading and unloading of substrates are performed, and cooling of the substrates heated through the second through sixth chambers 200, 300, 400, 500 and 600 is performed. In the second chamber 200 (plasma chamber), a process of removing an oxide film existing on the substrate using plasma is performed. In the third chamber 300 (first cooling chamber), the plasma-processed substrate may be cooled in the second chamber 200. In the fourth and fifth chambers 400 and 500 (heating chambers), the substrate on which the oxide film has been removed is heated and reflowed. In the sixth chamber 600 (the second cooling chamber), a process of cooling the substrate heated in the fifth chamber 500 is performed. The substrate cooled in the sixth chamber 600 is unloaded from the first chamber 100.

본 실시예에서는 제3챔버(300)를 구비하여 제2챔버(200)에서 플라즈마 처리 후 SnAg로 이루어진 솔더볼의 파편이 튀는 것을 방지하기 위해 냉각 공정이 수행되도록 구성하였으나, 제3챔버(300)를 제외하는 것으로 구성할 수도 있다.In this embodiment, the third chamber 300 is configured to perform the cooling process to prevent splinters of the solder ball made of SnAg after the plasma treatment in the second chamber 200, but the third chamber 300 It is also possible to configure it to exclude.

상기 제1챔버(100)의 일측에는 기판적재부(2a)와 기판이송부(2b)를 포함하는 프론트엔드모듈(2)(EFEM; Equipment Front End Module)이 연결 설치된다.A front end module 2 (EFEM) is connected to one side of the first chamber 100 and includes a substrate loading part 2a and a substrate transfer part 2b.

상기 프론트엔드모듈(2)은, 기판적재부(2a)에 적재된 미처리 기판을 기판이송부(2b)에 구비된 이송로봇(미도시)을 이용하여 연속 처리 장치(1)의 제1챔버(100)로 로딩(loading)하거나, 연속 처리 장치(1)에서 처리 완료된 기판을 제1챔버(100)로부터 언로딩(unloading)하여 기판적재부(2a)에 적재하는 기능을 한다.The front end module 2 is configured such that an unprocessed substrate stacked on the substrate mounting portion 2a is mounted on a first chamber (not shown) of the continuous processing apparatus 1 by using a transfer robot (not shown) 100 or loading or unloading the processed substrates from the first chamber 100 in the continuous processing apparatus 1 and loading the substrates on the substrate loading section 2a.

도 1 내지 도 3을 참조하여, 기판(W)을 챔버들 사이에서 이송하기 위한 이송부로서 턴테이블(800)에 대해 설명한다. Referring to Figs. 1 to 3, the turntable 800 will be described as a transfer part for transferring the substrate W between the chambers.

상기 턴테이블(800)은, 회전력을 제공하는 구동부(810)와, 상기 구동부(810)의 회전축(811)을 중심으로 방사상으로 연결되며 상기 다수의 챔버와 동일한 수로 구비된 다수의 아암(820)을 포함하고, 상기 아암(820)의 저면에는 기판의 양단을 지지하기 위한 기판지지부(830)가 구비된다.The turntable 800 includes a driving unit 810 that provides rotational force and a plurality of arms 820 that are radially connected to the rotational axis 811 of the driving unit 810 and are provided in the same number as the plurality of chambers And a substrate supporting portion 830 for supporting both ends of the substrate is provided on the bottom surface of the arm 820. [

상기 기판지지부(830)는, 기판의 일측단을 지지하기 위한 제1기판지지턱(831)과, 기판의 타측단을 지지하기 위한 제2기판지지턱(832)으로 구성될 수 있다. 상기 제1기판지지턱(831)과 제2기판지지턱(832)은 상기 아암(820)의 양측부 저면에서 서로 대향하며 절곡된 'L'자 형태로 형성되어 그 절곡된 상면에 기판(W)이 안착되어 지지될 수 있는 구조로 형성되어 있다. The substrate supporter 830 may include a first substrate supporter 831 for supporting one end of the substrate and a second substrate supporter 832 for supporting the other end of the substrate. The first substrate supporting jaw 831 and the second substrate supporting jaw 832 are formed in an L shape that is opposite to and opposite to each other at the bottom of both sides of the arm 820, Are formed to be able to be seated and supported.

상기 다수의 챔버에서 기판의 처리가 수행되는 동안, 상기 다수의 아암(820)은 도 1에 도시된 바와 같이 상기 다수의 챔버 사이사이의 영역에 위치되어 대기하게 된다. 그 후 각 챔버에서 공정이 완료된 기판을 다음 챔버로 이송하기 위해 구동부(810)에 의해 아암(820) 및 기판지지부(830)가 회전하면, 도 2에 도시된 바와 같이 아암(820) 및 기판지지부(830)는 각 챔버의 내부에 위치한 후 기판(W)을 인계받는다. 기판지지부(830)에 기판(W)이 인계된 후 구동부(810)에 의해 아암(820) 및 기판지지부(830)가 회전하여 기판(W)을 다음 챔버로 이송한다.During the processing of the substrate in the plurality of chambers, the plurality of arms 820 are positioned in the area between the plurality of chambers, as shown in FIG. When the arm 820 and the substrate support 830 are rotated by the driving unit 810 to transfer the processed substrate in each chamber to the next chamber, the arm 820 and the substrate support 830, as shown in FIG. 2, (830) is located inside each chamber and then takes over the substrate (W). After the substrate W is transferred to the substrate supporting unit 830, the arm 820 and the substrate supporting unit 830 are rotated by the driving unit 810 to transfer the substrate W to the next chamber.

도 3을 참조하여, 본 발명의 연속 처리 장치(1)의 구성 및 작용을 설명한다.The configuration and operation of the continuous processing apparatus 1 of the present invention will be described with reference to Fig.

상기 제1 내지 제2챔버(100,200)는, 각각 상부 챔버(100a,200a)와, 그 하측에 각각 구비되는 하부 챔버(100b,200b)를 포함한다. 상기 제4 내지 제5챔버(400,500)도 도 12에 나타난 바와 같이 상부 챔버(400a,500a)와 하부 챔버(400b,500b)를 각각 포함한다. 제3챔버(300)와 제6챔버(600)도 상부 챔버(미도시)와 하부 챔버(미도시)를 포함할 수 있다.The first and second chambers 100 and 200 include upper chambers 100a and 200a and lower chambers 100b and 200b respectively disposed below the chambers 100a and 200a. The fourth to fifth chambers 400 and 500 also include upper chambers 400a and 500a and lower chambers 400b and 500b, respectively, as shown in FIG. The third chamber 300 and the sixth chamber 600 may also include an upper chamber (not shown) and a lower chamber (not shown).

상기 상부 챔버(100a,200a,400a,500a)와 하부 챔버(100b,200b,400b,500b) 사이에는, 상부 챔버(100a,200a,300a,400a,500a)의 하단이 결합되는 상부 몸체(700a)와, 하부 챔버(100b,200b,400b,500b)의 상단이 결합되는 하부 몸체(700b)로 이루어진 몸체(700)가 구비된다.An upper body 700a to which the lower ends of the upper chambers 100a, 200a, 300a, 400a and 500a are coupled is formed between the upper chambers 100a, 200a, 400a and 500a and the lower chambers 100b, 200b, 400b, And a lower body 700b to which upper ends of the lower chambers 100b, 200b, 400b, and 500b are coupled.

상기 상부 몸체(700a)와 하부 몸체(700b) 사이는 상하로 이격되어 기판이송공간(700c)이 마련되고, 상기 기판이송공간(700c)은 제1 내지 제6챔버(100,200,300,400,500,600)와 연통되어 각 챔버들(100,200,300,400,500,600) 간에 기판(W)이 이송되는 통로를 제공한다.A substrate transfer space 700c is provided between the upper body 700a and the lower body 700b so that the substrate transfer space 700c is communicated with the first to sixth chambers 100, 200, 300, 400, 500, 600, (100, 200, 300, 400, 500, 600).

상기 제1 내지 제6챔버(100,200,300,400,500,600)의 내부공간은 진공 또는 대기압 상태에서 공정이 이루어질 수 있다. 또한, 각 챔버의 내부공간 및 이들 사이를 연통하는 공간은 불활성 가스인 질소(N2) 또는 다른 종류의 가스(예를 들어, 아르곤, 헬륨 등) 분위기로 구성할 수도 있고, 별도의 가스를 사용하지 않는 것으로 구성할 수도 있다.The internal spaces of the first to sixth chambers 100, 200, 300, 400, 500, and 600 may be vacuum or atmospheric. The inner space of the chambers and the space communicating therewith may be formed of an inert gas such as nitrogen (N 2) or another kind of gas (for example, argon, helium, etc.) It is also possible to configure it as not.

이하 제1챔버(100)와 제2챔버(200)에 대해 설명하되, 공통되는 구성에 대해서는 함께 설명한다.Hereinafter, the first chamber 100 and the second chamber 200 will be described, and a common structure will be described together.

상기 제1챔버(100)의 상부 챔버(100a)는 상기 프론트엔드모듈(2)과 사이에 기판이 로딩 및 언로딩되는 로드락부(100a)로서 기능한다. 상기 제1챔버(100)의 하부 챔버(100b)는 로딩된 기판을 로드락부(100a)에서 제2챔버(200)로 이송하는 통로의 기능과, 제6챔버(600)에서 처리 완료된 기판을 로드락부(100a)로 이송하는 통로의 기능, 및 언로딩에 앞서 기판의 냉각을 수행하는 공정챔버로서 기능한다.The upper chamber 100a of the first chamber 100 functions as a load lock part 100a in which the substrate is loaded and unloaded between the front end module 2 and the upper chamber 100a. The lower chamber 100b of the first chamber 100 functions as a passage for transferring the loaded substrate from the load lock part 100a to the second chamber 200 and the function of the passage for transporting the processed substrate in the sixth chamber 600. [ The function of the passage for transferring to the lock portion 100a, and the process chamber for cooling the substrate prior to unloading.

상기 상부 챔버(100a)의 일측면에는 로딩 및 언로딩되는 기판의 출입을 위한 개구부(114)가 형성되고, 상기 개구부(114)는 게이트구동부(110)에 의해 상하 이동하는 게이트(113)에 의해 개폐된다. 따라서, 게이트구동부(110)의 구동에 의해 게이트(113)가 개구부(114)를 막아 로드락부(100a)의 내부는 밀폐된 상태가 되고, 게이트구동부(110)의 역방향 구동에 의해 게이트(113)가 상향 이동하면 개구부(114)는 개방된 상태가 된다.One side of the upper chamber 100a is provided with an opening 114 for entering and exiting the substrate to be loaded and unloaded. The opening 114 is formed by a gate 113 moving up and down by a gate driving unit 110 Is opened and closed. Accordingly, the gate 113 is closed by the gate driving unit 110 to close the inside of the load lock part 100a and the gate 113 is closed by the reverse driving of the gate driving part 110, The opening 114 is opened.

상기 로드락부(100a)에는 그 내부 공간에 진공을 인가하기 위한 진공흡입부(미도시)가 연결 설치된다. 또한, 상기 로드락부(100a)에는 그 내부 공간에 퍼지가스(purge gas)로서 불활성가스인 질소가 공급될 수 있다. The load lock portion 100a is connected to a vacuum suction portion (not shown) for applying a vacuum to the inner space of the load lock portion 100a. In addition, the load lock portion 100a may be supplied with nitrogen, which is an inert gas, as a purge gas in the inner space.

상기 제2챔버(200)의 상부 챔버(200a)는 플라즈마를 이용하여 기판 또는 솔더(Solder)의 표면에 존재하는 산화막을 제거하는 공정챔버로서 기능한다.The upper chamber 200a of the second chamber 200 functions as a process chamber for removing an oxide film existing on a surface of a substrate or a solder by using plasma.

상기 플라즈마를 발생시키는 수단으로서 상부 챔버(200a) 내부에는 플라즈마 발생부(210)가 구비된다. 상기 플라즈마 발생부(210)는 대기압 플라즈마 또는 진공 플라즈마가 사용될 수 있다. 진공 플라즈마를 사용할 경우에는 상기 상부 챔버(200a)의 내부 공간(S3; 도 10)을 진공상태로 형성하기 위한 진공흡입부(미도시)가 구비될 수 있다. 여기서 진공이라 함은 0.01 - 300 torr의 압력범위가 될 수 있고, 대기압은 500 - 800 torr의 압력범위가 될 수 있다.As the means for generating the plasma, a plasma generating part 210 is provided in the upper chamber 200a. The plasma generating part 210 may be an atmospheric plasma or a vacuum plasma. When a vacuum plasma is used, a vacuum suction unit (not shown) may be provided to form an internal space S3 (FIG. 10) of the upper chamber 200a in a vacuum state. Here, the vacuum may be in the range of 0.01 to 300 torr, and the atmospheric pressure may be in the range of 500 to 800 torr.

또한, 플라즈마를 발생시키기 위한 가스로는 아르곤(Ar)과 수소(H2)의 혼합가스를 사용할 수 있다. 물론 상기한 수소-아르곤 혼합 가스 이외에 질소(N2), 산소(O2), 삼불화질소(NF3), 육불화황(SF6) 등 다른 가스의 사용도 가능하다.As a gas for generating plasma, a mixed gas of argon (Ar) and hydrogen (H2) may be used. Other gases such as nitrogen (N 2), oxygen (O 2), nitrogen trifluoride (NF 3) and sulfur hexafluoride (SF 6) may be used in addition to the hydrogen-argon mixed gas.

상기 제1,2챔버(100,200)의 내부에는 기판이 안착되는 서셉터(140,240)와, 상기 서셉터(140,240)를 상하로 이동 가능하도록 구동하는 서셉터승강구동부(150,250)가 구비된다. The susceptors 140 and 240 include a susceptor 140 and a susceptor 140 and a susceptor lifting and driving part 150 and 250 for driving the susceptors 140 and 240 to move up and down.

상기 제1챔버(100)의 서셉터(140)의 내부에는 기판의 냉각을 위한 냉각수단(미도시)이 구비될 수 있다.A cooling means (not shown) for cooling the substrate may be provided in the susceptor 140 of the first chamber 100.

상기 제1,2챔버(100,200)의 내벽에는 상부 챔버(100a,200a)의 하단부가 위치하는 지점에서 내측으로 돌출된 시트부(115,215)가 형성되고, 상기 서셉터(140,240)가 상승되어 상기 시트부(115,215)에 밀착되면, 상기 제1,2챔버(100,200)의 내부공간은 서셉터(140,240)를 기준으로 그 상측과 하측에 공간적으로 서로 격리되는 제1공간(S1,S3)과 제2공간(S2,S4)이 형성된다(도 10 참조). 상기 제1공간(S1,S3)은 상부 챔버(100a,200a) 내부의 밀폐된 공간이고, 상기 제2공간(S2,S4)은 상기 기판이송공간(700c)과 연통되는 공간이다.In the inner walls of the first and second chambers 100 and 200, seat parts 115 and 215 protruding inward are formed at the positions where the lower ends of the upper chambers 100a and 200a are positioned, and the susceptors 140 and 240 are raised, The inner space of the first and second chambers 100 and 200 has a first space S1 and a second space S3 spatially separated from each other on the upper and lower sides of the susceptor 140 and 240, Spaces S2 and S4 are formed (see Fig. 10). The first spaces S1 and S3 are sealed spaces in the upper chambers 100a and 200a and the second spaces S2 and S4 are communicated with the substrate transfer space 700c.

상기 로드락부(100a)에서 기판의 로딩 및 언로딩은, 서셉터(140)가 상승 이동되어 시트부(115)에 밀착된 상태, 즉 제1공간(S1)과 제2공간(S2)이 공간적으로 서로 격리된 상태에서 수행된다. 이는 기판의 로딩 및 언로딩이 수행되는 동안 개구부(114)를 통해 제1공간(S1)으로 유입되는 외부의 공기가 제2공간(S2)으로 역류되어 제2공간(S2)과 이에 연통된 기판이송공간(600c) 및 제2 내지 제6챔버(200,300,400,500,600) 내부의 진공 상태가 파괴되는 것을 방지하기 위함이다.The loading and unloading of the substrate from the load lock portion 100a is performed in a state where the susceptor 140 is moved up and brought into close contact with the seat portion 115, that is, the first space S1 and the second space S2 are spaced As shown in FIG. This is because external air flowing into the first space S1 through the opening 114 flows back into the second space S2 while the substrate is being loaded and unloaded, The transfer space 600c and the vacuum state inside the second to sixth chambers 200, 300, 400, 500, and 600 are prevented from being destroyed.

또한, 상기와 같이 기판의 로딩 및 언로딩이 제1공간(S1)과 제2공간(S2)이 공간적으로 서로 격리된 상태에서 수행됨으로써, 외부 공기의 유입량이 최소화될 수 있어 기판의 연속 처리 장치(1)에서 공정에 요구되는 산소 농도를 용이하게 관리할 수 있게 된다. Since the loading and unloading of the substrate is performed in a state where the first space S1 and the second space S2 are spatially isolated from each other as described above, the inflow amount of the outside air can be minimized, It is possible to easily manage the oxygen concentration required in the process in the process (1).

상기 제2챔버(200)에서 기판에 대한 플라즈마 처리도 서셉터(240)가 상승 이동되어 시트부(215)에 밀착된 상태, 즉 제1공간(S3)과 제2공간(S4)이 공간적으로 서로 격리된 상태에서 수행될 수 있다. The plasma processing for the substrate in the second chamber 200 is also performed in a state in which the susceptor 240 is lifted and brought into close contact with the seat portion 215, that is, the first space S3 and the second space S4 are spatially Can be performed in a state in which they are isolated from each other.

상기 서셉터승강구동부(150,250)는, 서셉터(140,240)의 저면을 지지하는 복수의 서셉터지지부(151,251)와, 상기 서셉터지지부(151,251)를 승강되도록 구동하는 서셉터승강실린더(152,252)로 구성될 수 있다.The susceptor lifting and lowering driving parts 150 and 250 include a plurality of susceptor supporting parts 151 and 251 for supporting the bottom surfaces of the susceptors 140 and 240 and susceptor lifting and lowering cylinders 152 and 252 for driving the susceptor supporting parts 151 and 251 to be lifted and lowered Lt; / RTI &gt;

상기 서셉터(140,240)의 하측에는 기판을 서셉터(140,240)의 상측에서 승강되도록 지지하기 위한 기판승강구동부(160,260)가 구비된다. 상기 기판승강구동부(160,260)는 서셉터(140,240)에 형성된 홀(141,241)을 상하로 관통하는 복수의 리프트핀(161,261)과, 상기 리프트핀(161,261)을 상하로 이동되도록 구동하는 기판승강실린더(162,262)로 구성될 수 있다. A substrate lifting and lowering driving unit 160 and 260 are provided below the susceptors 140 and 240 to support the substrate up and down from the susceptors 140 and 240. The substrate lifting and lowering driving units 160 and 260 include a plurality of lift pins 161 and 261 passing through holes 141 and 241 formed in the susceptors 140 and 240 and a substrate elevating and lowering cylinder driving the lift pins 161 and 261 to move up and down 162, 262).

상기 제1챔버(100)의 기판승강구동부(160)는 기판이 로딩 및 언로딩될 때 기판을 이송로봇(900)과 서셉터(140) 사이에 인수인계하는 기능과, 기판을 제1챔버(100)에서 제2챔버(200)로 이송시 서셉터(140)와 후술되는 턴테이블(800) 사이에 기판을 인수인계하는 기능을 한다.The substrate lifting and driving part 160 of the first chamber 100 has a function of taking over the substrate between the transfer robot 900 and the susceptor 140 when the substrate is loaded and unloaded, 100 to the second chamber 200, a function of taking over the substrate between the susceptor 140 and the turntable 800 to be described later.

상기 제2챔버(200)의 기판승강구동부(260)는 기판을 제1챔버(100)에서 제2챔버(200)로 이송시, 제2챔버(200)에서 제3챔버(300)로 이송시, 서셉터(240)와 턴테이블(800) 사이에 기판을 인수인계하는 기능을 한다. The substrate lifting and driving part 260 of the second chamber 200 is moved from the second chamber 200 to the third chamber 300 when the substrate is transferred from the first chamber 100 to the second chamber 200, And taking over the substrate between the susceptor 240 and the turntable 800.

상기 서셉터(140,240)의 하측에는 서셉터(140,240)의 상면에 기판을 안정적으로 안착시켜 지지하기 위한 기판고정부(미도시)가 구비될 수 있다. 상기 기판고정부는 기판의 저면에 진공을 인가하여 기판을 흡착하는 것으로 구성할 수도 있고, 기판의 가장자리를 기계적으로 고정하는 클램핑 구조로 구성할 수도 있다.A substrate fixing unit (not shown) may be provided below the susceptors 140 and 240 to stably support the substrates on the upper surfaces of the susceptors 140 and 240. The substrate fixing unit may be configured to absorb a substrate by applying a vacuum to a bottom surface of the substrate, or may have a clamping structure mechanically fixing the edge of the substrate.

상기 제3챔버(300)는 제2챔버(200)에서 플라즈마 처리에 의해 가열된 기판을 냉각시키는 냉각 챔버로서 기능한다. 상기 제3챔버(300)에도 기판이 안착되는 서셉터(미도시)가 구비되어 있고, 상기 서셉터에 기판이 안착된 상태에서 냉각공정이 수행된다. 상기 제3챔버(300)에는 기판의 냉각을 위해 냉각수단을 구비할 수 있다.The third chamber 300 functions as a cooling chamber for cooling the substrate heated by the plasma treatment in the second chamber 200. In the third chamber 300, a susceptor (not shown) on which the substrate is mounted is provided, and a cooling process is performed in a state where the substrate is placed on the susceptor. The third chamber 300 may include cooling means for cooling the substrate.

상기 제4챔버(400; 제1 히팅 챔버)와 제5챔버(500; 제2 히팅 챔버)는 기판 상에 융착된 솔더범프(Solder Bump)를 가열에 의해 구형의 솔더볼(Solder Ball)로 형성하기 위한 리플로우 공정이 이루어지는 챔버이다. The fourth chamber 400 (the first heating chamber) and the fifth chamber 500 (the second heating chamber) are formed by forming solder bumps fused on the substrate by using a spherical solder ball by heating Is a chamber in which a reflow process is performed.

본 실시예에서는 제4챔버(400)에서 1차 가열 후 제5챔버(500)에서 2차 가열하는 공정으로 구성하였으나, 하나의 챔버에서 한 번의 가열처리만 이루어지는 것으로 구성할 수도 있다.In the present embodiment, the fourth chamber 400 is subjected to the first heating and the fifth chamber 500 is then subjected to the second heating. However, only one heating process may be performed in one chamber.

도 12를 참조하면, 상기 제4,5챔버(400,500)에는, 기판(W)의 하부에 위치한 하부히터(470,570)와, 기판(W)의 상부에 위치한 상부히터(480,580)가 구비될 수 있다. 상기 하부히터(470,570)는 서셉터(440,540) 내부에 내장될 수 있다. 또한, 기판(W)을 승하강시키기 위해 서셉터(440,540)를 상하로 관통하는 복수의 리프트핀(461,561)과, 상기 리프트핀(461,561)을 상하로 이동되도록 구동하는 기판승강실린더(462,562)로 이루어진 기판승강구동부(460,560)가 구비되어 있다.Referring to FIG. 12, the fourth and fifth chambers 400 and 500 may include lower heaters 470 and 570 located below the substrate W and upper heaters 480 and 580 located above the substrate W . The lower heaters 470 and 570 may be embedded in the susceptors 440 and 540. A plurality of lift pins 461 and 561 passing through the susceptors 440 and 540 vertically to move the substrate W up and down and substrate lift cylinders 462 and 562 for driving the lift pins 461 and 561 to move up and down The substrate lifting and lowering driving unit 460 and 560 are provided.

상기 제4챔버(400)와 제5챔버(500)에서 기판(W)의 가열온도는 연속적으로 상승하도록 구성할 수 있다. 또한, 제4챔버(400)에서 가열온도보다 제5챔버(500)에서 최고 온도가 되도록 구성할 수 있다. 이러한 온도 프로파일이 구현되도록 하부히터(470,570)와 상부히터(480,580)의 온도를 제어하게 된다.The heating temperature of the substrate W in the fourth chamber 400 and the fifth chamber 500 may be continuously increased. Further, the fourth chamber 400 may have a maximum temperature in the fifth chamber 500 than a heating temperature. The temperatures of the lower heaters 470 and 570 and the upper heaters 480 and 580 are controlled to realize the temperature profile.

상기 제6챔버(600)는 리플로우 공정 후 기판을 냉각하기 위한 냉각챔버로서 기능한다. The sixth chamber 600 functions as a cooling chamber for cooling the substrate after the reflow process.

상기에서는 제2챔버(200)에서 플라즈마를 이용한 기판 처리가 이루어지는 동안 상기 플라즈마 상부챔버(200a)의 내부 공간인 제1공간(S3)은 상기 기판이송공간(700c)에 대하여 격리된 상태에서 플라즈마 공정이 이루어지도록 구성하였으나, 플라즈마 상부챔버(200a)의 내부 공간이 기판이송공간(700c) 및 제3 내지 제6챔버(300,400,500,600)의 내부 공간과 연통하는 것으로 구성할 수도 있다. 이와 같이 구성하면, 서셉터(240)를 승강시키기 위한 서셉터승강구동부(250)가 불필요하므로 장치의 구조를 간단하게 할 수 있다.The first space S3 as an internal space of the plasma upper chamber 200a is separated from the substrate transfer space 700c while plasma processing is performed in the second chamber 200, The inner space of the plasma upper chamber 200a may be configured to communicate with the inner space of the third to sixth chambers 300, 400, 500, 600, and the substrate transfer space 700c. With this configuration, the structure of the apparatus can be simplified because the susceptor lifting and driving unit 250 for lifting and lowering the susceptor 240 is unnecessary.

상기 제3챔버(300) 내지 제6챔버(600)는 기판에 대한 가열 또는 냉각이 이루어지는 내부 공간이 기판이송공간(700c)에 대하여 밀폐된 상태가 되도록 구성할 수도 있으나, 포름산을 사용하지 않고 서로 연통하는 구조로 구성할 수도 있다. 따라서 각 챔버를 격리시키기 위한 격벽 등의 구성이 필요하지 않고, 서셉터를 승강시켜 격리시키기 위한 서셉터승강구동부의 구성이 필요하지 않아, 장치의 구조를 간단하게 할 수 있다.The third chamber 300 to the sixth chamber 600 may be configured such that the inner space where the substrate is heated or cooled is sealed with respect to the substrate transfer space 700c, It is also possible to constitute a structure that communicates with each other. Therefore, a structure such as a partition wall for isolating the chambers is not required, and the structure of the susceptor lifting and driving portion for lifting and isolating the susceptor is not required, and the structure of the apparatus can be simplified.

상기와 같이 챔버들끼리 서로 연통하는 구성의 일례로, 도 12가 도시되어 있다. 도 12에서는 제4챔버(400)와 제5챔버(500)의 내부공간이 밀폐됨이 없어 서로 연통하는 공간으로 이루어진 실시예를 도시하고 있다. 12 shows an example of a configuration in which the chambers communicate with each other as described above. 12 shows an embodiment in which the inner spaces of the fourth chamber 400 and the fifth chamber 500 are not sealed and communicate with each other.

도 13은 제4챔버(400)를 격리시키기 위한 일례를 도시한 것으로서, 이러한 구조는 제3챔버(300), 제5챔버(500) 및 제6챔버(600)에도 동일하게 적용할 수 있다. 13 shows an example for isolating the fourth chamber 400. This structure is equally applicable to the third chamber 300, the fifth chamber 500 and the sixth chamber 600. [

상기 제4챔버(400)에서 서셉터(440)의 상부에는 기판이송공간(700c)과 제4챔버(400)이 내부공간 사이를 개폐하는 커버(420)가 구비되어 있다. 상기 커버(420)는 커버승강구동부(미도시)에 의해 상하 이동하도록 되어 있다. 상기 커버(420)의 하부에는 커버하부하우징(425)이 구비되어 있다. A substrate transfer space 700c and a cover 420 for opening and closing the fourth chamber 400 between the inner spaces are provided in the upper portion of the susceptor 440 in the fourth chamber 400. The cover 420 is moved up and down by a cover lifting and driving part (not shown). A cover lower housing 425 is provided under the cover 420.

따라서 상기 커버(420)가 하강한 경우에는 커버(420)의 하단이 커버하부하우징(425)의 상단에 접촉됨으로써 기판이송공간(700c)과 제4챔버(400)이 내부공간 사이를 격리시키고, 상기 커버(420)가 상승한 경우에는 커버(420)의 하단이 커버하부하우징(425)의 상단으로부터 이격됨으로써 기판이송공간(700c)과 제4챔버(400)이 내부공간 사이가 서로 연통하게 된다.When the cover 420 is lowered, the lower end of the cover 420 is brought into contact with the upper end of the lower housing 425 so that the substrate transfer space 700c and the fourth chamber 400 isolate the inner space, The lower end of the cover 420 is separated from the upper end of the lower housing 425 so that the space between the substrate transfer space 700c and the inner space of the fourth chamber 400 is communicated with each other.

상기와 같은 구성으로 이루어진 본 발명의 기판의 연속 처리 장치에 의한 기판 처리 방법을 도 4 내지 도 11, 도 14를 참조하여 설명한다. 턴테이블(800)의 아암(820)과 기판지지부(830)가 챔버의 내부공간에 위치한 경우에는 실선으로 표시하였고, 챔버와 챔버 사이의 공간에 위치한 경우에는 점선으로 표시하였다.A substrate processing method by the apparatus for continuous processing of a substrate of the present invention having the above-described structure will be described with reference to Figs. 4 to 11 and Fig. The arm 820 of the turntable 800 and the substrate support 830 are indicated by solid lines when they are located in the inner space of the chamber and are indicated by the dotted lines when they are located in the space between the chamber and the chamber.

단계 S1은 도 4 내지 도 6에 나타난 바와 같이, 기판(W1)이 제1챔버(100)의 로드락부(100a)에 로딩되는 단계이다. 기판(W1)이 로딩되기에 앞서 서셉터(140)는 서셉터승강구동부(150)의 구동에 의해 시트부(115)에 밀착되는 위치까지 상승되고, 제1챔버(100)의 제1공간(S1)과 제2공간(S2)는 격리된다. 이 경우 턴테이블(800)의 아암(820)은 다수의 챔버 사이사이의 영역에 위치되어 대기하고 있고, 도 4 내지 도 6에서는 점선으로 표시되어 있다.Step S1 is a step in which the substrate W1 is loaded into the load lock portion 100a of the first chamber 100, as shown in Figs. The susceptor 140 is raised to a position where it is in close contact with the seat portion 115 by driving of the susceptor lifting and driving portion 150 and the first space 100 of the first chamber 100 S1) and the second space S2 are isolated. In this case, the arm 820 of the turntable 800 is positioned in a region between a plurality of chambers and is standing by, and is indicated by a dotted line in FIGS.

이 상태에서 게이트구동부(110)에 의해 게이트(113)가 상승하여 개구부(114)가 개방된다. 개구부(114)가 개방되면, 도 4에 도시된 바와 같이 프론트엔드모듈(2)에 구비된 이송로봇(900)에 의해 기판(W1)이 개구부(114)를 통과하여 로드락부(100a) 내부의 제1공간(S1)으로 로딩된다. In this state, the gate 113 rises by the gate driving unit 110 and the opening 114 is opened. 4, the substrate W1 passes through the opening portion 114 by the transfer robot 900 provided in the front end module 2, and is guided to the inside of the load lock portion 100a And is loaded into the first space S1.

다음으로, 도 5에 도시된 바와 같이 기판승강구동부(160)의 구동에 의해 리프트핀(161)이 상승 이동되어 기판(W1)을 들어올려 지지하게 되고, 기판(W1)이 리프트핀(161)에 인계되면, 이송로봇(900)은 개구부(114)를 통과하여 로드락부(100a)의 외부로 복귀하고, 게이트구동부(110)의 구동에 의해 게이트(113)가 하강하여 개구부(114)가 닫히게 된다. 5, the lift pin 161 is lifted by the driving of the substrate lift driving unit 160 to lift the substrate W1, and the substrate W1 is lifted up by the lift pins 161, The transfer robot 900 is returned to the outside of the load lock part 100a through the opening part 114 and the gate 113 is lowered by the driving of the gate driving part 110 to close the opening part 114 do.

그 다음, 도 6에 도시된 바와 같이, 리프트핀(161)의 하강 이동에 의해 기판(W1)은 서셉터(140) 상에 안착된다. 또한, 로드락부(100a) 내부의 제1공간(S1)이 밀폐된 상태에서, 제1공간(S1) 내의 공기를 배기시켜 제2공간(S2)의 진공도에 도달하도록 진공을 형성시킨다. Then, as shown in Fig. 6, the substrate W1 is placed on the susceptor 140 by the downward movement of the lift pin 161. As shown in Fig. In addition, in a state in which the first space S1 inside the load lock portion 100a is sealed, air in the first space S1 is evacuated to form a vacuum so as to reach the degree of vacuum in the second space S2.

도 7 내지 도 9는 기판(W1)을 제1챔버(100)에서 제2챔버(200)로 이송하는 단계를 나타낸다. FIGS. 7 to 9 show the step of transferring the substrate W1 from the first chamber 100 to the second chamber 200. FIG.

도 7을 참조하면, 서셉터승강구동부(150)의 구동에 의해 서셉터(140)와 그 상면에 안착된 기판(W1)은 제2공간(S2)으로 하강 이동하게 된다. 또한, 리프트핀(161)이 상승 이동되어, 기판(W1)을 턴테이블(800)의 아암(820)과 기판지지부(830) 사이의 높이까지 들어올린다.Referring to FIG. 7, the susceptor 140 and the substrate W1 mounted on the upper surface of the susceptor 140 move downward to the second space S2 by driving the susceptor lifting and lowering driving unit 150. FIG. The lift pin 161 is moved upward to lift the substrate W1 to a height between the arm 820 of the turntable 800 and the substrate supporting portion 830. [

그 후 턴테이블(800)이 도 8에 도시된 바와 같이 회전되어, 턴테이블(800)의 아암(820)과, 기판지지부(830)의 제1기판지지턱(831) 및 제2기판지지턱(832) 사이에 기판(W1)의 양측단이 위치되도록 한다. 그 다음 리프트핀(161)이 하강 이동되어 기판(W1)을 턴테이블(800)의 기판지지부(830) 상으로 인계한다. 8, the arm 820 of the turntable 800 and the first substrate support jaw 831 and the second substrate support jaw 832 of the substrate support portion 830 are rotated to rotate the turntable 800, ) Of the substrate W1. The lift pin 161 is moved downward to transfer the substrate W1 onto the substrate supporting portion 830 of the turntable 800. Then,

그 후 도 9에 도시된 바와 같이 턴테이블(800)이 연통된 기판이송공간(700c)을 통해 회전되어 기판(W1)을 제2챔버(200)의 내부로 이동시킨다. 상기 제2챔버(200)에 구비된 기판승강구동부(260)의 구동에 의해 리프트핀(261)이 상승 이동되어 기판(W1)을 인계받게 되고, 기판(W1)이 리프트핀(261)에 인계되면, 기판(W1)을 인계한 턴테이블(800)의 아암(820)은 제2챔버(200)와 제3챔버(300) 사이 영역으로 회전된 후 정지하게 된다.The turntable 800 is rotated through the communicated substrate transfer space 700c to move the substrate W1 into the second chamber 200 as shown in FIG. The lift pins 261 are lifted by the driving of the substrate lift driving unit 260 provided in the second chamber 200 to receive the substrate W1 and the substrate W1 is transferred to the lift pins 261 The arm 820 of the turntable 800 taking over the substrate W1 is rotated to the area between the second chamber 200 and the third chamber 300 and then stopped.

단계 S2는 도 10에 나타난 바와 같이, 기판(W1)이 제2챔버(200)에서 플라즈마 처리공정이 수행되는 단계이다. Step S2 is a step in which the substrate W1 is subjected to plasma processing in the second chamber 200, as shown in FIG.

기판(W1)은 서셉터(240) 상에 안착되고, 상기 서셉터(240)는 서셉터승강구동부(250)의 구동에 의해 시트부(215)에 밀착되는 위치까지 상승되어, 제1챔버(200)의 제1공간(S3)과 제2공간(S4)는 격리된다. The substrate W1 is placed on the susceptor 240 and the susceptor 240 is raised to a position where it is brought into close contact with the seat portion 215 by driving of the susceptor lifting and driving portion 250, 200 are isolated from the first space S3 and the second space S4.

상기 제1공간(S3)은 대기압 또는 진공 상태가 될 수 있고, 질소(N2)분위기에서 플라즈마 공정이 수행될 수 있다. 플라즈마 발생부(210)에 의해 플라즈마가 형성되고, 이 플라즈마에 기판이 노출되면 기판에 형성된 솔더범프 표면의 산화막이 제거된다. 이 경우 플라즈마에 노출되는 시간은 30 ~ 300초가 될 수 있다. The first space S3 may be an atmospheric pressure or a vacuum state, and a plasma process may be performed in a nitrogen (N2) atmosphere. Plasma is formed by the plasma generating part 210, and when the substrate is exposed to the plasma, the oxide film on the surface of the solder bump formed on the substrate is removed. In this case, the exposure time to the plasma may be 30 to 300 seconds.

한편, 제2챔버(200)에서 플라즈마 처리공정이 진행되는 동안 제1챔버(100)에서는 그 다음 기판(W2)이 제1공간(S1)으로 로딩된다.Meanwhile, during the plasma processing process in the second chamber 200, the next substrate W2 is loaded into the first space S1 in the first chamber 100.

이와 같이 제1챔버(100)에서 기판(W2)의 로딩이 완료되고, 제2챔버(200)에서 플라즈마 처리 공정이 완료되면, 도 7 내지 도 9에서 설명한 바와 같은 방법으로 서셉터승강구동부(250)와 기판승강구동부(260) 및 턴테이블(800)을 구동하여, 도 11에 도시된 바와 같이 기판(W1)과 기판(W2)을 턴테이블(800)에 의해 제3챔버(300)와 제2챔버(200)로 동시에 이송한다.When the loading of the substrate W2 is completed in the first chamber 100 and the plasma processing process is completed in the second chamber 200 as described above, the susceptor lifting and driving unit 250 The substrate W1 and the substrate W2 are moved by the turntable 800 to the third chamber 300 and the second chamber 300 by the driving of the substrate lift driving unit 260 and the turntable 800, (200).

단계 S3은 제3챔버(300)로 이송된 기판(W1)을 냉각하는 단계이다. 이와 같이 단계 S2와 단계 S3을 거치면서 기판에 존재하는 산화막이 제거된다. 본 실시예에서는 제3챔버(300)에서 냉각과정을 거치는 것으로 예시하였으나, 냉각과정을 거치지 않고 플라즈마 처리 후 곧바로 단계 S4의 히팅 공정이 이루어지도록 구성할 수도 있다.Step S3 is a step of cooling the substrate W1 transferred to the third chamber 300. Thus, the oxide film existing on the substrate is removed through steps S2 and S3. In this embodiment, the cooling process is performed in the third chamber 300. However, the heating process may be performed immediately after the plasma process without performing the cooling process.

이 경우 플라즈마 챔버(200)는 다른 챔버(300,400,500,600)와 연통한 상태에서 플라즈마 공정이 진행되도록 할 수도 있고, 격리시킨 상태에서 공정이 진행되도록 구성할 수도 있다. In this case, the plasma chamber 200 may be connected to the other chambers 300, 400, 500, and 600 so that the plasma process may proceed or the process may proceed in an isolated state.

단계 S4 내지 S6은 기판의 솔더범프를 구 형상의 솔더볼로 형성하는 리플로우 공정에 해당한다. Steps S4 to S6 correspond to the reflow process in which the solder bumps of the substrate are formed of spherical solder balls.

단계 S4는 제3챔버(300)에서 제4챔버(400)로 이송된 기판(W1)을 히터(470,480)을 이용하여 1차 가열처리하는 제1 히팅 공정이다. 상기 1차 가열처리는 일례로 기판 온도를 제1설정온도인 100 내지 220℃까지 상승시키는 것으로 구성할 수 있다. 기판(W1)을 제1설정온도까지 가열시킨 후 설정된 시간동안 유지하는 것으로 구성할 수 있다. 이와 같이 1차 가열처리가 완료되면 턴테이블(800)에 의해 기판(W1)이 제5챔버(500)로 이송된다.Step S4 is a first heating process for performing a first heating process on the substrate W1 transferred from the third chamber 300 to the fourth chamber 400 using the heaters 470 and 480. [ The primary heat treatment may be performed by raising the substrate temperature to 100 to 220 DEG C, which is the first set temperature. The substrate W1 may be heated to the first set temperature and maintained for a set period of time. When the first heating process is completed as described above, the substrate W1 is transferred to the fifth chamber 500 by the turntable 800.

단계 S5는 제5챔버(500)로 이송된 기판(W1)을 히터(570,580)을 이용하여 2차 가열처리하는 제2 히팅 공정이다. 상기 2차 가열처리는 일례로 기판 온도를 제2설정온도인 200 내지 300℃까지 상승시키는 것으로 구성할 수 있다. 또한, 기판을 제2설정온도까지 가열시킨 후 설정된 시간동안 유지하는 것으로 구성할 수 있다.Step S5 is a second heating process for performing a second heating process on the substrate W1 transferred to the fifth chamber 500 using the heaters 570 and 580. [ The secondary heat treatment may be performed by raising the substrate temperature to a second set temperature of 200 to 300 ° C, for example. Further, the substrate can be configured to be heated to the second set temperature and maintained for a set time.

상기 단계 S4와 S5에서 히팅 공정은 상기 제4,5챔버(400,500) 내부에 포름산 증기를 공급하지 않고, 질소 또는 공기 분위기로 형성한 상태에서 히팅 공정이 수행된다. 또한, 상기 히팅 공정은 포름산 증기가 공급되지 않은 상태에서 진행되므로 제4챔버(400)와 제5챔버(500)의 내부를 다른 챔버와 격리시키지 않더라도 히팅 공정의 수행이 가능하다. 상기 제5챔버(500)에서 히팅 공정이 완료되면 기판(W1)은 턴테이블(800)에 의해 제6챔버(600)로 이송된다.In the steps S4 and S5, the heating process is performed in a state where the inside of the fourth and fifth chambers 400 and 500 is not supplied with formic acid vapor and is formed in a nitrogen or air atmosphere. In addition, since the heating process proceeds without supplying formic acid vapor, the heating process can be performed without isolating the interior of the fourth chamber 400 and the fifth chamber 500 from the other chambers. When the heating process is completed in the fifth chamber 500, the substrate W1 is transferred to the sixth chamber 600 by the turntable 800.

단계 S6은 제6챔버(600)로 이송된 기판(W1)을 냉각하는 단계이다. 제6챔버(600)에는 냉각수단(미도시)이 구비될 수 있다. 냉각공정이 완료되면 기판(W1)은 턴테이블(800)에 의해 제1챔버(100)로 이송된다.Step S6 is a step of cooling the substrate W1 transferred to the sixth chamber 600. The sixth chamber 600 may be provided with cooling means (not shown). When the cooling process is completed, the substrate W1 is transferred to the first chamber 100 by the turntable 800.

단계 S7은 제1챔버(100)로 이송된 기판(W1)을 프론트엔드모듈(2)로 언로딩(unloading)하는 단계이다. 상기 제1챔버(100)에서는 기판(W1)이 언로딩되기 전에 추가로 냉각단계를 거친 후 언로딩되도록 구성할 수 있다. 냉각과정이 완료되면 제1챔버(100)의 게이트(113)를 상향이동시켜 개구부(114)를 개방시킨 후 로봇(900)을 이용하여 기판(W1)을 언로딩함으로써 하나의 기판에 대한 처리가 완료된다.Step S7 is a step of unloading the substrate W1 transferred to the first chamber 100 to the front end module 2. [ In the first chamber 100, the substrate W1 may be further cooled before being unloaded and then unloaded. When the cooling process is completed, the gate 113 of the first chamber 100 is moved upward to open the opening 114, and the substrate W1 is unloaded by using the robot 900, Is completed.

상기와 같이 본 발명에 의하면 포름산을 사용하지 않고도 기판 또는 솔더범프에 존재하는 산화막을 제거할 수 있어 포름산의 처리에 필요한 설비가 필요하지 않으므로 장치를 구성하기 위한 비용을 절감할 수 있다. 또한, 포름산을 사용하지 않게 되므로 복수의 챔버를 격벽으로 격리시킬 필요가 없어 장치 내부의 구조가 간단하다.As described above, according to the present invention, the oxide film existing in the substrate or the solder bump can be removed without using formic acid, and the equipment necessary for the treatment of formic acid is not required, so that the cost for constructing the apparatus can be reduced. In addition, since formic acid is not used, it is not necessary to isolate a plurality of chambers by the partition, and the structure inside the apparatus is simple.

<제2실시예>&Lt; Embodiment 2 >

도 15를 참조하면, 본 발명의 제2실시예에 의한 기판의 연속 처리 장치는, 제1 내지 제6챔버(100-1,200-1,300-1,400-1,500-1,600-1)를 포함한다.Referring to FIG. 15, the apparatus for continuously processing a substrate according to the second embodiment of the present invention includes first through sixth chambers 100-1, 200-1, 300-1, 400-1, 500-1, and 600-1.

상기 제1챔버(100-1)는 로딩 및 언로딩 챔버이고, 제6챔버(600-1)는 냉각 챔버로서, 제1실시예의 제1챔버(100)와 제6챔버(600)와 각각 동일한 구성이다. 또한, 각 챔버들 사이에서 기판을 이송하기 위한 이송부(800-1)는 턴테이블로 이루어져 있어 제1실시예와 동일하므로, 이하 상세한 설명을 생략한다.The first chamber 100-1 is a loading and unloading chamber and the sixth chamber 600-1 is a cooling chamber which is the same as the first chamber 100 and the sixth chamber 600 of the first embodiment, . The transfer unit 800-1 for transferring the substrates between the chambers is the same as that of the first embodiment because it is formed of a turntable, and thus a detailed description thereof will be omitted.

제2실시예는 기판에 존재하는 산화막을 플라즈마를 이용하여 제거하기 위하여 제2챔버(200-1)인 제1플라즈마 챔버와 제4챔버(400-1)인 제2플라즈마 챔버를 구비하고 있다.The second embodiment includes a first plasma chamber, which is a second chamber 200-1, and a second plasma chamber, which is a fourth chamber 400-1, in order to remove an oxide film existing on a substrate by plasma.

하나의 기판은 제1플라즈마 챔버(200-1)와 제2플라즈마 챔버(400-1)를 거치면서 2번의 플라즈마 처리가 이루어지므로, 솔더볼의 표면에 존재하는 산화막 제거 효율이 향상된다.Since one substrate is subjected to two plasma treatments while passing through the first plasma chamber 200-1 and the second plasma chamber 400-1, oxide film removal efficiency existing on the surface of the solder ball is improved.

상기 제1플라즈마 챔버(200-1)와 제2플라즈마 챔버(400-1) 사이에는 제3챔버(300-1)로서 기판을 설정된 온도로 가열하기 위한 제1 히팅 챔버(300-1)가 구비될 수 있다.A first heating chamber 300-1 for heating the substrate to a predetermined temperature is provided as a third chamber 300-1 between the first plasma chamber 200-1 and the second plasma chamber 400-1 .

상기 제2플라즈마 챔버(400-1)에서 플라즈마 처리가 이루어진 기판은 상기 제5챔버(500-1)로 이송되어 설정된 온도로 가열처리가 이루어진다.The substrate subjected to the plasma treatment in the second plasma chamber 400-1 is transferred to the fifth chamber 500-1 and heated at a predetermined temperature.

상기와 같은 구성으로 이루어진 제2실시예에 의한 기판 처리 방법을 도 16을 참조하여 설명한다.A substrate processing method according to the second embodiment constructed as described above will be described with reference to FIG.

단계 S11은 기판이 로딩 및 언로딩 챔버(100-1)에 로딩되는 단계이다. 상기 로딩 및 언로딩 챔버(100-1)에 로딩된 기판은 이송부(800-1)에 의해 제1플라즈마 챔버(200-1)로 이송된다.Step S11 is a step in which the substrate is loaded into the loading and unloading chamber 100-1. The substrate loaded in the loading and unloading chamber 100-1 is transferred to the first plasma chamber 200-1 by the transfer unit 800-1.

단계 S12는 제1 플라즈마 챔버(200-1)에서 기판을 1차 플라즈마 처리하여 솔더의 표면에 존재하는 산화막을 제거하는 단계이다.Step S12 is a step of first plasma-treating the substrate in the first plasma chamber 200-1 to remove the oxide film existing on the surface of the solder.

단계 S13은 제1 히팅 챔버(300-1)에서 기판을 설정된 온도로 1차 가열 처리하는 단계이다.Step S13 is a step of first heat-treating the substrate in the first heating chamber 300-1 to a set temperature.

단계 S14는 제2 플라즈마 챔버(400-1)에서 기판을 2차 플라즈마 처리하여 솔더의 표면에 잔존하는 산화막을 제거하는 단계이다. 상기 단계 S13에서 기판을 가열처리하면 솔더의 내부에 존재하는 산소가 외부로 빠져나오면서 솔더 표면에 산화막이 생길 수 있다. 따라서 단계 S14에서 2차 플라즈마 처리하게 되면, 솔더 표면에 잔존하는 산화막까지 제거할 수 있다.In step S14, the substrate is subjected to a secondary plasma treatment in the second plasma chamber 400-1 to remove the oxide film remaining on the surface of the solder. If the substrate is heated in step S13, oxygen existing inside the solder may escape to the outside, and an oxide film may be formed on the surface of the solder. Therefore, if the second plasma treatment is performed in step S14, the oxide film remaining on the surface of the solder can be removed.

단계 S15는 제2 히팅 챔버(500-1)에서 기판을 설정된 온도로 2차 가열 처리하는 단계이다. 상기 2차 가열 처리시에는, 1차 가열 처리시와 같은 온도로 가열처리하거나, 1차 가열 처리시보다 더 높은 온도로 가열처리할 수 있다.Step S15 is a step of performing a second heat treatment on the substrate in the second heating chamber 500-1 at a predetermined temperature. In the secondary heat treatment, the heat treatment may be performed at the same temperature as in the primary heat treatment or at a higher temperature than in the primary heat treatment.

단계 S16은 기판을 냉각시키는 단계이고, 단계 S17은 기판을 언로딩시키는 단계로서, 제1실시예에서 설명한 바와 동일한 과정에 의해 처리가 이루어진다.Step S16 is a step of cooling the substrate, step S17 is a step of unloading the substrate, and processing is performed by the same process as described in the first embodiment.

이와 같이 제2실시예에서는 기판을 2번에 걸쳐 플라즈마 처리하므로, 솔더 표면에 잔존하는 산화막 제거 효율을 향상시킬 수 있다.As described above, in the second embodiment, since the substrate is subjected to the plasma treatment twice, the oxide film removal efficiency remaining on the solder surface can be improved.

제2실시예에서 제1실시예와 중복되는 구성 및 동작에 대해서는 상세히 설명하지 않았으나, 제2실시예에서도 동일하게 적용될 수 있다.The configuration and operation overlapping with the first embodiment in the second embodiment have not been described in detail, but the same can be applied to the second embodiment.

<제3실시예>&Lt; Third Embodiment >

도 17를 참조하면, 본 발명의 제3실시예에 의한 기판의 연속 처리 장치는, 제1 내지 제6챔버(100-2,200-2,300-2,400-2,500-2,600-2)를 포함한다.Referring to FIG. 17, the apparatus for continuously processing substrates according to the third embodiment of the present invention includes first through sixth chambers 100-2, 200-2, 300-2, 400-2, 500-2, and 600-2.

상기 제1챔버(100-2)와 제2챔버(200-2)는 기판에 존재하는 산화막을 플라즈마를 이용하여 제거하기 위한 제1 플라즈마 챔버(100-2)와 제2 플라즈마 챔버(200-2)에 해당한다.The first chamber 100-2 and the second chamber 200-2 include a first plasma chamber 100-2 and a second plasma chamber 200-2 for removing an oxide film existing on a substrate by using plasma, ).

상기 제3 내지 제6챔버(300-2,400-2,500-2,600-2)는 각각의 챔버에서 기판의 히팅 및 냉각이 이루어지는 공정챔버이다.The third to sixth chambers 300-2, 400-2, 500-2, and 600-2 are process chambers in which heating and cooling of the substrates are performed in respective chambers.

즉, 플라즈마 처리가 이루어진 하나의 기판은 제3 내지 제6챔버(300-2,400-2,500-2,600-2) 중 어느 하나에 투입되고, 그 챔버 내부에 구비된 가열부(미도시)에서 가열 처리되어 리플로우 공정이 이루어지고, 상기 가열부에서 가열 후 냉각부(미도시)에서 냉각 처리됨으로써 기판 처리가 완료되는 것이다.That is, one of the substrates subjected to plasma processing is introduced into any one of the third to sixth chambers 300-2, 400-2, 500-2, and 600-2, and is heated in a heating unit (not shown) A reflow process is performed, and after the heating process in the heating unit, the substrate process is completed by cooling processing in a cooling unit (not shown).

상기 가열부는 기판이 안착되는 서셉터의 상부에 위치되고, 상기 냉각부는 상기 서셉터 내부에 구비될 수 있다.The heating unit may be located above the susceptor on which the substrate is mounted, and the cooling unit may be provided inside the susceptor.

상기 제1 내지 제6챔버(100-2,200-2,300-2,400-2,500-2,600-2) 사이의 중앙부에는 기판을 각 챔버 사이에서 이송하기 위한 이송부(800-2)가 구비된다. A transfer part 800-2 for transferring the substrate between the chambers is provided at the center between the first to sixth chambers 100-2, 200-2, 300-2, 400-2, 500-2, and 600-2.

상기와 같은 구성으로 이루어진 제3실시예에 의한 기판 처리 방법을 도 18을 참조하여 설명한다.A substrate processing method according to the third embodiment constructed as described above will be described with reference to FIG.

단계 S21은 이송부(800-2)에 의해 버퍼부(2c)로부터 제1기판이 제1 플라즈마 챔버(100-2)에 로딩되고, 제2기판이 제2 플라즈마 챔버(200-2)에 로딩되어 각각 플라즈마 처리하여 기판의 표면에 존재하는 산화막을 제거하는 단계이다. In step S21, the first substrate is loaded into the first plasma chamber 100-2 from the buffer part 2c by the transfer part 800-2, and the second substrate is loaded into the second plasma chamber 200-2 Respectively, to remove the oxide film existing on the surface of the substrate.

상기 제1 플라즈마 챔버(100-2)와 제2 플라즈마 챔버(200-2)에서 기판 처리가 시작되는 시점은 다를 수 있으나, 플라즈마 처리가 이루어지는 시간은 동시에(중첩적으로) 진행될 수 있다. The time at which the substrate processing is started in the first plasma chamber 100-2 and the second plasma chamber 200-2 may be different, but the plasma processing time may be simultaneously (superimposed).

단계 S22는 제1 플라즈마 챔버(100-2)에서 플라즈마 처리가 이루어진 제1기판 또는 제2 플라즈마 챔버(200-2)에서 플라즈마 처리가 이루어진 제2기판이 다음 공정인 히팅 및 냉각이 이루어질 공정챔버를 선택하는 단계이다.In step S22, the first substrate subjected to the plasma treatment in the first plasma chamber 100-2 or the second substrate subjected to the plasma treatment in the second plasma chamber 200-2 is subjected to a heat treatment .

상기 플라즈마 처리가 이루어진 후 복수의 공정챔버(300-2,400-2,500-2,600-2) 중에는 기판 처리가 완료된 챔버가 있고, 완료되지 않은 챔버가 있다. After the plasma treatment, there are chambers in which the substrate processing is completed, and chambers that are not completed in the plurality of processing chambers 300-2, 400-2, 500-2, and 600-2.

제어부는 상기 복수의 공정챔버(300-2,400-2,500-2,600-2) 중 기판 처리가 완료되지 않은 공정챔버를 선택하고, 그 선택된 공정챔버에 제1기판 또는 제2기판을 이송한다.The control unit selects a process chamber among the plurality of process chambers 300-2, 400-2, 500-2, and 600-2 that has not been subjected to substrate processing, and transfers the first substrate or the second substrate to the selected process chamber.

단계 S23은 상기 선택된 공정챔버에서 기판을 가열부를 이용하여 설정된 온도로 가열하는 단계이다. Step S23 is a step of heating the substrate in the selected process chamber to a set temperature using a heating unit.

단계 S24는 상기 단계 S23에서의 기판 가열 처리 후 그 공정챔버에서 냉각부에 의해 기판을 냉각하는 단계이다.Step S24 is a step of cooling the substrate by the cooling unit in the process chamber after the substrate heating process in step S23.

단계 S25는 단계 S24에서 냉각이 이루어진 기판을 이송부(800-2)가 버퍼부(2c)로 언로딩하는 단계이다.Step S25 is a step in which the transfer unit 800-2 unloads the substrate cooled in step S24 to the buffer unit 2c.

이와 같이 2개의 플라즈마 챔버(100-2,200-2)를 구비하고, 2개의 기판을 각각의 플라즈마 챔버(100-2,200-2)에서 플라즈마 처리하고, 복수의 공정챔버(300-2,400-2,500-2,600-2) 중에서 어느 하나의 공정챔버에서 가열 및 냉각이 이루어지므로, 기판 처리에 소요되는 시간을 줄일 수 있어 수율을 향상시킬 수 있다.Two plasma chambers 100-2 and 200-2 are thus provided and two substrates are plasma-processed in the respective plasma chambers 100-2 and 200-2, and a plurality of process chambers 300-2, 400-2, 2), the time required for processing the substrate can be shortened and the yield can be improved.

전술한 바와 같이 본 발명에 대하여 바람직한 실시예를 들어 상세히 설명하였지만, 본 발명은 전술한 실시예들에 한정되는 것이 아니고, 특허청구범위와 발명의 상세한 설명 및 첨부한 도면의 범위 안에서 여러 가지로 변형하여 실시하는 것이 가능하고 이 또한 본 발명에 속한다.While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it is to be understood that the invention is not limited to the disclosed exemplary embodiments, but, on the contrary, And this also belongs to the present invention.

1 : 기판의 연속 처리 장치 2 : 프론트엔드모듈(EFEM)
2a : 기판적재부 2b : 기판이송부
100, 100-1, 100-2 : 제1챔버 100a : 제1챔버의 상부 챔버
100b : 제1챔버의 하부 챔버 110 : 게이트구동부
113 : 게이트 114 : 개구부
140,240,440 : 서셉터 150,250 : 서셉터승강구동부
160,260,460 : 기판승강구동부 200, 200-1, 200-2 : 제2챔버
200a : 제2챔버의 상부 챔버 200b : 제2챔버의 하부 챔버
300, 300-1, 300-2 : 제3챔버 400, 400-1, 400-2 : 제4챔버
420 : 커버 425 : 커버하부하우징
500, 500-1, 500-2 : 제5챔버 600, 600-1, 600-2 : 제6챔버
700 : 몸체 700a : 상부 몸체
700b : 하부 몸체 800, 800-1, 800-2 : 이송부
810 : 구동부 820 : 아암
830 : 기판 지지부
1: Substrate continuous processing device 2: Front end module (EFEM)
2a: substrate mounting portion 2b: substrate transferring portion
100, 100-1, 100-2: first chamber 100a: upper chamber of the first chamber
100b: lower chamber of the first chamber 110: gate driver
113: gate 114: opening
140, 240, 440: susceptor 150, 250: susceptor lift-
160, 260, and 450: Substrate lifting drive unit 200, 200-1, 200-2:
200a: upper chamber of the second chamber 200b: lower chamber of the second chamber
300, 300-1, 300-2: third chamber 400, 400-1, 400-2: fourth chamber
420: cover 425: cover lower housing
500, 500-1, 500-2: fifth chamber 600, 600-1, 600-2: sixth chamber
700: body 700a: upper body
700b: Lower body 800, 800-1, 800-2:
810: Driving unit 820:
830:

Claims (36)

적어도 하나의 기판에 존재하는 산화막을 플라즈마를 이용하여 제거하기 위한 적어도 하나의 플라즈마 챔버;
상기 플라즈마 챔버에서 플라즈마 처리가 이루어진 기판을 설정된 온도로 가열하는 히팅 챔버;
상기 히팅 챔버로부터 이송된 기판을 냉각하고, 상기 냉각된 기판을 언로딩하기 위해 로딩 및 언로딩 챔버로 이송되도록 하는 냉각 챔버;
상기 기판을 상기 챔버들 사이에서 이송되도록 하기 위한 이송부;
를 포함하고,
상기 플라즈마 챔버는,
플라즈마를 발생시키는 플라즈마 발생부가 내부에 구비된 플라즈마 상부챔버(200a);
상기 플라즈마 상부챔버(200a)의 하부에 형성되어 상기 챔버들 사이에 상기 기판이 이송되도록 하는 기판이송공간(700c);으로 이루어지고,
상기 플라즈마를 이용한 기판 처리가 이루어지는 동안 상기 플라즈마 상부챔버(200a)의 내부 공간은 상기 기판이송공간(700c)에 대하여 격리되며,
상기 플라즈마 챔버에는, 상기 기판이 안착되는 서셉터(240)와, 상기 서셉터(240)를 상하로 이동 가능하도록 구동하는 서셉터승강구동부(250)가 구비되고;
상기 플라즈마를 이용한 기판 처리는, 상기 서셉터(240)가 상기 서셉터승강구동부(250)에 의해 상방향으로 이동되어 상기 플라즈마 상부챔버(200a)의 내부 공간과 상기 기판이송공간(700c)의 경계가 되는 시트부(215)에 밀착된 상태에서 수행되는 것을 특징으로 하는 기판의 연속 처리 장치
At least one plasma chamber for removing an oxide film present on at least one substrate using plasma;
A heating chamber for heating the plasma-treated substrate in the plasma chamber to a predetermined temperature;
A cooling chamber to cool the substrate transferred from the heating chamber and to be transferred to a loading and unloading chamber for unloading the cooled substrate;
A transfer unit for transferring the substrate between the chambers;
Lt; / RTI &gt;
Wherein the plasma chamber comprises:
A plasma upper chamber 200a having a plasma generating section for generating a plasma;
And a substrate transfer space 700c formed at a lower portion of the plasma upper chamber 200a to transfer the substrate between the chambers,
During the substrate processing using the plasma, the inner space of the plasma upper chamber 200a is isolated from the substrate transfer space 700c,
The plasma chamber is provided with a susceptor 240 on which the substrate is placed and a susceptor lifting and driving unit 250 for driving the susceptor 240 to move up and down;
The substrate processing using the plasma is performed such that the susceptor 240 is moved upward by the susceptor lifting and lowering driving part 250 so that the boundary between the inner space of the plasma upper chamber 200a and the substrate transfer space 700c Is performed in a state in which it is in close contact with the seat portion (215)
제1항에 있어서,
상기 적어도 하나의 플라즈마 챔버는,
기판에 존재하는 산화막을 플라즈마를 이용하여 제거하기 위한 제1플라즈마 챔버(200-1);
상기 제1플라즈마 챔버(200-1)에서 1차 플라즈마 처리가 이루어진 기판을 2차 플라즈마 처리하여 기판에 존재하는 산화막을 제거하기 위한 제2플라즈마 챔버(400-1);로 이루어지고,
상기 히팅 챔버는, 상기 1차와 2차 플라즈마 처리가 이루어진 기판을 설정된 온도로 가열하는 것을 특징으로 하는 기판의 연속 처리 장치
The method according to claim 1,
Wherein the at least one plasma chamber comprises:
A first plasma chamber (200-1) for removing an oxide film present on the substrate by using plasma;
And a second plasma chamber 400-1 for removing an oxide film present on the substrate by performing a second plasma process on the substrate subjected to the first plasma process in the first plasma chamber 200-1,
Wherein the heating chamber heats the substrate subjected to the primary and secondary plasma processing to a predetermined temperature,
삭제delete 삭제delete 제1항에 있어서,
상기 서셉터(240)를 상하로 관통하는 복수의 리프트핀(261)과, 상기 리프트핀(261)을 상하로 이동하도록 구동하는 기판승강실린더(262)를 구비하여, 상기 기판을 승강 가능하도록 지지하는 기판승강구동부(260)를 더 포함하는 기판의 연속 처리 장치
The method according to claim 1,
A plurality of lift pins 261 passing through the susceptor 240 up and down and a substrate lift cylinder 262 driving the lift pins 261 to move up and down, (260) for moving the substrate up /
제1항에 있어서,
상기 플라즈마 상부챔버(200a)의 내부 공간을 상기 기판이송공간(700c)에 대하여 격리시키되, 상기 히팅 챔버와 냉각 챔버에서 공정이 이루어지는 내부 공간은 서로 연통하는 것을 특징으로 하는 기판의 연속 처리 장치
The method according to claim 1,
Characterized in that an inner space of the plasma upper chamber (200a) is isolated from the substrate transfer space (700c), and the heating chamber and the inner space of the cooling chamber are communicated with each other,
삭제delete 제1항에 있어서,
상기 챔버들 및 이들 사이의 연통하는 공간은 불활성 가스 분위기 인 것을 특징으로 하는 기판의 연속 처리 장치
The method according to claim 1,
Wherein the chambers and the space communicating therewith are in an inert gas atmosphere.
제1항에 있어서,
상기 히팅 챔버에는 상기 기판의 상부에 구비된 상부히터와 상기 기판의 하부에 구비된 하부히터가 구비된 것을 특징으로 하는 기판의 연속 처리 장치
The method according to claim 1,
Wherein the heating chamber is provided with an upper heater provided on an upper portion of the substrate and a lower heater provided on a lower portion of the substrate,
제1항에 있어서,
상기 히팅챔버는, 상기 기판을 설정된 온도 프로파일로 가열하기 위한 제1히팅챔버(400)와, 상기 제1히팅챔버(400)에서 가열이 이루어진 기판을 상기 제1히팅챔버(400)보다 더 높은 온도 프로파일로 가열하기 위한 제2히팅챔버(500)로 이루어진 것을 특징으로 하는 기판의 연속 처리 장치
The method according to claim 1,
The heating chamber may include a first heating chamber 400 for heating the substrate to a predetermined temperature profile and a second heating chamber 400 for heating the substrate heated in the first heating chamber 400 to a higher temperature than the first heating chamber 400 And a second heating chamber (500) for heating the substrate
제2항에 있어서,
상기 제1플라즈마 챔버(200-1)와 제2플라즈마 챔버(400-1) 사이에는 히팅챔버가 구비되고;
상기 1차와 2차 플라즈마 처리가 이루어진 기판을 가열하는 히팅챔버에서는 상기 제1플라즈마 챔버(200-1)와 제2플라즈마 챔버(400-1) 사이에 구비된 히팅챔버와 같은 온도로 가열하거나 더 높은 온도 프로파일로 가열하는 것을 특징으로 하는 기판의 연속 처리 장치
3. The method of claim 2,
A heating chamber is provided between the first plasma chamber 200-1 and the second plasma chamber 400-1;
In the heating chamber for heating the substrates subjected to the primary and secondary plasma processes, the heating chamber is heated to the same temperature as that of the heating chamber provided between the first plasma chamber 200-1 and the second plasma chamber 400-1, Characterized in that the substrate is heated with a high temperature profile
제1항에 있어서,
상기 챔버들은 원주방향을 따라 일정 간격으로 배치되고;
상기 이송부는 상기 챔버들 사이에 상기 기판을 이송하기 위해 구동부(810)에 의해 회전하는 턴테이블(800,800-1)로 이루어진 것을 특징으로 하는 기판의 연속 처리 장치
The method according to claim 1,
The chambers being arranged at regular intervals along the circumferential direction;
Wherein the transfer unit comprises a turntable (800, 800-1) rotated by a driving unit (810) for transferring the substrate between the chambers.
제12항에 있어서,
상기 턴테이블(800,800-1)은, 상기 구동부(810)의 회전축(810)을 중심으로 방사상으로 연결되고 상기 챔버들과 동일한 수로 구비된 다수의 아암(820)을 포함하고;
상기 아암(820)에는 상기 기판의 양단을 지지하기 위한 기판지지부(830)가 구비된 것을 특징으로 하는 기판의 연속 처리 장치
13. The method of claim 12,
The turntables 800 and 800-1 include a plurality of arms 820 connected radially about a rotation axis 810 of the driving unit 810 and provided in the same number as the chambers 820,
Characterized in that the arm (820) is provided with a substrate support (830) for supporting both ends of the substrate,
제13항에 있어서,
상기 챔버들에서 기판의 처리가 수행되는 동안, 상기 다수의 아암(820)은 상기 챔버들 사이사이의 영역에 위치하는 것을 특징으로 하는 기판의 연속 처리 장치
14. The method of claim 13,
Characterized in that during the processing of the substrate in the chambers, the plurality of arms (820) are located in the region between the chambers
제13항에 있어서,
상기 기판지지부(830)는, 상기 아암(820)의 양측부 저면에서 서로 대향하는 절곡된 형태로 형성되어 그 상면에 상기 기판이 안착되어 지지되는 제1기판지지턱(831)과 제2기판지지턱(832)으로 이루어진 것을 특징으로 하는 기판의 연속 처리 장치
14. The method of claim 13,
The substrate supporting unit 830 includes a first substrate supporting jaw 831 and a second substrate supporting jaw 831. The first substrate supporting jaw 831 and the second substrate supporting jaw 831 are formed on the bottom surface of the arm 820, And a jaw (832).
제1항에 있어서,
상기 플라즈마 챔버로부터 이송된 기판을 냉각하기 위한 제1 냉각 챔버(300)가 구비되고;
상기 제1 냉각 챔버(300)에서 냉각된 기판은 상기 히팅 챔버로 이송되어 가열되는 것을 특징으로 하는 기판의 연속 처리 장치
The method according to claim 1,
A first cooling chamber (300) is provided for cooling the substrate transferred from the plasma chamber;
Characterized in that the substrate cooled in the first cooling chamber (300) is transferred to the heating chamber
제2항에 있어서,
상기 제1플라즈마 챔버(200-1)와 제2플라즈마 챔버(400-1) 사이에는 기판을 가열하기 위한 히팅 챔버(300-1)가 구비된 것을 특징으로 하는 기판의 연속 처리 장치
3. The method of claim 2,
Wherein a heating chamber (300-1) for heating a substrate is provided between the first plasma chamber (200-1) and the second plasma chamber (400-1)
제1항에 있어서,
상기 로딩 및 언로딩 챔버에서는 상기 기판의 로딩 및 언로딩이 이루어지고, 상기 로딩 및 언로딩 챔버에 로딩된 기판은 상기 플라즈마 챔버로 이송되며, 상기 냉각챔버에서 냉각이 이루어진 기판은 상기 로딩 및 언로딩 챔버에서 냉각 후 언로딩되는 것을 특징으로 하는 기판의 연속 처리 장치
The method according to claim 1,
Wherein loading and unloading of the substrate is performed in the loading and unloading chambers, and a substrate loaded in the loading and unloading chambers is transferred to the plasma chamber, and the substrate cooled in the cooling chamber is loaded and unloaded, Characterized in that the substrate is unloaded after cooling in a chamber
제2항에 있어서,
상기 로딩 및 언로딩 챔버에서는 상기 기판의 로딩 및 언로딩이 이루어지고, 상기 로딩 및 언로딩 챔버에 로딩된 기판은 상기 제1플라즈마 챔버로 이송되며, 상기 냉각챔버에서 냉각이 이루어진 기판은 상기 로딩 및 언로딩 챔버에서 냉각 후 언로딩되는 것을 특징으로 하는 기판의 연속 처리 장치
3. The method of claim 2,
Wherein loading and unloading of the substrate is performed in the loading and unloading chambers and substrates loaded into the loading and unloading chambers are transferred to the first plasma chamber and the substrate cooled in the cooling chamber is loaded and unloaded, And is unloaded after cooling in an unloading chamber.
제1기판에 존재하는 산화막을 플라즈마를 이용하여 제거하기 위한 제1플라즈마 챔버;
제2기판에 존재하는 산화막을 플라즈마를 이용하여 제거하기 위한 제2플라즈마 챔버;
상기 제1플라즈마 챔버에서 플라즈마 처리가 이루어진 제1기판 및 제2플라즈마 챔버에서 플라즈마 처리가 이루어진 제2기판을 히팅 및 냉각하기 위한 복수의 공정챔버;
상기 제1기판과 제2기판이 상기 제1플라즈마 챔버와 제2플라즈마 챔버 및 복수의 공정챔버 사이에서 이송되도록 하기 위한 이송부;
를 포함하고,
상기 제1플라즈마 챔버와 제2플라즈마 챔버는,
플라즈마를 발생시키는 플라즈마 발생부가 내부에 구비된 플라즈마 상부챔버(200a);
상기 플라즈마 상부챔버(200a)의 하부에 형성되어 상기 챔버들 사이에 상기 제1기판 또는 제2기판이 이송되도록 하는 기판이송공간(700c);으로 각각 이루어지고,
상기 플라즈마를 이용한 기판 처리가 이루어지는 동안 상기 플라즈마 상부챔버(200a)의 내부 공간은 상기 기판이송공간(700c)에 대하여 격리되며,
상기 제1플라즈마 챔버와 제2플라즈마 챔버에는, 상기 제1기판 또는 제2기판이 안착되는 서셉터(240)와, 상기 서셉터(240)를 상하로 이동 가능하도록 구동하는 서셉터승강구동부(250)가 구비되고;
상기 플라즈마를 이용한 기판 처리는, 상기 서셉터(240)가 상기 서셉터승강구동부(250)에 의해 상방향으로 이동되어 상기 플라즈마 상부챔버(200a)의 내부 공간과 상기 기판이송공간(700c)의 경계가 되는 시트부(215)에 밀착된 상태에서 수행되는 기판의 연속 처리장치
A first plasma chamber for removing an oxide film existing on the first substrate using plasma;
A second plasma chamber for removing an oxide film existing on the second substrate using plasma;
A plurality of process chambers for heating and cooling the first substrate subjected to the plasma processing in the first plasma chamber and the second substrate subjected to the plasma processing in the second plasma chamber;
A transfer unit for transferring the first substrate and the second substrate between the first plasma chamber and the second plasma chamber and between the plurality of process chambers;
Lt; / RTI &gt;
Wherein the first plasma chamber and the second plasma chamber are formed by a first plasma chamber,
A plasma upper chamber 200a having a plasma generating section for generating a plasma;
And a substrate transfer space 700c formed at a lower portion of the plasma upper chamber 200a to transfer the first substrate or the second substrate between the chambers,
During the substrate processing using the plasma, the inner space of the plasma upper chamber 200a is isolated from the substrate transfer space 700c,
The first plasma chamber and the second plasma chamber include a susceptor 240 on which the first substrate or the second substrate is placed and susceptor lifting and driving unit 250 for driving the susceptor 240 to move up and down );
The substrate processing using the plasma is performed such that the susceptor 240 is moved upward by the susceptor lifting and lowering driving part 250 so that the boundary between the inner space of the plasma upper chamber 200a and the substrate transfer space 700c Which is carried out in a state of being in tight contact with the seat portion 215,
제20항에 있어서,
상기 복수의 공정챔버 각각은 상기 제1플라즈마 챔버 또는 제2플라즈마 챔버에서 플라즈마 처리가 이루어진 상기 제1기판 또는 제2기판을 히팅한 후 냉각하는 것을 특징으로 하는 기판의 연속 처리장치
21. The method of claim 20,
Wherein each of the plurality of process chambers heats the first substrate or the second substrate subjected to the plasma processing in the first plasma chamber or the second plasma chamber,
제21항에 있어서,
상기 복수의 공정챔버 각각에는, 상기 제1기판 또는 제2기판을 가열하는 가열부가 상기 제1기판 또는 제2기판의 상부에 구비되고, 상기 제1기판 또는 제2기판을 냉각하기 위한 냉각부가 상기 제1기판 또는 제2기판의 하부에 구비된 것을 특징으로 하는 기판의 연속 처리장치
22. The method of claim 21,
Wherein each of the plurality of process chambers is provided with a heating unit for heating the first substrate or the second substrate on an upper portion of the first substrate or a second substrate and a cooling unit for cooling the first substrate or the second substrate, Wherein the first substrate and the second substrate are disposed under the first substrate or the second substrate,
제20항에 있어서,
상기 제1플라즈마 챔버 또는 제2플라즈마 챔버에서 플라즈마 처리가 이루어진 상기 제1기판 또는 제2기판은 상기 복수의 공정챔버 중 기판처리가 이루어지지 않고 있는 챔버에 투입되어 히팅 및 냉각이 이루어지는 것을 특징으로 하는 기판의 연속 처리장치
21. The method of claim 20,
Wherein the first substrate or the second substrate subjected to the plasma treatment in the first plasma chamber or the second plasma chamber is charged into a chamber of the plurality of process chambers where substrate processing is not performed and is heated and cooled Continuous processing of substrates
플라즈마 챔버(200,200-1,400-1,100-2,200-2)에서 플라즈마를 이용하여 기판의 산화막을 제거하는 플라즈마처리단계;
상기 플라즈마 챔버(200,200-1,400-1,100-2,200-2)에서 플라즈마 처리가 이루어진 기판을 히팅 챔버(400,500,500-1) 또는 공정 챔버(300-2,400-2,500-2,600-2)로 이송하여 기판을 가열하는 기판가열단계;
로 이루어지되,
상기 플라즈마를 발생시키는 플라즈마 발생부가 내부에 구비된 플라즈마 상부챔버(200a)와 상기 플라즈마 상부챔버(200a)의 하부에 형성되어 상기 챔버들 사이에 상기 기판이 이송되도록 하는 기판이송공간(700c)으로 이루어진 상기 플라즈마 챔버에서 상기 플라즈마를 이용한 기판 처리가 이루어지는 동안 상기 플라즈마 상부챔버(200a)의 내부 공간은 상기 기판이송공간(700c)에 대하여 격리되고,
상기 기판이 안착되는 서셉터(240)가 상기 서셉터(240)를 상하로 이동 가능하도록 구동하는 서셉터승강구동부(250)에 의해 상방향으로 이동되어 상기 플라즈마 상부챔버(200a)의 내부 공간과 상기 기판이송공간(700c)의 경계가 되는 시트부(215)에 밀착된 상태에서 상기 플라즈마를 이용한 기판 처리가 이루어지는 기판의 연속 처리 방법
A plasma processing step of removing an oxide film of the substrate using plasma in the plasma chambers 200, 200-1, 400-1, 100-2, and 200-2;
The substrate which has been plasma-processed in the plasma chambers 200, 200-1, 400-1, 100-2, and 200-2 is transferred to the heating chambers 400, 500, 500-1 or the process chambers 300-2, 400-2, Heating step;
Lt; / RTI &gt;
And a substrate transferring space 700c formed at a lower portion of the plasma upper chamber 200a to allow the substrate to be transferred between the chambers is formed by a plasma upper chamber 200a having a plasma generating portion for generating the plasma, The inner space of the plasma upper chamber 200a is isolated from the substrate transfer space 700c during the substrate processing using the plasma in the plasma chamber,
The susceptor 240 on which the substrate is mounted is moved upward by the susceptor lifting and driving unit 250 driving the susceptor 240 so as to be movable up and down so that the inner space of the plasma upper chamber 200a A continuous processing method of a substrate in which a substrate is processed using the plasma in a state in which it is in close contact with a sheet portion 215 which is a boundary of the substrate transfer space 700c
제24항에 있어서,
로딩 및 언로딩 챔버(100,100-1)에 기판이 로딩되는 단계;
상기 로딩 및 언로딩 챔버(100,100-1)로부터 상기 플라즈마 챔버(200, 200-1,400-1)로 기판을 이송하고, 상기 플라즈마 챔버(200, 200-1,400-1)에서 플라즈마를 이용하여 기판의 산화막을 제거하는 상기 플라즈마처리단계;
상기 플라즈마 챔버(200, 200-1,400-1)에서 플라즈마 처리가 이루어진 기판을 히팅 챔버(400,500,500-1)로 이송하여 기판을 가열하는 상기 기판가열단계;
상기 히팅 챔버(400,500,500-1)로부터 기판을 냉각 챔버(600,600-1)로 이송하여 기판을 냉각하는 단계;
상기 냉각 챔버(600,600-1)로부터 기판을 상기 로딩 및 언로딩 챔버(100, 100-1)로 이송한 후 기판을 언로딩하는 단계;
로 이루어진 것을 특징으로 하는 기판의 연속 처리 방법
25. The method of claim 24,
Loading the substrate into the loading and unloading chambers 100, 100-1;
The substrate is transferred from the loading and unloading chambers 100 and 100-1 to the plasma chambers 200 and 200-1 and 400-1 and plasma is generated in the plasma chambers 200, The plasma processing step of removing plasma from the plasma;
The substrate heating step of transferring the plasma-processed substrate from the plasma chambers 200, 200-1, 400-1 to the heating chambers 400, 500, 500-1 to heat the substrate;
Transferring the substrate from the heating chamber (400, 500, 500-1) to the cooling chamber (600, 600-1) to cool the substrate;
Transferring the substrate from the cooling chamber (600, 600-1) to the loading and unloading chamber (100, 100-1) and unloading the substrate;
The method comprising the steps of:
제25항에 있어서,
상기 기판가열단계에서는 상기 히팅 챔버(400,500,500-1)를 포름산 증기가 포함되지 않은 질소 분위기로 형성한 상태에서 상기 기판의 가열이 이루어지는 것을 특징으로 하는 기판의 연속 처리 방법
26. The method of claim 25,
Wherein the heating of the substrate is performed in a state where the heating chamber (400, 500, 500-1) is formed in a nitrogen atmosphere containing no formic acid vapor in the substrate heating step
삭제delete 제25항에 있어서,
상기 플라즈마처리단계는 상기 플라즈마 챔버(200,200-1,400-1)의 내부공간을 격리시킨 상태에서 상기 기판의 처리가 이루어지고;
상기 기판가열단계와 기판을 냉각하는 단계에서 히팅 챔버(400,500,500-1) 및 냉각 챔버(600,600-1)는 서로 연통한 상태에서 기판 처리가 이루어지는 것을 특징으로 하는 기판의 연속 처리 방법
26. The method of claim 25,
The processing of the substrate is performed while isolating the internal space of the plasma chambers 200, 200-1, and 400-1;
Characterized in that in the step of heating the substrate and cooling the substrate, the substrate is treated while the heating chambers (400, 500, 500-1) and the cooling chambers (600, 600-1) communicate with each other
제28항에 있어서,
상기 플라즈마처리단계는 상기 플라즈마 챔버(200,200-1,400-1)의 내부공간을 진공상태로 형성한 다음 플라즈마 처리가 이루어지는 것을 특징으로 하는 기판의 연속 처리 방법
29. The method of claim 28,
Wherein the plasma processing step comprises forming a plasma in an inner space of the plasma chambers (200, 200-1, 400-1), and then performing a plasma treatment
제25항에 있어서,
상기 플라즈마 챔버(200)에서 플라즈마 처리가 이루어진 기판을 냉각 챔버(300)로 이송하여 냉각하는 단계가 더 구비되고;
상기 냉각 챔버(300)에서 냉각된 기판은 상기 히팅 챔버(400,500)로 이송되어 상기 기판가열단계가 수행되는 것을 특징으로 하는 기판의 연속 처리 방법
26. The method of claim 25,
Further comprising: transferring the plasma-processed substrate in the plasma chamber (200) to the cooling chamber (300) and cooling the substrate;
Wherein the substrate cooled in the cooling chamber (300) is transferred to the heating chamber (400, 500) to perform the substrate heating step
제24항에 있어서,
상기 플라즈마 챔버(200-1,400-1)는 제1플라즈마챔버(200-1)와 제2플라즈마챔버(400-1)로 이루어지고, 상기 플라즈마처리단계는 하나의 기판에 대해 상기 제1플라즈마챔버(200-1)에서 1차 플라즈마 처리 후 상기 제2플라즈마챔버(400-1)에서 2차 플라즈마 처리하도록 이루어진 것을 특징으로 하는 기판의 연속 처리 방법
25. The method of claim 24,
The plasma chambers 200-1 and 400-1 may include a first plasma chamber 200-1 and a second plasma chamber 400-1. The plasma processing may include a first plasma chamber 200-1), and the second plasma chamber (400-1) is subjected to the second plasma treatment after the first plasma treatment.
제31항에 있어서,
상기 기판가열단계는 제1기판가열단계와 제2기판가열단계로 이루어지고, 상기 1차 플라즈마 처리 후 상기 제1기판가열단계가 이루어지고, 상기 제1기판가열단계 후 상기 2차 플라즈마 처리가 이루어지며, 상기 2차 플라즈마 처리 후 상기 제2기판가열단계가 이루어지는 것을 특징으로 하는 기판의 연속 처리 방법
32. The method of claim 31,
The substrate heating step includes a first substrate heating step and a second substrate heating step, wherein the first substrate heating step is performed after the first plasma processing, and the second plasma processing is performed after the first substrate heating step And the second substrate heating step is performed after the second plasma treatment.
제32항에 있어서,
상기 제1기판가열단계에서 기판의 가열온도보다 상기 제2기판가열단계에서의 기판의 가열온도가 더 높은 것을 특징으로 하는 기판의 연속 처리 방법
33. The method of claim 32,
Wherein the heating temperature of the substrate in the second substrate heating step is higher than the heating temperature of the substrate in the first substrate heating step
제24항에 있어서,
상기 플라즈마 챔버(100-2,200-2)는 서로 다른 기판에 대한 플라즈마 처리가 각각 이루어지는 제1플라즈마 챔버(100-2)와 제2플라즈마 챔버(200-2)로 이루어지고;
상기 제1플라즈마 챔버(100-2)와 제2플라즈마 챔버(200-2)에서는 서로 다른 기판을 동시에 플라즈마 처리하는 것을 특징으로 하는 기판의 연속 처리 방법
25. The method of claim 24,
The plasma chambers 100-2 and 200-2 include a first plasma chamber 100-2 and a second plasma chamber 200-2 in which plasma processing is performed on different substrates, respectively;
Characterized in that the first plasma chamber (100-2) and the second plasma chamber (200-2) are subjected to plasma treatment simultaneously on different substrates
제34항에 있어서,
상기 공정 챔버(300-2,400-2,500-2,600-2)에서는 상기 기판을 가열한 후 냉각하는 처리가 하나의 챔버 내부에서 이루어지는 것을 특징으로 하는 기판의 연속 처리 방법
35. The method of claim 34,
Characterized in that in the process chambers (300-2, 400-2, 500-2, 600-2), the process of heating and cooling the substrate is performed in a single chamber
제34항에 있어서,
상기 공정 챔버(300-2,400-2,500-2,600-2)는 복수로 이루어지고;
상기 제1플라즈마 챔버(100-2) 또는 제2플라즈마 챔버(200-2)에서 플라즈마 처리가 이루어진 기판은 상기 복수의 공정 챔버(300-2,400-2,500-2,600-2) 중에서 기판 처리가 이루어지지 않는 챔버로 투입되어 기판 처리가 이루어지는 것을 특징으로 하는 기판의 연속 처리 방법
35. The method of claim 34,
Wherein the process chambers 300-2, 400-2, 500-2, and 600-2 are plural;
The substrate subjected to the plasma treatment in the first plasma chamber 100-2 or the second plasma chamber 200-2 is not subjected to substrate processing among the plurality of process chambers 300-2, 400-2, 500-2, and 600-2 Wherein the substrate is introduced into the chamber to process the substrate.
KR1020160172509A 2016-12-16 2016-12-16 Continuous treatment apparatus and method of substrate KR101921597B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020160172509A KR101921597B1 (en) 2016-12-16 2016-12-16 Continuous treatment apparatus and method of substrate
CN201710516268.4A CN108206146A (en) 2016-12-16 2017-06-29 The apparatus for continuous treatment and method of substrate
TW106122035A TW201824428A (en) 2016-12-16 2017-06-30 Continuous treatment apparatus and method of substrate capable of using plasma to remove an oxide film existing on a substrate without using formic acid

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160172509A KR101921597B1 (en) 2016-12-16 2016-12-16 Continuous treatment apparatus and method of substrate

Publications (2)

Publication Number Publication Date
KR20180070755A KR20180070755A (en) 2018-06-27
KR101921597B1 true KR101921597B1 (en) 2018-11-26

Family

ID=62603998

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160172509A KR101921597B1 (en) 2016-12-16 2016-12-16 Continuous treatment apparatus and method of substrate

Country Status (3)

Country Link
KR (1) KR101921597B1 (en)
CN (1) CN108206146A (en)
TW (1) TW201824428A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210036012A (en) * 2019-09-25 2021-04-02 (주)에스티아이 Substrate processing apparatus
KR20210041960A (en) * 2019-10-08 2021-04-16 (주)에스티아이 Substrate processing apparatus
KR20210044586A (en) * 2019-10-15 2021-04-23 (주)에스티아이 Substrate processing apparatus

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102411113B1 (en) * 2018-08-03 2022-06-20 주식회사 원익아이피에스 Substrate transporting module and substrate processing apparatus having the same
CN108942395A (en) * 2018-08-30 2018-12-07 江阴市南闸中天电器有限公司 Cooling device is used in a kind of processing of silicon steel sheet
KR102166269B1 (en) * 2019-04-03 2020-10-15 (주)에스티아이 Substrate processing apparatus and substrate processing method
JP7403234B2 (en) * 2019-04-25 2023-12-22 東京エレクトロン株式会社 Substrate processing equipment and substrate processing method
JP7355615B2 (en) * 2019-11-25 2023-10-03 東京エレクトロン株式会社 Substrate cleaning equipment and substrate cleaning method
KR102259121B1 (en) * 2019-12-23 2021-06-01 (주)에스티아이 Substrate processing apparatus
CN111185654B (en) * 2019-12-25 2024-06-25 惠州市锂阳智能科技有限公司 Push plate type continuous copper mesh resistance welding machine
CN113654357B (en) * 2021-07-29 2022-10-21 扬州美德莱医疗用品有限公司 Artificial tooth sintering furnace and sintering method thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101680071B1 (en) * 2015-05-18 2016-11-28 (주)에스티아이 Heating device and heating method

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100328744B1 (en) * 1998-11-06 2002-06-20 서성기 Apparatus and method for forming AL2O3 on wafer
WO2000057456A1 (en) * 1999-03-19 2000-09-28 Electron Vision Corporation Cluster tool for wafer processing having an electron beam exposure module
KR100498494B1 (en) * 2003-04-08 2005-07-01 삼성전자주식회사 Remote plasma enhanced cleaning apparatus of rotary transfer type
JP4521177B2 (en) * 2003-11-10 2010-08-11 パナソニック株式会社 Vacuum processing apparatus and vacuum processing system
KR101406172B1 (en) * 2013-01-08 2014-06-12 (주)에스티아이 Continuous treatment apparatus and method of semiconductor wafer

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101680071B1 (en) * 2015-05-18 2016-11-28 (주)에스티아이 Heating device and heating method

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210036012A (en) * 2019-09-25 2021-04-02 (주)에스티아이 Substrate processing apparatus
KR102288733B1 (en) * 2019-09-25 2021-08-11 (주)에스티아이 Substrate processing apparatus
KR20210041960A (en) * 2019-10-08 2021-04-16 (주)에스티아이 Substrate processing apparatus
KR102295249B1 (en) * 2019-10-08 2021-08-30 (주)에스티아이 Substrate processing apparatus
KR20210044586A (en) * 2019-10-15 2021-04-23 (주)에스티아이 Substrate processing apparatus
KR102301191B1 (en) * 2019-10-15 2021-09-10 (주)에스티아이 Substrate processing apparatus

Also Published As

Publication number Publication date
TW201824428A (en) 2018-07-01
CN108206146A (en) 2018-06-26
KR20180070755A (en) 2018-06-27

Similar Documents

Publication Publication Date Title
KR101921597B1 (en) Continuous treatment apparatus and method of substrate
KR101796647B1 (en) Substrate processing apparatus and substrate processing method
JP4048387B2 (en) Load lock mechanism and processing device
US6331095B1 (en) Transportation system and processing apparatus employing the transportation system
KR100897850B1 (en) Apparatus for processing a substrate
KR100904392B1 (en) Apparatus for processing a substrate
CN117373948A (en) Gas delivery system for high pressure processing chamber
JP3769426B2 (en) Insulating film forming equipment
US9694436B2 (en) System and method for flux coat, reflow and clean
JP2002231707A (en) Heat treatment equipment and method thereof
JP3585215B2 (en) Substrate processing equipment
KR20210055082A (en) Vacuum processing apparatus and substrate transfer method
JPH06314730A (en) Vacuum processing apparatus
CN111048444B (en) Heating plate cooling method and substrate processing apparatus and method
KR20150013628A (en) Loadlock chamber and method for treating substrates using the same
JP3599322B2 (en) Substrate processing apparatus and substrate processing method
JP3623134B2 (en) Substrate processing equipment
KR20160141244A (en) Apparatus and method for treating substrate
JPH11204535A (en) Heat treatment method for semiconductor substrate and device therefor
JP7275087B2 (en) Substrate processing apparatus and method
KR100803562B1 (en) Apparatus for processing a substrate
JP2009224423A (en) Substrate processing apparatus
KR100836069B1 (en) Apparatus for processing a substrate
WO2006090430A1 (en) Semiconductor heat treatment method and semiconductor heat treatment apparatus
JP2020145329A (en) Substrate storage device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant