KR101861898B1 - 네거티브 톤 현상 프로세스에서의 리소그래피 개선을 위한 새로운 화학적 처리 - Google Patents

네거티브 톤 현상 프로세스에서의 리소그래피 개선을 위한 새로운 화학적 처리 Download PDF

Info

Publication number
KR101861898B1
KR101861898B1 KR1020150162375A KR20150162375A KR101861898B1 KR 101861898 B1 KR101861898 B1 KR 101861898B1 KR 1020150162375 A KR1020150162375 A KR 1020150162375A KR 20150162375 A KR20150162375 A KR 20150162375A KR 101861898 B1 KR101861898 B1 KR 101861898B1
Authority
KR
South Korea
Prior art keywords
negative tone
layer
photoresist layer
semiconductor device
manufacturing
Prior art date
Application number
KR1020150162375A
Other languages
English (en)
Other versions
KR20160111317A (ko
Inventor
웨이-한 라이
칭-유 창
쳉-한 우
시아오-샨 왕
친-시앙 린
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160111317A publication Critical patent/KR20160111317A/ko
Application granted granted Critical
Publication of KR101861898B1 publication Critical patent/KR101861898B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

기판 위에 재료 층이 형성된다. 재료 층 위에 네거티브 톤 포토레지스트 층이 코팅된다. 네거티브 톤 포토레지스트 층에 대해 노출 프로세스가 수행된다. 네거티브 톤 포토레지스트 층에 대해 사후-노출 베이크(PEB) 프로세스가 수행된다. 노출 프로세스 및 PEB 프로세스 후에, 네거티브 톤 포토레지스트 층이 용매로 처리된다. 용매는 n-부틸 아세테이트(n-BA)보다 큰 다이폴 모멘트를 가지는 화학물을 함유한다.

Description

네거티브 톤 현상 프로세스에서의 리소그래피 개선을 위한 새로운 화학적 처리{NOVEL CHEMICAL TREATMENT FOR LITHOGRAPHY IMPROVEMENT IN A NEGATIVE TONE DEVELOPMENT PROCESS}
반도체 집적 회로(integrated circuit: IC) 산업 분야는 급속한 성장을 경험해왔다. IC 재료 및 설계에 있어서의 기술적 진보로 인해 여러 세대의 IC가 생산되었고, 각 세대는 이전 세대보다 더 소형이고 더 복잡한 회로를 가진다. 그러나, 이러한 진보로 인해 IC를 프로세싱하고 제조하는 복잡도가 증가하였고, 이러한 진보가 실현되게 하기 위해, IC 프로세싱 및 제조에 있어서 유사한 발전이 필요하다. 집적 회로 진화의 과정에서, 기하학적 크기(즉, 제조 프로세스를 이용하여 생성될 수 있는 최소 컴포넌트 또는 라인)는 감소한 동안 기능적 밀도(즉, 칩 면적 당 상호연결 디바이스의 수)가 일반적으로 증가하였다.
반도체 디바이스 크기가 예를 들어 20 나노미터(nm) 미만의 노드로 계속해서 줄어듦에 따라, 소형 디바이스 크기를 달성하기 위해 네거티브 톤 현상(negative tone development: NTD) 프로세스가 필요할 수 있다. 그러나, 심지어 NTD 프로세스도 여전히 포커스의 깊이(depth of focus: DOF), 라인 폭 거칠기(line width roughness: LWR) 또는 스컴(scum)에 관련되는 결함을 가질 수 있다. 이러한 문제는 리소그래피 성능을 저하시키고 감소된 수율 또는 심지어 디바이스 고장을 야기할 수 있다.
따라서, 기존의 NTD 프로세스는 일반적으로 그 의도 목적을 위해 적합한 반면에, 모든 양상에서 전적으로 만족스럽지는 않았다.
본 개시물의 양상은 첨부 도면과 함께 숙독될 때 다음의 상세한 설명으로부터 더 잘 이해된다. 산업분야의 표준 실시에 따라, 다양한 피처는 실척으로 그려지지 않음이 강조된다. 실제로, 다양한 피처의 치수는 논의의 명확성을 위해 임의선택적으로 증가하거나 감소할 수 있다.
도 1-4는 본 개시물의 일부 실시예에 따른 다양한 제조 스테이지에서의 반도체 디바이스의 도식적 측단면도이다.
도 5는 본 개시물의 일부 실시예에 따른 반도체 디바이스를 제조하는 방법을 도시하는 흐름도이다.
다음의 개시물은 본 발명의 다른 피처를 구현하기 위한 많은 서로 다른 실시예 또는 예를 제공한다. 본 개시물을 간략화하기 위해 컴포넌트(components) 및 배치의 특정 예가 이하에 설명된다. 이들은 물론, 단지 예이고 제한하려는 것이 아니다. 예를 들어, 후속하는 설명에서 제 2 피처 위의 또는 제 2 피처 상의 제 1 피처의 형성은 제 1 및 제 2 피처가 직접 접촉하여 형성되는 실시예를 포함할 수 있고, 또한 제 1 및 제 2 피처가 직접 접촉하지 않을 수 있도록, 제 1 및 제 2 피처 사이에 추가적인 피처가 형성될 수 있는 실시예를 포함할 수 있다. 추가로, 본 개시물은 다양한 예에서의 참조 부호(reference numerals) 및/또는 문자(letters)를 반복할 수 있다. 이러한 반복은 간략성 및 명확성의 목적을 위한 것이고 그 자체로 논의되는 다양한 실시예 및/또는 구성 사이의 관계를 서술하지 않는다.
추가로, "밑에(beneath)", "아래(below)", "하부(lower)", "위에(above)", "상부(upper)" 등과 같은 공간적으로 관련한 용어는 도면에 도시된 바와 같이 일 엘리먼트 또는 피처의 다른 엘리먼트 또는 피처에 대한 관계를 설명하기 위해 설명의 편의상 본원에 이용될 수 있다. 공간적으로 관련된 용어는 도면에 도시되는 배향(orientation)에 더하여 사용 또는 동작시에 디바이스의 서로 다른 배향을 망라하도록 의도된다. 장치는 달리 (90도로 회전되거나 다른 배향으로) 배향될 수 있고 본원에 이용되는 공간적으로 관련된 기술어(descriptors)는 유사하게 그에 따라 해석될 수 있다.
반도체 산업분야는 (예를 들어, 20 나노미터 미만 노드로) 디바이스 크기를 계속해서 줄임에 따라, 전통적인 포지티브 톤 현상(positive tone development: PTD) 프로세스는 열악한 해상도를 야기할 수 있는 광학적 제한에 관련된 도전과제에 직면해 있다. 따라서, 네거티브 톤 현상(NTD) 프로세스는 접촉 홀 또는 트렌치(trenches)와 같은 특정 반도체 엘리먼트를 패턴화하기 위해 사용되었다. NTD 프로세스에 대해, 충분히 양호한 광학 이미지가 명시야(bright field) 마스크 애플리케이션으로 달성될 수 있다. 그러나, NTD 프로세스의 리소그래피 성능은 전형적으로 PTD 프로세스보다 더 양호하더라도, NTD 프로세스는 여전히 특정의 단점을 가질 수 있다. 예를 들어, NTD 프로세스는 불만족스러운 풋팅(footing) 및 탭퍼(tapper) 프로파일로 인해 좁은 포커스 깊이(DOF) 문제점을 겪을 수 있다. 다른 예로서, NTD 프로세스는 큰 입도 크기(grain sizes)로 인해 열악한 라인 폭 거칠기(line width roughness: LWR)를 발생시킬 수 있다. 또 다른 예로서, NTD 프로세스는 아래의 마스크 층(예를 들어, Si-HM)으로부터의 증가한 산도(acidity)에 대해 너무 민감한 열악한 광학 콘트라스트를 가지는 포토레지스트 영역(photoresist areas)으로 인해 또한 너무 많은 스컴이 발생되게 야기할 수 있다.
상기에 논의된 NTD 프로세스와 관련되는 이러한 문제점을 극복하기 위해, 본 개시물은 개선된 NTD 프로세스의 일부로서 포토레지스트의 신규한 화학적 처리를 제공한다. 본 개시물의 다양한 양상이 도 1-5를 참조하여 더 상세하게 이하에 논의될 것이다.
도 1-4는 본 개시물의 다양한 양상에 따른 다양한 제조 스테이지에서 반도체 디바이스(35)의 도식적 부분 측단면도이다. 반도체 디바이스(35)는 집적 회로(integrated circuit: IC) 칩, 시스템 온 칩(SoC) 또는 그 일부분을 포함할 수 있고, 저항기(resistors), 커패시터(capacitors), 인덕터(inductors), 다이오드(diodes), 금속-산화물 반도체 전계 효과 트랜지스터(metal-oxide semiconductor field effect transistors: MOSFET), 상보성 금속-산화물 반도체(complementary metal-oxide semiconductor: CMOS) 트랜지스터, 바이폴라 접합 트랜지스터(bipolar junction transistors: BJT), 측면 확산 MOS(laterally diffused MOS: LDMOS) 트랜지스터, 고전력 MOS 트랜지스터 또는 다른 타입의 트랜지스터와 같은 다양한 수동 및 능동 마이크로전자 디바이스를 포함할 수 있다.
도 1을 참조하면, 반도체 디바이스(35)는 기판(40)을 포함한다. 일부 실시예에서, 기판(40)은 붕소와 같은 p-타입 도펀트로 도핑된 실리콘 기판(예를 들어 p-타입 기판)이다. 대안적으로, 기판(40)은 다른 적합한 반도체 재료일 수 있다. 예를 들어, 기판(40)은 인 또는 비소(arsenic)와 같은 n-타입 도펀트로 도핑되는 실리콘 기판(n-타입 기판)일 수 있다. 기판(40)은 게르마늄 및 다이아몬드와 같은 다른 기본 반도체를 포함할 수 있다. 기판(40)은 임의선택적으로 화합물 반도체 및/또는 합금 반도체를 포함할 수 있다. 또한, 기판(40)은 에피택셜 층(에피 층)을 포함할 수 있고, 성능 강화를 위해 변형될(strained) 수 있고, 실리콘-온-반도체(silicon-on-insulator: SOI) 구조를 포함할 수 있다.
일부 실시예에서, 기판(40)은 실질적으로 전도성 또는 반-전도성이다. 전기적 저항은 약 103 옴-미터(ohm-meter)보다 작을 수 있다. 일부 실시예에서, 기판(40)은 금속, 금속 합금 또는 공식 MXa를 가지는 금속 질화물/황화물/셀렌화물(selenide)/산화물/규화물을 함유하고, 여기서 M은 금속이고, X는 N, S, Se, O, Si이고, 여기서 "a"는 약 0.4 내지 2.5의 범위에 있다. 예를 들어, 기판(40)은 Ti, Al, Co, Ru, TiN, WN2 또는 TaN을 함유할 수 있다.
일부 다른 실시예에서, 기판(40)은 약 1 내지 약 40의 범위에서의 유전 상수(dielectric constant)를 가지는 유전체 재료를 함유한다. 일부 다른 실시예에서, 기판(40)은 Si, 금속 산화물, 또는 금속 질화물을 함유하고, 여기서 공식은 MXb이고, M은 금속 또는 Si이고, X는 N 또는 O이며, 여기서 "b"는 약 0.4 내지 2.5의 범위에 있다. 예를 들어, 기판(40)은 SiO2, 실리콘 질화물, 알루미늄 산화물, 하프늄(hafnium) 산화물 또는 란타늄(lanthanum) 산화물을 함유할 수 있다.
재료 층(50)은 기판(40) 위에 형성된다. 재료 층(50)은 리소그래피 프로세스(lithography process)를 통해 패턴화될 수 있고 엄밀한 의미에서 또한 패턴화가능 층(patternable layer)으로 지칭될 수 있다. 재료 층(50)은 그 자신의 패턴이 (그 위에 형성되도록) 패턴화 포토레지스트에 의해 정의된 후에 바로 아래의 층을 패턴화하도록 사용될 수 있는 하드 마스크(hard mask)로서 기능할 수 있음이 이해된다. 따라서, 재료 층(50)은 Si 하드 마스크(Si hard mask: Si-HM)로 지칭될 수 있다. 일 실시예에서, 재료 층(50)은 실리콘 산화물 또는 실리콘 질화물과 같은 유전체 재료를 포함한다. 다른 실시예에서, 재료 층(50)은 금속을 포함한다. 또 다른 실시예에서, 재료 층(50)은 반도체 재료를 포함한다.
일부 실시예에서, 재료 층(50)은 포토레지스트와는 다른 광학 특성을 가진다. 예를 들어, 재료 층(50)은 포토레지스트와 다른 n, k 또는 T 값을 가진다. 일부 실시예에서, 재료 층(50)은 서로 다른 폴리머 구조, 산 불안정 분자(acid labile molecule), PAG(photo acid generator) 로딩, 담금질(quencher) 로딩, 발색단(chromophore), 크로스 링커(cross linker) 또는 용매 중 적어도 하나를 포함하고, 이는 포토레지스트에 대한 서로 다른 n 값을 유도한다. 일부 실시예에서, 재료 층(50) 및 포토레지스트는 서로 다른 에칭 저항을 가진다. 일부 실시예에서, 재료 층(50)은 에칭 저항성 분자를 함유한다. 분자는 낮은 오니시(onishi) 번호 구조, 이중 결합(double bond), 3중 결합(triple bond), 실리콘, 실리콘 질화물, Ti, TiN, Al, 알루미늄 산화물, SiON 또는 그 조합을 포함한다.
기판(40) 및 재료 층(50)은 각각 다른 실시예에서의 추가적인 적합한 재료 조성을 포함할 수 있음이 이해된다. 또한, 기판(40)과 재료 층(50) 사이에 추가적인 층이 형성될 수 있지만, 이 층은 간략성의 이유를 위해 본원에 도시되지 않음이 이해된다.
재료 층(50) 위에 포토레지스트 층(60)이 형성된다. 포토레지스트 층(60)은 네거티브 톤 포토레지스트(또한 네거티브 포토레지스트로 지칭됨)를 포함한다. 포지티브 톤 포토레지스트와 비교하여, 광에 노출되는 포토레지스트의 일부분이 현상액(developer solution)에 용해될 수 있는 경우에, 광에 노출되는 네거티브 톤 포토레지스트의 일부분은 현상액에 불용해성으로 남아있다. 일부 실시예에서, 포토레지스트 층(60)은 스핀-코팅 프로세스(spin-coating process)에 의해 형성될 수 있다. 포토레지스트 층(60)은 폴리머, 광산 발생제(photo acid generators: PAG), 용매, 담금질부, 발색단, 계면 활성제(surfactant), 크로스 링커 등과 같은 성분을 함유할 수 있다.
포토레지스트 층(60)의 원하는 부분을 노출시키기 위해 노출 프로세스가 수행된다. 노출 프로세스의 일부로서, 포토마스크(70)(또는 레티클(reticle))는 포토레지스트 층(60) 위에 포지셔닝(positioned)된다. 조명 소스로서, 자외선(UV) 광(80)과 같은 방사선이 포토마스크(70)를 향해 위로부터 투사된다. 일부 실시예에서, UV 광은 250 nm보다 실질적으로 작은 조명 파장을 가지고 KrF, ArF, EUV 또는 E-빔 중 적어도 하나를 포함한다.
포토마스크(70)는 UV 광(80)과 같이 방사선을 차단하는 불투명 부분(90)을 가진다. 불투명 부분(90) 사이에는 투명 구역(100)이 있고, 이 투명 구역(100)은 UV 광(80)이 포토레지스트 층(60)을 향해 전파하게 그리고 그 아래에 포토레지스트 층(60)의 일부분(60A)을 노출하게 허용한다. UV 광 노출의 결과로서, 일부분(60A)은 크로스링크/폴리머화되고 현상액에서 용해되기가 더 어렵다.
이제 도 2를 참조하면, 노출 프로세스 후에 반도체 디바이스(35)에 대해 사후 노출 베이크 프로세스(bake process)(110)가 수행된다. 일부 실시예에서, 사후 노출 베이크 프로세스(110)는 수 분 동안 섭씨 약 100도 내지 섭씨 약 120도 사이의 온도 범위에서 수행될 수 있다. 사후 노출 베이크 프로세스(110)는 노출 프로세스 동안 포토레지스트 층(60) 내에 개시되는 광 반응을 촉매 반응으로 수행하고 완료한다. 사후 노출 베이크 프로세스(110)는 또한 포토레지스트 층(60)으로부터 용매를 제거하는 것을 돕는다. 결과적으로, 포토레지스트 층(60)의 접착 및 에칭 저항이 개선된다.
이제 도 3을 참조하면, 포토레지스트 층(60)을 현상하기 위해 현상 프로세스(120)가 수행된다. 현상 프로세스(120)의 일부로서 현상액이 포토레지스트 층(60)에 도포된다. 일부 실시예에서, 현상액은 n-부틸 아세테이트(n-butyl acetate: n-BA)를 포함한다. 도 3에 도시된 바와 같이, 현상액은 UV 광(80)에 노출되지 않는 포토레지스트 층(60)의 일부분을 씻어내지만, 포토레지스트 층(60)의 일부분(60A)은 여전히 UV 광(80)에 노출된 상태로 남아있다.
그러나, 포토레지스트 스컴(130)(또한 포토레지스트 잔여물 또는 블라인드로 지칭됨)은 포토레지스트 부분(60A)의 바닥에 여전히 남아있을 수 있다. 포토레지스트 스컴(130)의 존재는 재료 층(50)의 증가한 산성(즉, Si-HM)에 기인할 수 있다. 더 상세하게, NTD 프로세스에서, 언더컷 프로파일(undercut profile) 문제(포토레지스트 바닥 영역의 부적합한 극성 변경에 의해 야기되는 언더컷 프로파일 문제)를 개선하기 위해 재료 층(50)의 산성이 증가한다. 불운하게도, 열악한 광학 콘트라스트를 가지는 일부 포토레지스트 영역은 재료 층(50)으로부터의 산에 너무 민감하다. 이 영역은 그 후에 도 3에 도시된 포토레지스트 스컴(130)을 발생시킬 수 있다. 제거되지 않는다면, 포토레지스트 스컴(130)은 재료 층(50)의 패턴화 정확도에 불리하게 영향을 미칠 수 있다. 다시 말해, 재료 층(50)은 포토레지스트 스컴(130)의 존재로 인해 원하는 패턴 형상을 달성하지 못할 수 있다.
포토레지스트 스컴(130)의 제거를 용이하게 하기 위해, 본 개시물은 도 4에 도시된 바와 같이 포토레지스트 스컴(130)에 화학적 처리 프로세스(140)를 적용한다. 화학적 처리 프로세스(140)의 일부로서 포토레지스트 스컴(130)을 린싱(rinsing)하기 위해 용매(또는 화학물)가 사용된다. 용매는 하이(high) 극성을 가진다. 일부 실시예에서, 용매는 n-BA보다 높은 다이폴 모멘트(dipole moment)를 가지는데, 예를 들어 용매의 다이폴 모멘트는 약 1.9D보다 클 수 있다. 용매는 포토레지스트 스컴(130)을 제거할 수 있고 및/또는 DOF 확대, 줄어든 엔드-투-엔드(end-to-end) 거리 또는 라인 폭 거칠기(line width roughness: LWR)와 같이, 상기에 논의된 NTD 프로세스의 다른 문제를 고칠 수 있는 화학적 상호작용력이다.
용매는 순수 용매 또는 n-BA를 가지는 공-용매(co-solvent)일 수 있음이 이해된다. 용매가 n-BA를 가지는 공-용매인 실시예에서, 조합 용매에서의 n-BA 비는 약 10%보다 크고, 그렇지 않으면 포토레지스트 부분(60A)은 적어도 부분적으로 용해될 수 있는데, 이것은 또한 재료 층(50)의 후속적인 패턴화에 불리하게 영향을 미칠 것이므로 바람직하지 않다. 용매가 n-BA를 가지는 공-용매라면, 그 용매는 포토레지스트 코팅 툴(coating tool)(예를 들어, 트랙)에서 함께 혼합될 수 있음이 또한 이해된다. 다시 말해, 용매의 화학 재료는 반드시 n-BA와 프리믹스(premixed)되지 않아도 된다. 대신에, 용매의 화학 재료는 반도체 디바이스(35)의 실제 제조 동안 현상액을 도포하기 위한 표준 코팅 툴을 사용하여 n-BA와 혼합될 수 있다. 용매 및 n-BA의 혼합 비는 코팅 툴에 의해, 또는 코팅 레시피(coating recipe)로 제어될 수 있다. 이러한 방식으로, 본 개시물은 기존의 제조 장비(예를 들어, 본원의 코팅 툴/트랙)가 용이하게 레버리지(leveraged)될 수 있기 때문에, 복잡한 추가의 제조 단계를 필요로 하지 않는다.
본 개시물의 다양한 양상에 따르면, 용매를 위한 여러 설계가 존재한다. 제 1 설계에서, 용매는 화학물 A를 함유한다. 일부 실시예에서, 화학물 A는 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether: PGME)이다. 다른 실시예에서, 화학물 A는 OK73이고, OK73은 약 70% PGME 및 약 30%의 프로필렌 글리콜 메틸 에테르 아세테이트(propylene glycol methyl ether acetate: PGMEA)이다. 다시 말해, PGME/PGMEA는 OK73에서 70/30 농도 퍼센티지를 가진다. 화학물 A는 포토레지스트 스컴(130)을 용해시킬 수 있다(그리고 그에 의해 제거할 수 있다).
제 2 설계에서, 용매는 화학물 B를 포함하고, 화학물 B는 상기에 논의된 화학물 A를 포함하고 그에 첨가되는 첨가물을 포함한다. 달리 서술하면, 화학물 B는 화학물 A 및 첨가물의 블렌드(blend)이다. 더 상세하게, 상기 논의된 화학물 A는 포토레지스트 스컴(130)을 또한 용해시킬 수 있더라도, 화학물 A의 하이 극성은 포토레지스트 막 두께 손실을 야기시킬 수 있고, 이것은 바람직하지 않다. 이러한 우려를 덜어주도록, 포토레지스트 막 손실을 감소시키고 콘트라스트(contrast)를 증가시키기 위해 화학물 B의 일부로서 첨가물이 본원에 더해진다.
일부 실시예에서, 첨가물은 아민 파생물 NR1R2R3를 포함하고, 여기서 R1, R2, R3은 동일하거나 서로 다른 재료일 수 있다. R1, R2 및 R3은 각각 수소 원자, 알킬기(alkyl group)(예를 들어, 탄소 번호 1 내지 20을 가지는 알킬 기), 시클로알킬기(cycloalkyl group)(예를 들어, 탄소 번호 3 내지 20을 가지는 시클로알킬기), 또는 아릴기(예를 들어, 탄소 번호 6 내지 20을 가지는 아릴기)를 나타낼 수 있다. 일부 실시예에서, R2 및 R3은 링을 형성하기 위해 서로 조합할 수 있다. 일부 실시예에서, 치환기를 가지는 알킬기는 탄소 번호 1 내지 20을 가지는 아미노알킬기(aminoalkyl group), 탄소 번호 1 내지 20을 가지는 하이드록실알킬기(hydroxylalkyl group), 또는 탄소 번호 1 내지 20을 가지는 시아노알킬기(cyanoalkyl group)일 수 있다. 일부 실시예에서, 첨가물을 위한 구조는 구아니딘(guanidine), 아미노피롤리딘(aminopyrrolidine), 피라졸(pyrazole), 피아졸린(pyazoline), 피페라진(piperazine), 아미노모르폴린(aminomorpholine), 아미니알킬모르폴린(aminialkylmorpholine) 또는 피페리딘(piperidine)을 포함한다. 일부 실시예에서, 화학물 B에서의 첨가물은 또한 그 PH 값을 변경하기 위해 감광성(photo-sensitive) 또는 감열성(thermal-sensitive)일 수 있다.
제 3 설계에서, 용매는 화학물 C를 함유한다. 화학물 B와 유사하게, 화학물 C는 포토레지스트 막 두께 손실 우려를 덜기 위해 화학물 A에 블렌딩되는 첨가물을 포함한다. 그러나, 화학물 B와 달리, 화학물 C는 아민 파생물 NR1R2R3를 함유하는 것이 아니라 오히려 트리페닐술포늄(triphenylsulfonium: TPS)염 파생물을 함유한다. 일부 실시예에서, 화학물 C에서의 첨가물은 또한 그 PH 값을 변경하기 위해 감광성이거나 감열성일 수 있다.
제 2 설계(화학물 B) 또는 제 3 설계(화학물 C)는 임의선택적이고, 제 1 설계(화학물 A)가 포토레지스트 부분(60A)에 의해 형성되는 원하는 포토레지스트 패턴을 저하시키지 않고서 포토레지스트 스컴(130)을 제거할 수 있다면 그 설계는 필요하지 않을 수 있음이 이해된다. 용매를 위해 어느 설계가 사용되는지 여부에 관계없이, 최종 결과는 포토레지스트 스컴(130)이 화학적 처리 프로세스(140)에 의해 제거되는 한편, 원하는 포토레지스트 부분(60A)이 여전히 남아있는 것이다.
일부 표준 리소그래피 프로세스가 수행될 수 있지만 명확성 및 간략성의 이유로 본원에서 구체적으로 논의되지 않음이 이해된다. 예를 들어, 현상 프로세스(120) 후이지만 화학적 처리 프로세스(140) 이전에 하드 베이크(hard bake) 프로세스가 수행될 수 있다. 추가로, 도 1-4를 참조하여 상기에 논의된 프로세스 흐름은 현상제(developer)로서 n-BA를 사용하는 "표준" 현상 프로세스(120) 후에 수행되는 화학적 처리 프로세스(140)를 도시한다. 그러나, 프로세스(120 및 140)가 수행되는 순서는 중요하지 않음이 이해된다. 일부 대안적인 실시예에서, 화학적 처리 프로세스(140)는 현상 프로세스(120) 전에 수행될 수 있다. 일부 다른 대안적인 실시예에서, 프로세스(120 및 140)는 또한 함께 또는 동시에 수행될 수 있다. 다시 말해, (화학물 A, 화학물 B 또는 화학물 C를 사용하는) 용매 및 n-BA 현상제가 동시에 포토레지스트 층(60)에 도포될 수 있다.
현상 프로세스(120) 및 화학적 처리 프로세스(140)가 수행된 후에, 마스크로서 패턴화 포토레지스트를 사용하여 후속적인 패턴화 프로세스가 수행될 수 있다. 예를 들어, 재료 층(50)(예를 들어, Si-HM)은 그 아래의 층을 추가로 패턴화하기 위해 마스크 층 내로 패턴화될 수 있다. 패턴화 포토레지스트는 스트립핑(stripping) 또는 회분화(ashing) 프로세스와 같이, 기술분야에 알려지는 포토레지스트 제거 프로세스에 의해 제거될 수 있다.
도 5는 본 개시물의 다양한 양상에 따른 반도체 패턴을 형성하는 방법(200)의 흐름도이다. 방법(200)은 리소그래피 프로세스의 일부로서 수행될 수 있다.
방법(200)은 기판 위에 패턴화가능 층을 형성하는 단계(210)를 포함한다. 일부 실시예에서, 기판은 실질적으로 전도성 또는 반-전도성이다. 일부 실시예에서, 기판은 금속, 금속 합금 또는 공식 MXa를 가지는 금속 질화물/황화물/셀렌화물/산화물/규화물을 함유하고, 여기서 M은 금속이고, X는 N, S, Se, O, Si이고, 여기서 "a"는 약 0.4 내지 2.5의 범위에 있다. 예를 들어, 기판(40)은 Ti, Al, Co, Ru, TiN, WN2 또는 TaN을 함유할 수 있다. 일부 다른 실시예에서, 기판은 약 1 내지 약 40 범위의 유전 상수를 가지는 유전체 재료를 함유한다. 일부 다른 실시예에서, 기판은 Si, 금속 산화물 또는 금속 질화물을 함유하고, 여기서 공식은 MXb이고, M은 금속 또는 Si이고, X는 N 또는 O이고, "b"는 약 0.4 내지 2.5 사이의 범위에 있다. 예를 들어, 기판은 SiO2, 실리콘 질화물, 알루미늄 산화물, 하프늄 산화물 또는 란타늄 산화물을 함유할 수 있다.
기판 위에 형성되는 패턴화가능 층은 포토레지스트와 다른 광학 특성을 가진다. 예를 들어, 층은 포토레지스트와 다른 n, k 또는 T 값을 가진다. 일부 실시예에서, 층은 서로 다른 폴리머 구조, 산 불안정 분자(acid labile molecule), PAG(photo acid generator) 로딩, 담금질(quencher) 로딩, 발색단(chromophore), 크로스 링커(cross linker) 또는 용매 중 적어도 하나를 포함하고, 이는 포토레지스트에 대한 서로 다른 n 값을 유도한다. 일부 실시예에서, 층 및 포토레지스트는 서로 다른 에칭 저항을 가진다. 일부 실시예에서, 층은 에칭 저항성 분자를 함유한다. 분자는 낮은 오니시(onishi) 번호 구조, 이중 결합(double bond), 3중 결합(triple bond), 실리콘, 실리콘 질화물, Ti, TiN, Al, 알루미늄 산화물, SiON 또는 그 조합을 포함한다. 패턴화가능 층과 기판 사이에 추가적인 층이 형성될 수 있음이 이해된다.
방법(200)은 층 위에 네거티브 톤 포토레지스트 층을 코팅하는 단계(220)를 포함한다.
방법(200)은 네거티브 톤 포토레지스트 층에 노출 프로세스를 수행하는 단계(230)를 포함한다.
방법(200)은 네거티브 톤 포토레지스트 층에 사후-노출 베이크(post-exposure bake: PEB)를 수행하는 단계(240)를 포함한다.
방법(200)은 네거티브 톤 포토레지스트 층에 네거티브 톤 현상 프로세스를 수행하는 단계(250)를 포함한다. 네거티브 톤 현상 프로세스는 일부 실시예에서 현상제로서 n-부틸 아세테이트(n-BA)를 사용하여 수행된다.
방법(200)은 네거티브 톤 포토레지스트에 화학적 처리 프로세스를 수행하는 단계(260)를 포함한다. 화학적 처리 프로세스는 약 1.9D보다 큰 다이폴 모멘트(dipole moment)를 가지는 화학물을 도포하는 단계를 포함한다. 화학물은 프로필렌 글리콜 모노메틸 에테르 아세테이트(propylene glycol monomethyl ether acetate: PGMEA) 또는 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether: PGME) 및 PGMEA(예를 들어, OK73)를 함유한다.
일부 실시예에서, 단계(260)의 화학물은 용매의 일부로서 도포되고, 여기서 용매는 또한 n-BA를 포함할 수 있다. 용매에서의 n-BA의 비는 약 10%보다 크다.
일부 실시예에서, 단계(260)의 화학물은 첨가물을 더 함유한다. 첨가물은 아민 파생물 NR1R2R3를 포함할 수 있다. R1, R2 및 R3은 각각 각각 수소 원자, 알킬기(alkyl group)(예를 들어, 탄소 번호 1 내지 20을 가지는 알킬기), 시클로알킬기(cycloalkyl group)(예를 들어, 탄소 번호 3 내지 20을 가지는 시클로알킬기), 또는 아릴기(예를 들어, 탄소 번호 6 내지 20을 가지는 아릴기)를 나타낼 수 있다. 일부 실시예에서, R2 및 R3은 링을 형성하기 위해 서로 조합할 수 있다. 일부 실시예에서, 치환기를 가지는 알킬기는 탄소 번호 1 내지 20을 가지는 아미노알킬기(aminoalkyl group), 탄소 번호 1 내지 20을 가지는 하이드록실알킬기(hydroxylalkyl group), 또는 탄소 번호 1 내지 20을 가지는 시아노알킬기(cyanoalkyl group)일 수 있다. 일부 실시예에서, 첨가물을 위한 구조는 구아니딘(guanidine), 아미노피롤리딘(aminopyrrolidine), 피라졸(pyrazole), 피아졸린(pyazoline), 피페라진(piperazine), 아미노모르폴린(aminomorpholine), 아미니알킬모르폴린(aminialkylmorpholine) 또는 피페리딘(piperidine)을 포함한다.
일부 다른 실시예에서, 첨가물은 또한 트리페닐술포늄(triphenylsulfonium: TPS) 염 파생물을 함유한다.
일부 실시예에서, 첨가물은 그 PH 값을 변경하기 위해 감광성이거나 감열성일 수 있다.
단계(250 및 260)는 순차적으로 수행되지 않아도 됨이 이해된다. 일부 실시예에서, 단계(260)에서의 화학적 처리 프로세스 이전에 단계(250)에서의 네거티브 톤 현상 프로세스가 수행된다. 일부 다른 실시예에서, 단계(250)에서의 네거티브 톤 현상 프로세스는 단계(260)에서의 화학적 처리 프로세스 후에 수행된다. 또 다른 실시예에서, 단계(250)에서의 네거티브 톤 현상 프로세스 및 단계(260)에서의 화학적 처리 프로세스는 함께 또는 동시에 수행될 수 있다.
반도체 디바이스의 제조를 완성하기 위해 방법(200)의 단계(210-260) 전에, 단계(210-260) 동안 또는 단계(210-260) 후에 추가적인 프로세스가 수행될 수 있음이 또한 이해된다. 예를 들어, 방법(200)은 패턴화가능 층을 패턴화하고, 그 아래의 층을 패턴화하기 위해 마스크로서 패턴화가능 층을 사용하는 추가적인 프로세스를 포함할 수 있다. 다른 예로서, 본원에 논의된 노출 프로세스는 제 1 파장을 가지는 방사선을 사용하여 이루어질 수 있고, 포토레지스트는 이후에 (예를 들어, 이중 패턴화 프로세스(double patterning process)의 일부로서) 제 2 파장을 가지는 방사선에 의해 노출될 수 있다. 간략성의 이유로, 이들 추가적인 단계는 본원에서 상세하게 논의되지 않는다.
상기 논의에 기초하여, 본 개시물은 종래 방법을 능가하는 장점을 제공함을 알 수 있다. 그러나, 다른 실시예가 추가적인 장점을 제공할 수 있고, 모든 장점이 반드시 본원에 개시되지는 않고, 모든 실시예에 대해 특정 장점이 요구되는 것이 아님이 이해된다. 일 장점은 상기에 논의되는 화학적 처리 프로세스가 충분하게 그리고 효과적으로 NTD 프로세스의 일부로서 발생되는 포토레지스트 스컴을 제거할 수 있는 것이다. 그 결과, 포토레지스트 패턴은 더 명확하고 정밀하게 정의된 프로파일을 가지고, 좁은 DOF, 열악한 LWR 등과 같은 NTD 프로세스를 괴롭히는 문제가 사라질 수 있다. 이것은 NTD 리소그래피가 더 소형의 디바이스 크기를 달성하게 허용한다. 다른 장점은 화학적 처리에 사용되는 용매가 기존의 코팅 툴을 통해 도포될 수 있다는 것이다. 따라서, 본 개시물의 프로세스는 기존의 프로세스 흐름과 호환가능하고 제조 비용 또는 시간을 크게 증가시키지는 않는다.
본 개시물의 일 실시예는 반도체 디바이스를 제조하는 방법에 관한 것이다. 기판 위에 재료 층이 형성된다. 재료 층 위에 네거티브 톤 포토레지스트 층이 코팅된다. 네거티브 톤 포토레지스트 층에 대해 노출 프로세스가 수행된다. 네거티브 톤 포토레지스트 층에 대해 사후-노출 베이크(PEB) 프로세스가 수행된다. 노출 프로세스 및 PEB 프로세스 후에, 네거티브 톤 포토레지스트 층이 용매로 처리된다. 용매는 n-부틸 아세테이트(n-BA)보다 큰 다이폴 모멘트를 가지는 화학물을 함유한다.
본 개시물의 다른 실시예는 반도체 디바이스를 제조하는 방법에 관한 것이다. 기판 위에 패턴화가능 층이 형성된다. 패턴화가능 층 위에 네거티브 톤 포토레지스트 층이 형성된다. 네거티브 톤 포토레지스트 층에 대해 노출 프로세스가 수행된다. 네거티브 톤 포토레지스트 층에 대해 사후-노출 베이크(PEB) 프로세스가 수행된다. 네거티브 톤 포토레지스트 층에 대해 네거티브 톤 현상 프로세스가 수행된다. 네거티브 톤 현상 프로세스는 현상제로서 n-부틸 아세테이트(n-BA)를 사용하여 수행된다. 네거티브 톤 포토레지스트에 대해 화학적 처리 프로세스가 수행된다. 화학적 처리 프로세스는 약 1.9D보다 큰 다이폴 모멘트를 가지는 화학물을 도포하는 단계를 포함한다. 화학물은 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA) 또는 프로필렌 글리콜 모노메틸 에테르(PGME)와 PGMEA의 조합을 함유한다. 화학적 처리 프로세스는 네거티브 톤 현상 프로세스 전에, 그 프로세스 동안 또는 그 프로세스 후에 수행될 수 있다.
본 개시물의 또 다른 실시예는 반도체 디바이스를 제조하는 방법에 관한 것이다. 기판 위에 재료 층이 형성된다. 재료 층 위에 네거티브 톤 포토레지스트 층이 코팅된다. 네거티브 톤 포토레지스트 층에 대해 노출 프로세스가 수행된다. 네거티브 톤 포토레지스트 층에 대해 사후-노출 베이크(PEB) 프로세스가 수행된다. 네거티브 톤 포토레지스트 층에 대해 네거티브 톤 현상 프로세스가 수행된다. 네거티브 톤 현상 프로세스는 현상제로서 n-부틸 아세테이트(n-BA)를 사용하여 수행된다. 네거티브 톤 포토레지스트 층은 용매로 처리된다. 용매는 화학물 및 첨가물을 함유한다. 화학물은 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA) 또는 약 70/30 농도 퍼센티지를 가지는 프로필렌 글리콜 모노메틸 에테르(PGME) 및 PGMEA의 혼합물을 함유한다. 첨가물은 아민 파생물 또는 트리페닐술포늄(TPS) 염 파생물을 함유한다.
전술한 바는 당업자가 후속하는 상세한 설명을 더 잘 이해할 수 있도록 여러 실시예의 피처를 개략한다. 당업자는 본원에 도입되는 실시예의 동일한 목적을 실행하고 및/또는 동일한 장점을 달성하기 위한 다른 프로세스 및 구조를 설계하거나 수정하기 위한 기반으로서 본 개시물을 용이하게 사용할 수 있음을 인식해야 한다. 당업자는 또한 그와 같은 동등한 구성이 본 개시물의 정신 및 범위로부터 이탈하지 않고, 본 개시물의 정신 및 범위를 이탈하지 않고서 본원에 다양한 변경, 치환 및 개조를 수행할 수 있음을 깨달아야 한다.

Claims (10)

  1. 반도체 디바이스를 제조하는 방법에 있어서,
    기판 위에 재료 층을 형성하는 단계로서, 상기 재료 층은 산성을 포함하는 것인, 단계;
    상기 재료 층 위에 네거티브 톤 포토레지스트 층(negative tone photoresist layer)을 코팅하는 단계;
    상기 네거티브 톤 포토레지스트 층에 대해 노출 프로세스를 수행하는 단계;
    상기 네거티브 톤 포토레지스트 층에 대해 사후-노출 베이크(post-exposure bake: PEB) 프로세스를 수행하는 단계;
    상기 사후-노출 베이크 프로세스 후에 상기 네거티브 톤 포토레지스트 층을 현상하는 단계로서, 현상된 네거티브 톤 포토레지스트 층의 바닥에 포토레지스트 스컴(scum)이 남아있고, 상기 포토레지스트 스컴은 상기 재료 층의 산성에 대한 상기 네거티브 톤 포토레지스트 층의 민감성(sensitivity)에 의해 생성되는 것인, 단계; 및
    용매를 이용하여 상기 현상된 네거티브 톤 포토레지스트 층으로부터 상기 포토레지스트 스컴을 제거하는 단계
    를 포함하고,
    상기 용매는 n-부틸 아세테이트(n-butyl acetate: n-BA)보다 큰 다이폴 모멘트(dipole moment)를 가지는 화학물(chemical)을 함유하는 것인,
    반도체 디바이스를 제조하는 방법.
  2. 제1항에 있어서,
    상기 화학물은 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether: PGME) 및 프로필렌 글리콜 모노메틸 에테르 아세테이트(propylene glycol monomethyl ether acetate: PGMEA) 중 적어도 하나를 함유하는 것인,
    반도체 디바이스를 제조하는 방법.
  3. 제1항에 있어서,
    상기 용매는 또한 n-BA를 함유하고, 상기 용매에서의 상기 n-BA의 비는 10%보다 큰 것인,
    반도체 디바이스를 제조하는 방법.
  4. 제1항에 있어서,
    상기 용매는 첨가물(additive)을 더 함유하는 것인,
    반도체 디바이스를 제조하는 방법.
  5. 제4항에 있어서,
    상기 첨가물은 아민 파생물(amine derivatives) NR1R2R3 또는 트리페닐술포늄(triphenylsulfonium: TPS) 염 파생물을 포함하고, R1, R2 및 R3은 각각 수소 원자, 알킬기(alkyl group), 시클로알킬기(cycloalkyl group) 또는 아릴기(aryl group)를 나타낼 수 있는 것인,
    반도체 디바이스를 제조하는 방법.
  6. 제5항에 있어서,
    R2와 R3은 링(ring)을 형성하기 위해 서로 조합되는 것인,
    반도체 디바이스를 제조하는 방법.
  7. 제4항에 있어서,
    상기 첨가물은 감광성(photo-sensitive) 또는 감열성(thermal-sensitive)인 것인,
    반도체 디바이스를 제조하는 방법.
  8. 제1항에 있어서,
    상기 네거티브 톤 포토레지스트 층에 대해 네거티브 톤 현상 프로세스(negative tone development process)를 수행하는 단계를 더 포함하는,
    반도체 디바이스를 제조하는 방법.
  9. 반도체 디바이스를 제조하는 방법에 있어서,
    기판 위에 패턴화가능(patternable) 층을 형성하는 단계로서, 상기 패턴화가능 층은 산성을 포함하며 비감광성(non-photosensitive) 층인 것인, 단계;
    상기 패턴화가능 층 위에 네거티브 톤 포토레지스트 층(negative tone photoresist layer)을 형성하는 단계;
    상기 네거티브 톤 포토레지스트 층에 대해 노출 프로세스를 수행하는 단계;
    상기 네거티브 톤 포토레지스트 층에 대해 사후-노출 베이크(post-exposure bake: PEB) 프로세스를 수행하는 단계;
    상기 네거티브 톤 포토레지스트 층에 대해 네거티브 톤 현상 프로세스―상기 네거티브 톤 현상 프로세스는 현상제로서 n-부틸 아세테이트(n-butyl acetate: n-BA)를 사용하여 수행되고, 상기 패턴화가능 층의 산성에 대한 상기 네거티브 톤 포토레지스트 층의 민감성에 의해 포토레지스트 스컴이 생성되고, 상기 네거티브 톤 현상 프로세스가 수행되고난 후 현상된 네거티브 톤 포토레지스트 층의 바닥에 상기 포토레지스트 스컴이 남아있는 것임―를 수행하는 단계; 및
    1.9D(debye)보다 큰 다이폴 모멘트(dipole moment)를 가지는 화학물을 도포함으로써, 상기 포토레지스트 스컴을 제거하기 위해 상기 현상된 네거티브 톤 포토레지스트 층에 대해 화학적 처리 프로세스를 수행하는 단계
    를 포함하고,
    상기 화학물은, 프로필렌 글리콜 모노메틸 에테르 아세테이트(propylene glycol monomethyl ether acetate: PGMEA) 또는 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether: PGME) 및 PGMEA의 조합을 함유하고,
    상기 화학적 처리 프로세스는, 상기 네거티브 톤 현상 프로세스 전에, 프로세스 동안 또는 프로세스 후에 수행되는 것인,
    반도체 디바이스를 제조하는 방법.
  10. 반도체 디바이스를 제조하는 방법에 있어서,
    기판 위에 유전체 재료 층을 형성하는 단계로서, 상기 유전체 재료 층은 산성을 포함하며 비감광성 층인 것인, 단계;
    상기 유전체 재료 층 위에 네거티브 톤 포토레지스트 층(negative tone photoresist layer)을 코팅하는 단계;
    상기 네거티브 톤 포토레지스트 층에 대해 노출 프로세스를 수행하는 단계;
    상기 네거티브 톤 포토레지스트 층에 대해 사후-노출 베이크(post-exposure bake: PEB) 프로세스를 수행하는 단계;
    상기 네거티브 톤 포토레지스트 층에 대해 네거티브 톤 현상 프로세스―상기 네거티브 톤 현상 프로세스는 현상제로서 n-부틸 아세테이트(n-butyl acetate: n-BA)를 사용하여 수행되고, 상기 네거티브 톤 현상 프로세스는, 상기 유전체 재료 층의 산성에 대해 민감한 상기 포토레지스트 층의 부분들과 상기 유전체 재료 층 사이의 반응의 결과로서, 현상된 네거티브 톤 포토레지스트 층의 바닥에 포토레지스트 스컴을 남기는 것임―를 수행하는 단계; 및
    상기 현상된 네거티브 톤 포토레지스트 층을 용매―상기 용매는 화학물(chemical) 및 첨가물(additive)을 함유함―로 처리함으로써 상기 포토레지스트 스컴을 제거하는 단계
    를 포함하고,
    상기 화학물은, 프로필렌 글리콜 모노메틸 에테르 아세테이트(propylene glycol monomethyl ether acetate: PGMEA) 또는 70/30 농도 퍼센티지를 가지는 프로필렌 글리콜 모노메틸 에테르(PGME)와 PGMEA의 혼합물을 함유하고,
    상기 첨가물은, 아민 파생물(amine derivatives) 또는 트리페닐술포늄(triphenylsulfonium: TPS) 염 파생물을 함유하는 것인,
    반도체 디바이스를 제조하는 방법.
KR1020150162375A 2015-03-16 2015-11-19 네거티브 톤 현상 프로세스에서의 리소그래피 개선을 위한 새로운 화학적 처리 KR101861898B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/658,354 US9810990B2 (en) 2015-03-16 2015-03-16 Chemical treatment for lithography improvement in a negative tone development process
US14/658,354 2015-03-16

Publications (2)

Publication Number Publication Date
KR20160111317A KR20160111317A (ko) 2016-09-26
KR101861898B1 true KR101861898B1 (ko) 2018-05-28

Family

ID=56923687

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150162375A KR101861898B1 (ko) 2015-03-16 2015-11-19 네거티브 톤 현상 프로세스에서의 리소그래피 개선을 위한 새로운 화학적 처리

Country Status (4)

Country Link
US (1) US9810990B2 (ko)
KR (1) KR101861898B1 (ko)
CN (1) CN105990104B (ko)
TW (1) TWI578116B (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10520813B2 (en) * 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Extreme ultraviolet photoresist with high-efficiency electron transfer
TWI717829B (zh) * 2019-09-10 2021-02-01 國立交通大學 製造iii-v族半導體裝置的互連件之方法,及iii-v族半導體裝置
EP3893055B1 (en) * 2021-01-18 2022-12-14 Longserving Technology Co., Ltd Method of making a picoscopic scale/ nanoscopicscale circuit pattern

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010243516A (ja) * 2009-03-31 2010-10-28 Fujifilm Corp ネガ型パターン形成方法およびそれに用いられる現像後処理液
JP2010265528A (ja) 2009-05-18 2010-11-25 Tosoh Corp 防食剤及びその用途
US20110165523A1 (en) * 2008-09-16 2011-07-07 Xiaowei Wang Substrate treating solution and method employing the same for treating a resist substrate

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
US8088548B2 (en) * 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
US8455176B2 (en) * 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
JP5639755B2 (ja) 2008-11-27 2014-12-10 富士フイルム株式会社 有機溶剤を含有する現像液を用いたパターン形成方法及びこれに用いるリンス液
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
CN103339569B (zh) * 2011-01-24 2018-12-07 日产化学工业株式会社 包含含有二酮结构的有机基团的含有硅的形成抗蚀剂下层膜的组合物
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
JP6282058B2 (ja) * 2013-08-06 2018-02-21 東京応化工業株式会社 有機溶剤現像液
US9448483B2 (en) * 2014-07-31 2016-09-20 Dow Global Technologies Llc Pattern shrink methods

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110165523A1 (en) * 2008-09-16 2011-07-07 Xiaowei Wang Substrate treating solution and method employing the same for treating a resist substrate
JP2010243516A (ja) * 2009-03-31 2010-10-28 Fujifilm Corp ネガ型パターン形成方法およびそれに用いられる現像後処理液
JP2010265528A (ja) 2009-05-18 2010-11-25 Tosoh Corp 防食剤及びその用途

Also Published As

Publication number Publication date
TWI578116B (zh) 2017-04-11
CN105990104B (zh) 2019-12-13
TW201635048A (zh) 2016-10-01
US9810990B2 (en) 2017-11-07
KR20160111317A (ko) 2016-09-26
CN105990104A (zh) 2016-10-05
US20160274463A1 (en) 2016-09-22

Similar Documents

Publication Publication Date Title
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
US10381481B1 (en) Multi-layer photoresist
CN107203092B (zh) 半导体装置的制作方法
US10747114B2 (en) Blocking layer material composition and methods thereof in semiconductor manufacturing
CN108957959B (zh) 微影图案化的方法
US20200333710A1 (en) Underlayer Material for Photoresist
TW201701086A (zh) 光刻技術顯影成分及用於光刻技術圖案化之方法
KR101861898B1 (ko) 네거티브 톤 현상 프로세스에서의 리소그래피 개선을 위한 새로운 화학적 처리
US10520821B2 (en) Lithography process with enhanced etch selectivity
US20170242341A1 (en) Lithography Patterning Technique
KR101786223B1 (ko) 부유 보호제를 갖는 리소그래픽 레지스트
KR101698661B1 (ko) 감광성 재료의 제조 및 이용 방법
US11022885B2 (en) Photosensitive middle layer
US11550220B2 (en) Negative tone photoresist for EUV lithography
TW202136913A (zh) 光阻劑組成物與製造半導體裝置的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant