CN105990104A - 制造一半导体装置的方法 - Google Patents

制造一半导体装置的方法 Download PDF

Info

Publication number
CN105990104A
CN105990104A CN201510860185.8A CN201510860185A CN105990104A CN 105990104 A CN105990104 A CN 105990104A CN 201510860185 A CN201510860185 A CN 201510860185A CN 105990104 A CN105990104 A CN 105990104A
Authority
CN
China
Prior art keywords
negative type
photoresist layer
type photoresist
layer
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510860185.8A
Other languages
English (en)
Other versions
CN105990104B (zh
Inventor
赖韦翰
张庆裕
林进祥
吴承翰
王筱姗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN105990104A publication Critical patent/CN105990104A/zh
Application granted granted Critical
Publication of CN105990104B publication Critical patent/CN105990104B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明涉及一种制造一半导体装置的方法。该方法包括:形成一材料层于一基板之上;形成一负型光致抗蚀剂层于该材料层之上;对该负型光致抗蚀剂层执行一曝光工艺;对该负型光致抗蚀剂层执行一曝光后烘烤工艺;在该曝光工艺与该曝光后烘烤工艺之后,以一溶剂来处理该负型光致抗蚀剂层;该溶剂包含具有一大于正乙酸丁酯的偶极矩的一化学品。

Description

制造一半导体装置的方法
技术领域
本发明涉及一种制造一半导体装置的方法。
背景技术
半导体集成电路(integrated circuit,IC)产业已经历快速成长。在集成电路材料与设计中的技术进展已产生于其中各世代具有相较于先前世代的更小且更复杂的电路的集成电路世代。然而,这些进展已增加了加工与制造集成电路的复杂度,且对于这些要被实现的进展而言,相似的发展在集成电路加工与制造中被需要。在集成电路发展的进程中,功能密度(functional density)(即,每晶片面积内连装置(interconnected devices)的数目)已广泛地增加,而几何尺寸(geometry size)(即,使用一制造工艺可被产生的最小的构件(或线))已减小。
由于半导体元件尺寸持续缩小,例如,低于20纳米(nanometer,nm)节点(nodes),负型显影(negative tone development,NTD)工艺可被需要以达成小的装置尺寸。然而,即使是负型显影工艺可仍具有关于景深(depth of focus,DOF)、线宽粗糙度(line width roughness,LWR)或残渣(scum)的缺点。这些问题降低了微影(lithography)功效且可导致降低的产率或甚至装置故障。
因此,尽管现存负型显影工艺已被广泛地用于它们所预期的用途,但它们在各方面并非完全令人满意。
发明内容
本发明提供一种制造一半导体装置的方法,包括:形成一材料层于一基板之上;涂布一负型光致抗蚀剂层(negative tone photoresist layer)于该材料层之上;对该负型光致抗蚀剂层执行一曝光工艺;对该负型光致抗蚀剂层执行一曝光后烘烤(post exposure bake,PEB)工艺;以及在该曝光工艺与该曝光后烘烤工艺之后,以一溶剂来处理该负型光致抗蚀剂层,其中该溶剂包含具有一大于正乙酸丁酯(n-butyl acetate,n-BA)的偶极矩(dipole moment)的一化学品。
本发明还提供一种制造一半导体装置的方法,包括:形成一可图案化层于一基板之上;形成一负型光致抗蚀剂层于该可图案化层之上;对该负型光致抗蚀剂层执行一曝光工艺;对该负型光致抗蚀剂层执行一曝光后烘烤(PEB)工艺;对该负型光致抗蚀剂层执行一负型显影工艺(negative tone developingprocess),其中使用正乙酸丁酯(n-BA)为一显影剂来执行该负型显影工艺;以及对该负型光致抗蚀剂层执行一化学处理工艺,其中该化学处理工艺包括提供具有一大于约1.9D的偶极矩的一化学品,其中该化学品包含丙二醇甲醚醋酸酯(PGMEA)或是丙二醇甲醚(PGME)与丙二醇甲醚醋酸酯(PGMEA)的组合,且其中在该负型显影工艺之前、之期间或之后来执行该化学处理工艺。
本发明还提供一种制造一半导体装置的方法,包括:形成一材料层于一基板之上;涂布一负型光致抗蚀剂层于该材料层之上;对该负型光致抗蚀剂层执行一曝光工艺;对该负型光致抗蚀剂层执行一曝光后烘烤(PEB)工艺;对该负型光致抗蚀剂层执行一负型显影工艺,其中使用正乙酸丁酯(n-BA)为一显影剂来执行该负型显影工艺;以及以一溶剂来处理该负型光致抗蚀剂层,其中该溶剂包含一化学品与一添加剂,其中:该化学品包含丙二醇甲醚醋酸酯(PGMEA)或具有约一70/30浓度百分比的丙二醇甲醚(PGME)与丙二醇甲醚醋酸酯(PGMEA)的混合物,且该添加剂包含胺类(amine)衍生物或三苯基硫(triphenylsulfonium,TPS)盐类衍生物。
附图说明
图1-图4为根据本发明一些实施例的一半导体装置在制造的各种阶段的概略剖面图。
图5为一流程图,其图解说明根据本发明的一些实施例的制造一半导体装置的方法。
其中,附图标记说明如下:
35~半导体装置;
40~基板;
50~材料层;
60~光致抗蚀剂层;
60A~光致抗蚀剂层60的部分;
70~光掩模;
80~紫外光;
90~光掩模70的不透明部分;
100~光掩模70的透明区域;
110~曝光后烘烤工艺;
120~显影工艺;
130~光致抗蚀剂残渣;
140~化学处理工艺;
200~方法;
210、220、230、240、250、260~步骤
具体实施方式
以下的公开内容提供许多不同的实施例或范例以实施本案的不同特征。以下的公开内容叙述各个构件及其排列方式的特定范例,以简化说明。当然,这些特定的范例并非用以限定。例如,若是本公开书叙述了一第一特征形成于一第二特征之上或上方,即表示其可能包含上述第一特征与上述第二特征是直接接触的实施例,亦可能包含了有附加特征形成于上述第一特征与上述第二特征之间,而使上述第一特征与第二特征可能未直接接触的实施例。另外,以下公开书不同范例可能重复使用相同的参考符号及/或标记。这些重复是为了简化与清晰的目的,并非用以限定所讨论的不同实施例及/或结构之间有特定的关系。
此外,其与空间相关用词。例如“在…下方”、“下方”、“较低的”、“上方”、“较高的”及类似的用词,是为了便于描述图示中一个元件或特征与另一个(些)元件或特征之间的关系。除了在附图中绘示的方位外,这些空间相关用词意欲包含使用中或操作中的装置的不同方位。装置可能被转向不同方位(旋转90度或其他方位),则在此使用的空间相关词也可依此相同解释。
随着半导体工业维持缩小装置尺寸(例如,低于20纳米节点(nodes)),传统正型显影(positive tone development,PTD)工艺面临关于光学限制的挑战,其可导致不佳的解析度。因此,负型显影(negative tone development,NTD)工艺已被用来图案化特定半导体元件,例如接触孔或沟槽。对于负型显影工艺而言,通过亮视野掩模(bright filed mask)应用,可达成一充分良好的光学影像。然而,尽管负型显影工艺的光微影(lithography)性能通常相较于正型显影工艺来的较佳,但负型显影工艺可能仍具有某些缺点。例如,负型显影工艺可能遭受由于不符要求的基脚(footing)与锥形轮廓(tapper profile)的窄景深(depth of focus,DOF)问题。如同另一个例子,负型显影工艺可能导致由于大粒径(grain sizes)的不佳的线宽粗糙度(line width roughness,LWR)。如同又另一例子,负型显影工艺也可能导致太多会被产生的渣滓,其起因于具不佳的光学对比(optical contrast)的光致抗蚀剂区域对于来自其下掩模层(例如,Si硬掩模(Si hard mask,Si-HM))的增加的酸度太敏感。
为了克服上方讨论的关于负型显影工艺的这些问题,本发明提供一新颖的光致抗蚀剂的化学处理作为一经改善的负型显影工艺的一部分。将于以下伴随参照图1-图5,更详细讨论本发明的各方面。
图1-图4为根据本发明各方面的一半导体装置35在制造的各种阶段的概略不完全剖面图。半导体装置35可包括一集成电路(integrated circuit,IC)晶片、系统晶片(system on chip,SoC),或其部分,且可包括各种无源与有源微电装置,例如,电阻器、电容器、电感器(inductor)、二极管、金属氧化半导体场效晶体管(metal-oxide semiconductor field effect transistors,MOSFET)、互补金氧半导体(complementary metal-oxide semiconductor,CMOS)晶体管、双载子接面晶体管(bipolar junction transistors,BJT)、横向扩散金氧半导体(laterally diffused MOS,LDMOS)晶体管、高功率金氧半导体(high power MOS)晶体管,或其他类型的晶体管。
参见图1,一半导体装置35包括一基板40。在一些实施例中,基板40为一硅基板,掺杂有一p-型掺杂物,例如硼(例如,一p-型基板)。或者,基板40可为另一适合的半导体材料。例如,基板40可为一硅基板,其掺杂有一n-型掺杂物,例如磷或砷(一n-型基板)。基板40可包括其他示例的半导体,例如,锗与钻石。基板40可视需要而定包括一化合物半导体(compound semiconductor)及/或一合金半导体(alloy semiconductor)。又,基板40可包括一磊晶层(epitaxial layer,epi layer)、可为了性能增加而被伸张,且可包括一绝缘层上硅晶(silicon-on-insulator,SOI)结构。
在一些实施例中,基板40为实质上导电的或半导电的(semi-conductive)。电阻可为低于约103 ohm-meter。在一些实施例中,基板40包含金属、金属合金,或具有通式MXa的金属氮化物/硫化物/硒化物/氧化物/硅化物,其中M为一金属,而X为N、S、Se、O、Si,且其中“a”为在从约0.4至2.5的一范围中。例如,基板40可包含Ti、Al、Co、Ru、TiN、WN2或TaN。
在一些其他实施例中,基板40包含具有一介电常数在从约1至约40的范围中的一介电材料。在一些其他实施例中,基板40包含Si、金属氧化物,或金属氮化物,其中通式为MXb,其中,M为一金属或Si,而X为N或O,且其中“b”为在从约0.4至2.5的一范围中。例如,基板40可包含SiO2、氮化硅、氧化铝、氧化铪(hafnium oxide),或氧化镧(lanthanum oxide)。
一材料层50形成于基板40之上。材料层50可通过一光微影工艺被图案化,且因此可被意指为一可图案化层。可以理解的是,材料层50可作为一硬掩模,在其自己的图案已被一经图案化光致抗蚀剂(要被形成于其上)所定义之后,其可被使用来将下方的层图案化。因此,材料层50可被意指为一Si硬掩模(Si-HM)。在一实施例中,材料层50包括一介电材料,例如,氧化硅或氮化硅。在另一实施例中,材料层50包括金属。在又另一实施例中,材料层50包括一半导体材料。
在一些实施例中,材料层50相较于光致抗蚀剂具有不同的光学特性。例如,材料层50具有不同于光致抗蚀剂的n、k或T值。在一些实施例中,材料层50包括不同的聚合物结构、酸敏感分子(acid labile molecule)、PAG(光酸发生剂(photo acid generator))载入、猝熄剂(quencher)载入、发色团(chromophore)、交联剂(cross linker)或溶剂的至少一个,其导致不同于光致抗蚀剂的n值。在一些实施例中,材料层50与光致抗蚀剂具有不同的蚀刻抗性(etching resistance)。在一些实施例中,材料层50包含一蚀刻抗性分子(etching resistant molecule)。此分子包括低的大西数(onishi number)结构、双键、三键、硅、氮化硅、Ti、TiN、Al、氧化铝、SiON或其组合。
可以理解的是,在其他实施例中,基板40与材料层50可各包括额外的适合的材料组成。也可以理解的是,额外的层可被形成于基板40与材料层50之间,但由于简化的原因,于此它们并未被图解说明。
一光致抗蚀剂层60形成于材料层50之上。光致抗蚀剂层60包括一负型光致抗蚀剂(也意指为负光致抗蚀剂(negative photoresist))。相较于一正型光致抗蚀剂,其中光暴露于光的光致抗蚀剂的部分变成可溶于一显影剂溶液,暴露于光的负型光致抗蚀剂的部分则是维持不溶于显影剂溶液。在一些实施例中,光致抗蚀剂层60可通过一旋转涂布(spin-coating)工艺来形成。光致抗蚀剂层60可包含成分,例如,一聚合物、光酸发生剂(PAG)、溶剂、猝熄剂、发色团、介面活性剂(surfactant)、交联剂等。
执行一曝光工艺(exposure process)以露出所要求的光致抗蚀剂层60的部分。作为曝光工艺的一部份,一光掩模70(或一光标(reticle))被定位于光致抗蚀剂层60上。如同一发光源,照射(radiation),例如紫外(ultraviolet,UV)光80,从上方被投射向光掩模70。在一些实施例中,紫外光具有一照射波长实质上小于250nm且包括KrF、ArF、EUV或E-光束(beam)至少一个。
光掩模70具有一不透明部分90,其会阻挡照射,例如,紫外光80。介于不透明部分90之间的是透明区域100,其允许紫外光80传导穿过至光致抗蚀剂层60,且使下方的光致抗蚀剂层60的部分60A曝光。由于紫外光曝光,部分60A变成经交联/经聚合的,且更难溶于一显影剂溶液中。
现在参见图2,在曝光工艺后,对半导体装置35执行一曝光后烘烤(postexposure bake)工艺110。在一些实施例中,可将曝光后烘烤工艺110在介于约100℃至约120℃之间的一温度范围执行数分钟。曝光后烘烤工艺110起催化作用地执行并完成在曝光工艺期间起始于光致抗蚀剂层60内部的光反应。曝光后烘烤工艺110也帮助将溶剂从光致抗蚀剂层60移除。因此,改善了光致抗蚀剂层60的黏附性与蚀刻抗性。
现在参见图3,执行一显影工艺120以使光致抗蚀剂层60显影。将一显影剂溶液提供至光致抗蚀剂层60当作显影工艺120的一部份。在一些实施例中,显影剂溶液包括正乙酸丁酯(n-butyl acetate,n-BA)。如于图3中所示,显影剂溶液洗掉光致抗蚀剂层60未曝光于紫外光80的部分,但光致抗蚀剂层60曝光于紫外光80的部分60A仍然维持。
然而,光致抗蚀剂残渣(photoresist scum)130(也意指为光致抗蚀剂残留物(residue)或遮蔽物(blind))可仍然维持于光致抗蚀剂部分60A的底部。光致抗蚀剂残渣130的存在可能起因于材料层50(即,Si-HM)的增加的酸度。在更加详述中,在一负型显影(NTD)工艺中,增加材料层50的酸度以改善底切轮廓(undercut profile)问题(底切轮廓问题由光致抗蚀剂底部区域的不适当极性改变所导致)。不幸地,具有不佳的光学对比的一些光致抗蚀剂区域为对于来自材料层50的酸太过敏感。这些区域可能之后产生如图3中所示的光致抗蚀剂残渣130。若未移除,则光致抗蚀剂残渣130可能不利地影响材料层50的图案化准确性。换句话说,由于光致抗蚀剂残渣130的存在,材料层50可能无法达到其所要求的图案形状。
为了促进光致抗蚀剂残渣130的移除,本发明对光致抗蚀剂残渣130提供一化学处理工艺140,如图4中所示。使用一溶剂(或化学品)来冲洗光致抗蚀剂残渣130作为化学处理工艺140的一部分。溶剂具有高极性。在一些实施例中,溶剂具有一高于正乙酸丁酯的偶极矩(dipole moment),例如,溶剂的偶极矩可大于约1.9D。溶剂为一化学交互作用力(chemical interactionforce),其能够移除光致抗蚀剂残渣130及/或消除上方讨论的负型显影(NTD)工艺的其他问题,例如,景深(DOF)扩大、减缩的末端至末端距离(end-to-enddistance)或线宽粗糙度(LWR)。
可以理解的是,溶剂可为一纯溶剂或具有正乙酸丁酯的一共溶剂(co-solvent)。在其中溶剂为具有正乙酸丁酯的一共溶剂中的实施例中,在结合的溶剂中的正乙酸丁酯比例大于约10%,否则,光致抗蚀剂部分60A可能被至少部分溶解,其为不想要的,由于其也可不利地影响材料层50的随后的图案化。也可以理解的是,若溶剂为具有正乙酸丁酯的一共溶剂,则它们可在一光致抗蚀剂涂布工具(例如,涂布机(track))被混合在一起。换句话说,溶剂的化学材料并不须与正乙酸丁酯预先混合。反而是,在半导体装置35的实际制造期间,通过使用用于提供显影剂溶液的一标准涂布工具,可将溶剂的化学材料与正乙酸丁酯混合。通过涂布工具,或以涂布方法,可以控制溶剂与正乙酸丁酯的混合比例。在此方式中,本发明并不需要复杂的额外的制造步骤,由于可以轻易地利用现存的制造设备(例如,于此的涂布工具/涂布机)。
根据本发明的各方面,具有对于溶剂的各种设计。在一第一设计中,溶剂包含化学品A。在一些实施例中,化学品A为丙二醇甲醚(propylene glycolmonomethyl ether,PGME)。在其他实施例中,化学品A为OK73,其为约70%丙二醇甲醚与约30%丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA)。换句话说,丙二醇甲醚/丙二醇甲醚醋酸酯在OK73中具有一70/30浓度百分比。化学品A可以溶解(并藉此移除)光致抗蚀剂残渣130。
在一第二设计中,溶剂包含化学品B,其包括上方所讨论的化学品A加上添加至其的一添加剂。换个方式陈述,化学品B为化学品A与添加剂的一混合物。在更加详述中,尽管上方所讨论的化学品A可以充分地溶解光致抗蚀剂残渣130,但化学品A的高极性可能导致不想要的光致抗蚀剂薄膜厚度损失。为了减轻此疑虑,于此添加添加剂作为化学品B的一部分,以减少光致抗蚀剂薄膜损失且增加对比。
在一些实施例中,添加剂包括胺类(amine)衍生物NR1R2R3,其中R1、R2、R3可为相同或不同材料。R1、R2与R3可各代表一氢原子、一烷基(例如,具有1至20的碳数的一烷基)、一环烷基(例如,具有3至20的碳数的一环烷基),或一芳基(例如,具有6至20的碳数的一芳基)。在一些实施例中,R2与R3可与彼此结合以形成一环。在一些实施例中,具有一取代基的烷基可为具有1至20的碳数的一胺烷基(aminoalkyl group)、具有1至20的碳数的一羟烃基(hydroxylalkyl group),或具有1至20的碳数的一氰烷基(cyanoalkyl group)。在一些实施例中,关于添加剂的结构包括胍(guanidine)、氨基吡咯烷(aminopyrrolidine)、吡唑(pyrazole)、吡唑啉(pyazoline)、哌嗪(piperazine)、氨基吗啉(aminomorpholine)、氨基烷基吗啉(aminialkylmorpholine)或哌啶(piperidine)。在一些实施例中,于化学品B中的添加剂也可为光敏感的(photo-sensitive)或热敏感的(thermal-sensitive)以改变其pH值。
在一第三设计中,溶剂包含化学品C。相似于化学品B,化学品C包括一添加剂,其与化学品A混合以减轻光致抗蚀剂薄膜厚度损失的疑虑。然而,与化学品B不同,化学品C并未包含胺类衍生物NR1R2R3,而是包含三苯基硫(triphenylsulfonium,TPS)盐类衍生物。在一些实施例中,在化学品C中的添加剂也可为光敏感的或热敏感的以改变其pH值。
可以理解的是,第二设计(化学品B)或第三设计(化学品C)为是需要而定,且它们可以不被需要,若第一设计(化学品A)具有移除光致抗蚀剂残渣130的能力而不会降解通过光致抗蚀剂部分60A所形成的所需的光致抗蚀剂图案的话。不论对于溶剂使用哪个设计,最后结果为光致抗蚀剂残渣130被化学处理工艺140移除,而所需的光致抗蚀剂部分60A仍然维持。
可以理解的是,一些标准光微影工艺可被执行,但于此并未被特别讨论,由于明确与简化的原因。例如,在显影工艺120之后,但在化学处理工艺140之前,可执行一硬烘烤(hard bake process)工艺。此外,关于图1-图4的于上方所讨论的工艺流程显示,在使用正乙酸丁酯为一显影剂的“标准”显影工艺120之后,执行化学处理工艺140。然而,可以理解的是,于其中工艺120与140被执行的顺序并非关键性的。在一些替代实施例中,可在显影工艺120之前执行化学处理工艺140。在一些其他替代实施例中,也可一起或同时来执行工艺120与140。换言之,溶剂(使用化学品A、化学品B或化学品C的任一个)与正乙酸丁酯显影剂可同时被提供至光致抗蚀剂层60。
在执行显影工艺120与化学处理工艺140之后,可使用经图案化的光致抗蚀剂为一掩模来执行随后的图案化工艺。例如,可将材料层50(例如,Si-HM)图案化成一掩模层,以更进一步图案化其下方之层。通过本技术领域已知的光致抗蚀剂移除工艺,例如一剥离(stripping)或一灰化(ashing)工艺,可移除经图案化的光致抗蚀剂。
图5为根据本发明的各方面的形成一半导体图案的一方法200的一流程图。方法200可被执行为一微影工艺的一部分。
方法200包括形成一可图案化层于一基板的上的一步骤210。在一些实施例中,基板为实质上导电的或半导电的。在一些实施例中,基板包含金属、金属合金,或具有通式MXa的金属氮化物/硫化物/硒化物/氧化物/硅化物,其中M为金属,而X为N、S、Se、O、Si,且其中“a”为在从约0.4至2.5的一范围中。例如,基板40可包含Ti、Al、Co、Ru、TiN、WN2或TaN。在一些其他实施例中,基板包含具有一介电常数在从约1至约40的范围中的一介电材料。在一些其他实施例中,基板包含Si、金属氧化物,或金属氮化物,其中通式为MXb,其中,M为一金属或Si,而X为N或O,且其中“b”为在从约0.4至2.5的一范围中。例如,基板可包含SiO2、氮化硅、氧化铝、氧化铪,或氧化镧。
形成于基板的上的可图案化层相较于光致抗蚀剂具有不同的光学特性。例如,此层具有不同于光致抗蚀剂的n、k或T值。在一些实施例中,此层包括不同的聚合物结构、酸敏感分子、PAG(光酸发生剂)载入、猝熄剂载入、发色团、交联剂或溶剂的至少一个,其导致不同于光致抗蚀剂的n值。在一些实施例中,此层与光致抗蚀剂具有不同的蚀刻抗性。在一些实施例中,此层包含一蚀刻抗性分子。此分子包括低的大西数(onishi number)结构、双键、三键、硅、氮化硅、Ti、TiN、Al、氧化铝、SiON或其组合。可以理解的是,额外的层可被形成于可图案化层与基板之间。
方法200包括涂布一负型光致抗蚀剂层于可图案化层上的一步骤220。
方法200包括对负型光致抗蚀剂层执行一曝光工艺的一步骤230。
方法200包括对负型光致抗蚀剂层执行一曝光后烘烤(PEB)工艺的一步骤240。
方法200包括对负型光致抗蚀剂层执行一负型显影工艺的一步骤250。在一些实施例中,使用正乙酸丁酯为一显影剂来执行负型显影工艺。
方法200包括对负型光致抗蚀剂层执行一化学处理工艺的一步骤260。化学处理工艺包括提供具有一大于约1.9D的偶极矩的一化学品。化学品包括丙二醇甲醚醋酸酯(propylene glycol monomethyl ether acetate,PGMEA)或丙二醇甲醚与(PGME)与丙二醇甲醚醋酸酯(PGMEA)的组合(例如,OK73)。
在一些实施例中,步骤260的化学品被提供为一溶剂的一部分,其中溶剂也可包括正乙酸丁酯。于溶剂中的正乙酸丁酯的比例为大于约10%。
在一些实施例中,步骤260的化学品还包含一添加剂。添加剂可包括胺类衍生物NR1R2R3。R1、R2与R3可各代表一氢原子、一烷基(例如,具有1至20的碳数的一烷基)、一环烷基(例如,具有3至20的碳数的一环烷基),或一芳基(例如,具有6至20的碳数的一芳基)。在一些实施例中,R2与R3可与彼此结合以形成一环。在一些实施例中,具有一取代基的烷基可为具有1至20的碳数的一胺烷基、具有1至20的碳数的一羟烃基,或具有1至20的碳数的一氰烷基。在一些实施例中,关于添加剂的结构包括胍、氨基吡咯烷、吡唑、吡唑啉、哌嗪、氨基吗啉、氨基烷基吗啉或哌啶。
在其他实施例中,添加剂也可包括三苯基硫(TPS)盐类衍生物。
在一些实施例中,添加剂也可为光敏感的或热敏感的以改变其pH值。
可以理解的是,步骤250与260不须被依序执行。在一些实施例中,在于步骤260中的化学处理工艺之前,执行于步骤250中的负型显影工艺。在一些其他实施例中,在于步骤260中的化学处理工艺之后,执行于步骤250中的负型显影工艺。在又其他实施例中,可一起或同时执行于步骤250中的负型显影工艺与于步骤260中的化学处理工艺。
也可以理解的是,可在方法200的步骤210-260之前,之期间或之后执行额外的工艺,以完成半导体装置的制造。例如,方法200可包括额外的工艺以将可图案化层图案化,并使用可图案化层为一掩模以图案化其下方的层。如同其他例子,通过使用具有一第一波长的一照射可实行于此讨论的曝光工艺,而之后光致抗蚀剂可被具有一第二波长的照射来曝光(例如,为一双重图案化工艺的一部分)。为了简化的理由,这些额外的步骤并未于此被详细讨论。
根据上方的讨论,可看到本发明提供超过常见方法的优点。然而。可以理解的是,其他实施例可提供额外的优点,且并非所有的优点需要于此揭示,又对于所有实施例而言,并未要求特定优点。一个优点为,于上方讨论的化学处理工艺能够充分并有效地移除所产生的光致抗蚀剂残渣为一负型显影工艺的一部分。因此,光致抗蚀剂图案具有一较干净且准确定义的轮廓,且困扰负型显影工艺的问题,例如,窄景深(DOF)、不佳的线宽粗糙度(LWR)等可消失。此允许负型显影微影达到较小的装置尺寸。另一优点为,于化学处理工艺中所使用的溶剂可经由现存的涂布工具来提供。因此,本发明的工艺与现存工艺流程相容且并未显著增加制造成本或时间。
本发明的一实施例关于一种制造一半导体装置的方法。形成一材料层于一基板之上。涂布一负型光致抗蚀剂层于材料层之上。对负型光致抗蚀剂层执行一曝光工艺。对负型光致抗蚀剂层执行一曝光后烘烤(PEB)工艺。在曝光工艺与曝光后烘烤工艺之后,以一溶剂来处理负型光致抗蚀剂层。溶剂包含具有一大于正乙酸丁酯(n-BA)的偶极矩的一化学品。
本发明另一实施例关于一种制造一半导体装置的方法。形成一可图案化层于一基板之上。形成一负型光致抗蚀剂层于可图案化层之上。对负型光致抗蚀剂层执行一曝光工艺。对负型光致抗蚀剂层执行一曝光后烘烤(PEB)工艺。对负型光致抗蚀剂层执行一负型显影工艺。使用正乙酸丁酯(n-BA)为一显影剂来执行负型显影工艺。对负型光致抗蚀剂层执行一化学处理工艺。化学处理工艺包括提供具有一大于约1.9D的偶极矩的一化学品。化学品包含丙二醇甲醚醋酸酯(propylene glycol monomethyl ether acetate,PGMEA)或丙二醇甲醚与(PGME)与丙二醇甲醚醋酸酯(PGMEA)的组合。可在负型显影工艺之前,之期间或之后来执行化学处理步骤。
本发明又另一实施例关于一种制造一半导体装置的方法。形成一材料层于一基板之上。涂布一负型光致抗蚀剂层于材料层之上。对负型光致抗蚀剂层执行一曝光工艺。对负型光致抗蚀剂层执行一曝光后烘烤(PEB)工艺。对负型光致抗蚀剂层执行一负型显影工艺。使用正乙酸丁酯(n-BA)为一显影剂来执行负型显影工艺。以一溶剂来处理负型光致抗蚀剂层。溶剂包含一化学品与一添加剂。化学品包含丙二醇甲醚醋酸酯(PGMEA)或是具有约一70/30浓度百分比的丙二醇甲醚与(PGME)与丙二醇甲醚醋酸酯(PGMEA)的混合物。添加剂包含胺类衍生物或三苯基硫(PS)盐类衍生物。
前述内文概述了许多实施例的特征,使本领域技术人员可以从各个方面更佳地了解本公开。本领域技术人员应可理解,且可轻易地以本公开为基础来设计或修饰其他工艺及结构,并以此达到相同的目的及/或达到与在此介绍的实施例等相同的优点。本领域技术人员也应了解这些相等的结构并未背离本公开的发明精神与范围。在不背离本公开的发明精神与范围的前提下,可对本公开进行各种改变、置换或修改。

Claims (10)

1.一种制造一半导体装置的方法,包括:
形成一材料层于一基板之上;
涂布一负型光致抗蚀剂层于该材料层之上;
对该负型光致抗蚀剂层执行一曝光工艺;
对该负型光致抗蚀剂层执行一曝光后烘烤工艺;以及
在该曝光工艺与该曝光后烘烤工艺之后,以一溶剂来处理该负型光致抗蚀剂层,其中该溶剂包含具有一大于正乙酸丁酯的偶极矩的一化学品。
2.如权利要求1所述的制造一半导体装置的方法,其中该化学品包括丙二醇甲醚与丙二醇甲醚醋酸酯的至少一个。
3.如权利要求1所述的制造一半导体装置的方法,其中该溶剂还包含正乙酸丁酯,其中该正乙酸丁酯于该溶剂中的比例为大于10%。
4.如权利要求1所述的制造一半导体装置的方法,其中该溶剂还包含一添加剂。
5.如权利要求4所述的制造一半导体装置的方法,其中该添加剂包括胺类衍生物NR1R2R3,其中R1、R2与R3各代表一氢原子、一烷基、一环烷基,或一芳基。
6.如权利要求5所述的制造一半导体装置的方法,其中R2与R3与彼此结合以形成一环。
7.如权利要求4所述的制造一半导体装置的方法,其中该添加剂包括三苯基硫盐类衍生物。
8.如权利要求4所述的制造一半导体装置的方法,还包括:
对该负型光致抗蚀剂层执行一负型显影工艺,其中使用正乙酸丁酯为一显影剂来执行该负型显影工艺。
9.一种制造一半导体装置的方法,包括:
形成一可图案化层于一基板之上;
形成一负型光致抗蚀剂层于该可图案化层之上;
对该负型光致抗蚀剂层执行一曝光工艺;
对该负型光致抗蚀剂层执行一曝光后烘烤工艺;
对该负型光致抗蚀剂层执行一负型显影工艺,其中使用正乙酸丁酯为一显影剂来执行该负型显影工艺;以及
对该负型光致抗蚀剂层执行一化学处理工艺,其中该化学处理工艺包括提供具有一大于1.9D的偶极矩的一化学品,其中该化学品包含丙二醇甲醚醋酸酯或是丙二醇甲醚与丙二醇甲醚醋酸酯的组合,且其中在该负型显影工艺之前、之期间或之后来执行该化学处理工艺。
10.一种制造一半导体装置的方法,包括:
形成一材料层于一基板之上;
涂布一负型光致抗蚀剂层于该材料层之上;
对该负型光致抗蚀剂层执行一曝光工艺;
对该负型光致抗蚀剂层执行一曝光后烘烤工艺;
对该负型光致抗蚀剂层执行一负型显影工艺,其中使用正乙酸丁酯为一显影剂来执行该负型显影工艺;以及
以一溶剂来处理该负型光致抗蚀剂层,其中该溶剂包含一化学品与一添加剂,
其中:
该化学品包含丙二醇甲醚醋酸酯或具有一70/30浓度百分比的丙二醇甲醚与丙二醇甲醚醋酸酯的混合物,且
该添加剂包含胺类衍生物或三苯基硫盐类衍生物。
CN201510860185.8A 2015-03-16 2015-11-30 制造一半导体装置的方法 Active CN105990104B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/658,354 US9810990B2 (en) 2015-03-16 2015-03-16 Chemical treatment for lithography improvement in a negative tone development process
US14/658,354 2015-03-16

Publications (2)

Publication Number Publication Date
CN105990104A true CN105990104A (zh) 2016-10-05
CN105990104B CN105990104B (zh) 2019-12-13

Family

ID=56923687

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510860185.8A Active CN105990104B (zh) 2015-03-16 2015-11-30 制造一半导体装置的方法

Country Status (4)

Country Link
US (1) US9810990B2 (zh)
KR (1) KR101861898B1 (zh)
CN (1) CN105990104B (zh)
TW (1) TWI578116B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112563191A (zh) * 2019-09-10 2021-03-26 财团法人交大思源基金会 制造iii-v族半导体装置的互连件的方法及iii-v族半导体装置

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10520813B2 (en) * 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Extreme ultraviolet photoresist with high-efficiency electron transfer
EP3893055B1 (en) * 2021-01-18 2022-12-14 Longserving Technology Co., Ltd Method of making a picoscopic scale/ nanoscopicscale circuit pattern

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101835735A (zh) * 2007-10-23 2010-09-15 Az电子材料美国公司 底部抗反射涂料组合物
JP2010243516A (ja) * 2009-03-31 2010-10-28 Fujifilm Corp ネガ型パターン形成方法およびそれに用いられる現像後処理液
JP2010265528A (ja) * 2009-05-18 2010-11-25 Tosoh Corp 防食剤及びその用途
US20110165523A1 (en) * 2008-09-16 2011-07-07 Xiaowei Wang Substrate treating solution and method employing the same for treating a resist substrate
US20110229832A1 (en) * 2008-11-27 2011-09-22 Fujifilm Corporation Pattern forming method using developer containing organic solvent and rinsing solution for use in the pattern forming method
CN102209937A (zh) * 2008-11-12 2011-10-05 Az电子材料美国公司 涂料组合物
CN103339569A (zh) * 2011-01-24 2013-10-02 日产化学工业株式会社 包含含有二酮结构的有机基团的含有硅的形成抗蚀剂下层膜的组合物
US20150044617A1 (en) * 2013-08-06 2015-02-12 Tokyo Ohka Kogyo Co., Ltd. Method for forming resist pattern

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US9448483B2 (en) * 2014-07-31 2016-09-20 Dow Global Technologies Llc Pattern shrink methods

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101835735A (zh) * 2007-10-23 2010-09-15 Az电子材料美国公司 底部抗反射涂料组合物
US20110165523A1 (en) * 2008-09-16 2011-07-07 Xiaowei Wang Substrate treating solution and method employing the same for treating a resist substrate
CN102209937A (zh) * 2008-11-12 2011-10-05 Az电子材料美国公司 涂料组合物
US20110229832A1 (en) * 2008-11-27 2011-09-22 Fujifilm Corporation Pattern forming method using developer containing organic solvent and rinsing solution for use in the pattern forming method
JP2010243516A (ja) * 2009-03-31 2010-10-28 Fujifilm Corp ネガ型パターン形成方法およびそれに用いられる現像後処理液
JP2010265528A (ja) * 2009-05-18 2010-11-25 Tosoh Corp 防食剤及びその用途
CN103339569A (zh) * 2011-01-24 2013-10-02 日产化学工业株式会社 包含含有二酮结构的有机基团的含有硅的形成抗蚀剂下层膜的组合物
US20150044617A1 (en) * 2013-08-06 2015-02-12 Tokyo Ohka Kogyo Co., Ltd. Method for forming resist pattern

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112563191A (zh) * 2019-09-10 2021-03-26 财团法人交大思源基金会 制造iii-v族半导体装置的互连件的方法及iii-v族半导体装置
CN112563191B (zh) * 2019-09-10 2024-05-28 财团法人交大思源基金会 制造iii-v族半导体装置的互连件的方法及iii-v族半导体装置

Also Published As

Publication number Publication date
TWI578116B (zh) 2017-04-11
CN105990104B (zh) 2019-12-13
TW201635048A (zh) 2016-10-01
US9810990B2 (en) 2017-11-07
KR20160111317A (ko) 2016-09-26
US20160274463A1 (en) 2016-09-22
KR101861898B1 (ko) 2018-05-28

Similar Documents

Publication Publication Date Title
US20240045327A1 (en) Extreme ultraviolet photolithography method with developer composition
KR102364318B1 (ko) Beol 패턴 커팅 및 플러깅을 위한 노출 활성화된 화학적으로 증폭된 dsa
CN102034754B (zh) 集成电路装置的制造方法及光致抗蚀剂去除组成物
US11378884B2 (en) Extreme ultraviolet photoresist and method
CN108957959B (zh) 微影图案化的方法
US11774855B2 (en) Lithography patterning technique
US9766545B2 (en) Methods for small trench patterning using chemical amplified photoresist compositions
US20200064740A1 (en) Method and Apparatus of Patterning a Semiconductor Device
US11809080B2 (en) Extreme ultraviolet photoresist with high-efficiency electron transfer
US20180335700A1 (en) Post Development Treatment Method and Material for Shrinking Critical Dimension of Photoresist Layer
TW201937542A (zh) 半導體裝置結構的形成方法
CN105990104A (zh) 制造一半导体装置的方法
KR20210145083A (ko) 포토레지스트 조성물 및 포토레지스트 패턴의 형성 방법
TW202013078A (zh) 半導體裝置的製造方法
US11003076B2 (en) Extreme ultraviolet photoresist and method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant