KR101851414B1 - 반사 방지 코팅 조성물 및 마이크로일렉트로닉 디바이스의 제조 방법 - Google Patents

반사 방지 코팅 조성물 및 마이크로일렉트로닉 디바이스의 제조 방법 Download PDF

Info

Publication number
KR101851414B1
KR101851414B1 KR1020137000173A KR20137000173A KR101851414B1 KR 101851414 B1 KR101851414 B1 KR 101851414B1 KR 1020137000173 A KR1020137000173 A KR 1020137000173A KR 20137000173 A KR20137000173 A KR 20137000173A KR 101851414 B1 KR101851414 B1 KR 101851414B1
Authority
KR
South Korea
Prior art keywords
polymer
group
antireflective coating
moiety
coating composition
Prior art date
Application number
KR1020137000173A
Other languages
English (en)
Other versions
KR20130114071A (ko
Inventor
후이롱 야오
지안 유인
구안양 린
마크 오. 네이서
데이비드 제이. 압달라
Original Assignee
메르크 파텐트 게엠베하
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 메르크 파텐트 게엠베하 filed Critical 메르크 파텐트 게엠베하
Publication of KR20130114071A publication Critical patent/KR20130114071A/ko
Application granted granted Critical
Publication of KR101851414B1 publication Critical patent/KR101851414B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/04Optical elements characterised by the material of which they are made; Optical coatings for optical elements made of organic materials, e.g. plastics
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/04Homopolymers or copolymers of esters
    • C08L33/06Homopolymers or copolymers of esters of esters containing only carbon, hydrogen and oxygen, which oxygen atoms are present only as part of the carboxyl radical
    • C08L33/062Copolymers with monomers not covered by C08L33/06
    • C08L33/066Copolymers with monomers not covered by C08L33/06 containing -OH groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/04Homopolymers or copolymers of esters
    • C08L33/14Homopolymers or copolymers of esters of esters containing halogen, nitrogen, sulfur, or oxygen atoms in addition to the carboxy oxygen
    • C08L33/16Homopolymers or copolymers of esters containing halogen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/24Homopolymers or copolymers of amides or imides
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L61/00Compositions of condensation polymers of aldehydes or ketones; Compositions of derivatives of such polymers
    • C08L61/20Condensation polymers of aldehydes or ketones with only compounds containing hydrogen attached to nitrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L79/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen with or without oxygen or carbon only, not provided for in groups C08L61/00 - C08L77/00
    • C08L79/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C08L79/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • G02B1/111Anti-reflection coatings using layers comprising organic materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0041Photosensitive materials providing an etching agent upon exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Abstract

본 발명은 제1 중합체와 제2 중합체의 혼합물, 및 열적 산 발생제를 포함하는 반사 방지 코팅 조성물로서, 제1 중합체는 하나 이상의 플루오로알콜 부분, 하나 이상의 지방족 히드록실 부분, 및 약 8 내지 약 11의 범위에 있는 pKa를 지닌, 플루오로알콜을 제외한 하나 이상의 산 부분을 포함하고, 제2 중합체는 아미노플라스트 화합물과 하나 이상의 히드록실 기 및/또는 하나 이상의 산 기를 포함하는 화합물과의 반응 생성물인 것인 반사 방지 코팅 조성물에 관한 것이다. 또한, 본 발명은 이미지를 형성하는데 신규한 조성물을 이용하는 방법에 관한 것이다.

Description

반사 방지 코팅 조성물 및 마이크로일렉트로닉 디바이스의 제조 방법{ANTIREFLECTIVE COATING COMPOSITION AND PROCESS FOR MANUFACTURING MICROELECTRONIC DEVICE}
본 발명은 신규한 흡수성 최저부 반사 방지 코팅 조성물(BARC: bottom antireflective coating composition)에 관한 것이다. 이 반사 방지 코팅 조성물은 그 BARC에 소수성의 산성 최상부 층을 제공하기 위해서 선택된 2가지 중합체의 블렌드를 포함한다. 또한, 본 발명은 그 신규한 반사 방지 코팅 위에 코팅된 포토레지스트를 이미지화하는 공정(방법)에 관한 것이다.
포토레지스트 조성물은 컴퓨터 칩 및 집적 회로의 제조에서와 같은 소형화 전자 부품을 제조하는 마이크로리소그래피 공정에서 사용되고 있다. 일반적으로, 그러한 공정에서는, 우선 포토레지스트 조성물로 된 막의 얇은 코팅이 집적 회로를 제조하는데 사용된 규소계 웨이퍼와 같은 기판 재료에 도포된다. 이어서, 그 코팅된 기판은 소성되어 포토레지스트 조성물 내의 임의 용매를 증발시키며 그리고 그 코팅을 기판 재료에 고정시키게 된다. 이어서, 그 기판의 소성되는 코팅된 표면은 방사선에 이미지 방식 노출(image-wise exposure)로 처리하게 된다.
이 방사선 노출은 코팅된 표면의 노출 영역에서 화학적 변환(chemical transformation)을 일으킨다. 가시 광, UV 광, 전자 빔 및 X 선 방사선 에너지는 마이크로리소그래픽 공정에서 오늘날 일반적으로 사용되는 방사선 유형이다. 이러한 이미지 방식 노출 후, 그 코팅된 기판은 현상제 용액에 의해 처리되어 포토레지스트의 방사선 노출 영역 및 비노출 영역을 용해시켜 제거하게 된다.
반도체 디바이스의 소형화에 대한 경향은 방사선의 훨씬 더 짧은 파장에 민감성인 신규한 포토레지스트의 사용을 유도하게 되고, 또한 그러한 소형화와 관련된 어려움을 극복할 수 있는 정교한 다단계 시스템의 이용을 유도하게 된다. 포토리소그래피에서 흡수성 반사 방지 코팅 및 하층은 고 반사성 기판으로부터 나오는 광의 역반사로부터 초래되는 문제들을 줄이는데 사용되고 있다.
포토레지스트의 해상도 및 초점 깊이를 더욱 더 개선하기 위해서, 이머션 리소그래피(immersion lithography)는 DUV 리소그래피 이미지화의 해상도 한계를 연장하는데 이용되는 기법이다. 이머션 리소그래피의 공정은 문헌["Immersion liquids for lithography in deep ultraviolet", Switkes et al., Proceedings of SPIE Vol. 5040 (2003), pages 690-699]에 기술되어 있다.
0.5λ/NA(λ는 노출 방사선의 파장이고, NA(numerical aperture)는 노출용 렌즈의 개구수임) 미만인 피치에서 포토레지스트 필름에 패턴을 형성시키기 위해서, 이용되고 있는 한가지 기법은 이중 패턴화(double patterning)이다. 이중 패턴화는 마이크로일렉트로닉 디바이스에서 포토레지스트 패턴의 밀도를 증가시키는 방법을 제공한다. 전형적으로 이중 패턴화에서 제1 포토레지스트 패턴이 0.5λ/NA 초과의 피치에서 기판 상에 형성되고, 이어서 또다른 단계에서 제2 포토레지스트 패턴이 제1 포토레지스트 패턴 사이에서 제1 패턴과 동일한 피치에서 형성된다. 양자의 이미지는 단일 노출의 절반인 결과로 생성된 피치에 의해 기판에 동시적으로 전사된다.
종래의 단일 층 BARC는 리소그래픽 용도에서 모든 입사각에 대하여 반사율(reflectivity)을 1% 미만으로 제어하는데 적합하지 않고, 여기서 NA는 1.0을 초과한다. 문헌[Abdallah et al., Proceedings of SPIE Vol. 5753 (2005), pages 417-435]이 참조된다. 조정된 n 및 k 값에 의해 등급화된(graded) BARC는 개선된 반사율 제어를 제공한다. 초기에, 그러한 재료는 US 6,297,521에서와 같이 화학 증착(CVD)을 이용하여 제조한 무기 필름을 기초로 하였다. 보다 최근에는, 서로 상 분리되며 그리고 상이한 광학 특성을 갖는 2가지 중합체의 혼합물로부터 하나의 스핀 코팅 조작으로 형성될 수 있는 등급화된 유기 BARC가 기술되어 있다(Brodsky et al, US 7,588,879 B2). 이머션 및 이중 패턴화/이머션 리소그래피는 집적 회로 산업에서 디바이스, 특히 32 nm 피처 이미지화 및 그 초과에 대한 디바이스를 제조하는 가장 유용하고 중요한 기술들 중 일부이다. 노출후 현상제에 의한 BARC 습윤성 및 BARC와 포토레지스트 간의 최소 바람직하지 못한 교환 상호작용을 미세하게 조정하는 BARC가 우수한 리소그래픽 성능, 특히 이머션 및 이중 패턴화/이머션 리소그래피에서의 우수한 리소그래픽 성능, 예컨대 반사율 제어 및 풋팅/스컴(scum) 감소에 결정적인 반사 방지 코팅 조성물을 갖는 것이 바람직하다.
본 발명의 신규한 반사 방지 코팅 조성물은 이머션 리소그래피 뿐만 아니라 이머션 리소그래피/이중 패턴화 용도에 유용하고, 여기서 반사 방지 코팅은 이머션 리소그래피에서 사용된 포토레지스트의 것과 밀접하게 일치하는 표면 접촉각을 갖지만, 또한 노출후 현상제와의 우수한 접촉각을 가지며, 여기서 현상된 포토레지스트는 스컴 및 결함 없이 우수한 리소그래픽 성능을 갖게 된다.
발명의 개요
본 발명은 제1 중합체와 제2 중합체의 혼합물, 및 열적 산 발생제를 포함하는 반사 방지 코팅 조성물로서, 제1 중합체는 하나 이상의 플루오로알콜 부분, 하나 이상의 지방족 히드록실 부분, 및 약 8 내지 약 11의 범위에 있는 pKa를 지닌, 플루오로알콜 제외한 하나 이상의 산 부분을 포함하고, 제2 중합체는 아미노플라스트 화합물과 하나 이상의 히드록실 기 및/또는 하나 이상의 산 기를 포함하는 화합물과의 반응 생성물인 것인 반사 방지 코팅 조성물에 관한 것이다. 또한, 본 발명은 그 신규한 조성물을 사용하여 이미지를 형성시키는 방법에 관한 것이다.
도면의 간단한 설명
도 1은 P1을 위한 단량체의 예를 도시한 것이다.
도 2는 P2를 위한 단량체의 예를 도시한 것이다.
도 3은 P3을 위한 단량체의 예를 도시한 것이다.
도 4는 P4를 위한 단량체의 예를 도시한 것이다.
도 5는 P5를 위한 단량체의 예를 도시한 것이다.
도 6은 아미노플라스트의 예를 도시한 것이다.
발명의 상세한 설명
본 발명은 2 이상의 중합체들로 된 혼합물을 포함하는 반사 방지 코팅 조성물에 관한 것이다. 그 반사 방지 코팅 조성물은 적어도 제1 중합체 및 이 제1 중합체와 상이한 적어도 제2 중합체를 포함한다. 제1 중합체는 플루오로알콜 부분을 지닌 하나 이상의 단위, 이 플루오로알콜을 제외한 산성 부분을 포함하는 하나 이상의 단위, 및 지방족 알콜을 지닌 하나 이상의 단위를 포함한다. 산성 부분을 지닌 단위는 이미드 또는 방향족 알콜(예컨대, 페놀, 나프톨 등)일 수 있다. 하나의 실시양태에서, 그 산성 부분은 약 8-11의 범위에 있는 pKa를 가질 수 있다. 제1 중합체는 방향족 부분을 포함하는 하나 이상의 단위를 추가로 포함할 수 있다. 상기 신규한 조성물은 아미노플라스트 화합물과 하나 이상의 히드록시 기 및/또는 하나 이상의 산 기를 포함하는 반응성 화합물과의 중합 반응 생성물인 제2 중합체를 포함한다. 하나의 실시양태에서, 그 반응성 화합물은 2개 이상의 히드록시 기를 함유하는 화합물(폴리히드록시 화합물 또는 폴리올), 2개 이상의 산 기를 함유하는 화합물(폴리산 화합물), 또는 히드록시 기 및 산 기를 둘 다 함유하는 혼성 화합물을 포함한다. 제2 중합체는 반응성 아미노메틸렌알콕시 또는 아미노메틸렌히드록시 부분((N-CH2-OR, R=알킬 또는 H)을 포함할 수 있다. 더구나, 제2 중합체는 제1 중합체보다 덜 소수성이므로, 제1 중합체가 신규한 반사 방지 코팅 조성물로부터 코팅된 필름의 표면을 향해 이동하게 한다. 신규한 조성물은 광학적으로 등급화된 반사 방지 코팅을 형성할 수 있으며, 거기에서는 필름에서 굴절율 및 흡수율에서 단계적 등급화(gradation)가 존재한다. 반사 방지 조성물은 기능이 가교 결합을 강화시키는 것인 열적 산 발생제(TAG: thermal acid generator)를 추가로 포함한다. 조성물의 고체 성분들은 유기 용매 중에 용해된다.
신규한 반사 방지 코팅 조성물은 적어도 2가지 중합체, 제1 중합체 및 제2 중합체를 포함한다. 제1 중합체는 3가지 반복 단위, 하나 이상의 P1, 하나 이상의 P2, 하나 이상의 P3 및/또는 P4 및 임의 반복 단위(P5)를 포함한다. 제1 중합체 유형의 조성물은 하기 화학식(I)에 의해 예시될 수 있다.
Figure 112013000692780-pct00001
상기 식 중에서, P1은 플루오로알콜 부분을 포함하는 단량체 단위이고, P2는 지방족 알콜 기를 포함하는 단량체 단위이며, P3은 이미드 부분을 포함하는 단량체 단위이고, P4는 염기(알칼리) 이온성(ionizable) 아릴히드록시 부분을 포함하는 단량체 단위이며, P5는 아릴 발색단(chromophore) 부분을 포함하는 임의 단량체 반복 단위이고, u, v, w, x, y는 중합체 사슬내 반복 단위의 몰% 비율을 나타내며, u, v는 0 초과이고, w 및 x 중 하나 이상은 0 초과이며, y는 0 이상이다. P2는 비플루오르화 지방족 알콜이다. 하나의 실시양태에서, 중합체는 P1, P2 , P3 및 임의로 P5를 포함한다. 다른 실시양태에서, 중합체는 P1, P2 , P4 및 임의로 P5를 포함한다. 또다른 실시양태에서, 중합체는 P1, P2 , P3, P4 , 및 임의로 P5를 포함한다.
제1 중합체에서, 플루오로알콜 부분을 포함하는 단위 P1은 하기 화학식(2)을 갖는 단량체 단위로부터 유도될 수 있으며, 식 중에서 X는 중합체의 골격을 형성하는 에틸렌 또는 치환된 에틸렌 부분이고, Rf1 및 Rf2는 독립적으로 플루오로(C1-C6)알킬 기이고, W는 직접 원자가 결합 및 스페이서 기로부터 선택된다. 스페이서 기의 예로는 알킬, 알킬 에스테르, 아릴, 아르알킬 및 이들의 혼합물이 있다. 그 에틸렌 기는 알킬, 아릴, 알킬에스테르, 알킬카르보닐 등에 의해 치환될 수 있다. 보다 구체적으로, P1은 하기 화학식(3), (4), (5) 및 (6)의 단량체로부터 유도될 수 있다.
Figure 112013000692780-pct00002
상기 식 중에서, R1 , R2 및 R3은 수소 및 (C1-C4)알킬로부터 독립적으로 선택되고, R4는 (C1-C10)알킬 기 또는 (C6-C14) 아릴 부분(예컨대, 페닐, 나프틸)이며, R5는 직접 원자가 결합 또는 스페이서 기이고, 여기서 스페이서 기는 알킬, 알킬 에스테르, 아릴, 아르알킬일 수 있으며; Rf1 및 Rf2는 독립적으로 플루오로(C1-C6)알킬 기(예컨대, CF3, CF2CF3, CH(CF3)2), CF(CF3)2) 등)이고; R6 및 R7은 독립적으로 수소, (C1-C10) 알킬 기, 아릴 부분(C6-C14)(예컨대, 페닐, 나프틸), (C2-C8)카르보닐옥시알킬(예컨대, CO2-OCH3), 및 (C2-C8) 카르보닐(예컨대, 포르밀, 아세틸)로부터 선택된다. R4의 예로는 선형 (C1-C10)알킬 기(예컨대, 메틸, 에틸, n-프로필), 분지형 (C3-C10)알킬 기(예컨대, 이소프로필, 이소부틸), (C5-C12) 환형 알킬 기(예컨대, 시클로헥실, 시클로펜틸)가 있다. R5의 예로는 직접 원자가 결합 또는 스페이서 기, 예컨대 선형 알킬렌(C1-C10)(예컨대, 메틸렌, 에틸렌), 분지형 알킬렌(C3-C12) 시클로알킬렌(C5-C12), 및 아릴렌 스페이서 기(C6-C14)(예컨대, 페닐렌, 나프틸렌)로부터 선택된 것들이 있다. R6 및 R7의 추가 예로는 선형 알킬 기(C1-C10)(예컨대, 메틸, 에틸, n-프로필), 분지형 알킬 기(C3-C10)(예컨대, 이소프로필, 이소부틸) 및 환형 알킬 기(C5-C12)(예컨대, 시클로헥실, 시클로펜틸)가 있다. 일반적으로 여기서 그리고 전체에 걸쳐서 알킬은 선형, 분지형, 환형 또는 이들의 혼합물을 의미한다. 도 1은 P1 반복 단위가 일반식(2)으로부터 유도되는 플루오로알콜 부분 함유 단량체의 구체적인 비제한적 예를 나타낸 것이다.
제1 중합체에서, 지방족 알콜 기를 포함하는 반복 단위인 단위 P2는 화학식(7)을 갖는 단량체로부터 유도되고, 식 중에서 R1, R2, 및 R3은 상기 기술되어 있는 바와 같고, R4'는 수소 또는 (C1-C10)알킬 기이며, R9는 직접 결합 및 (C1-C12)알킬 스페이서 기로부터 선택된다. R9는 알킬렌 스페이서 기(C1-C10), 예컨대 메틸렌, 에틸렌(-CH2-, -CH2CH2-), 분지형 알킬렌 스페이서 기(C3-C12), 예컨대 (-CH(CH3)2-), 환형 알킬렌 스페이서 기(예컨대, 시클로펜틸 또는 시클로헥실 고리)일 수 있다. 도 2는 P2 단위가 유도될 수 있는 하기 화학식(7)의 단량체의 구체적인 예를 도시한 것이다.
Figure 112013000692780-pct00003
제1 중합체에서, 이온성 이미드 중합체 반복 단위를 보유하는 단위 P3은 2가지 가능한 화학식(8 및/또는 9)의 단량체로부터 유도된다. 화학식(8)은 R8이 직접 원자가 결합 또는 (C1-C10) 알킬렌 기인 환형 이미드이다. 화학식(9)은 R1 , R2 및 R3 이 수소 및 (C1-C4)알킬로부터 독립적으로 선택되고, R"4가 선형 (C1-C10)알킬 기 또는 (C6-C14)아릴 부분(예컨대, 페닐, 나프틸)인 비환형 이미드이다. R8의 예로는 선형 알킬렌 스페이서 기(C1-C3)(예컨대, 메틸렌, 에틸렌) 또는 분지형 알킬렌 스페이서 기(C2-C10)가 있다.
Figure 112013000692780-pct00004
도 3은 단위 P3, 이미드 보유 이온성 부분이 화학식(8 및 9)을 기초하여 유도되는 가능한 단량체의 구체적인 비제한적 예를 도시한 것이다.
제1 중합체에서, 단위 P4는 단량체 단위(10a) 또는 단량체 단위(10b)로부터 선택되고, 여기서 Ar은 독립적으로 아릴 부분, 예컨대 페닐, 나프틸, 안트라세닐 등이고, R1 R2, R3, R4, R5, R6 및 R7은 상기 정의된 바와 같으며, 하나 이상의 히드록실 치환기를 갖는다(화학식(10a) 및 화학식(10b)에서 n=1-3임). 도 4는 화학식(10a) 및 (10b)으로부터 유도된 구체적인 비제한적 예를 도시한 것이다.
Figure 112013000692780-pct00005
제1 중합체에서, 임의 단위 P5는 발색단 반복 단위이고, 단량체 단위(11) 또는 단량체 단위(12)로부터 유도되고, 여기서 Ar은 독립적으로 아릴렌 부분, 예컨대 페닐렌, 나프틸렌, 안트라세닐렌이고, R1 R2, R3, R4, R5, R6, 및 R7은 상기 정의되어 있는 바와 같다. R4는 히드록실이 아니다.
Figure 112013000692780-pct00006
도 5는 중합체 단위(P5)를 형성하는데 사용될 수 있는 화학식(11) 및 (12)의 단량체의 예를 도시한 것이다.
중합체의 제1 유형의 한 실시양태에서, 그 중합체는 본원에 기술된 바와 같은 단량체 단위 P1, P2 및 P3으로부터 유도된 반복 단위를 포함할 수 있다. 하나의 실시양태에서, 제1 중합체는 하기 화학식(13)을 갖는다.
Figure 112013000692780-pct00007
제1 중합체는 하기 화학식(14) 또는 (15)으로 표시될 수 있다.
Figure 112013000692780-pct00008
중합체의 제1 유형의 또다른 실시양태에서, 중합체는 하기 화학식(16)을 가지며, 보다 구체적으로 하기 화학식(17)을 갖는다.
Figure 112013000692780-pct00009
Figure 112013000692780-pct00010
4가지 구체적인 단량체, 이소프로필 헥사플루오로이소프로판올 메타크릴레이트, 말레이미드, 히드록시프로필 메타크릴레이트 및 스티렌으로부터 생성된 중합체에 대한 화학식은 하기 화학식(18)으로 도시된다.
Figure 112013000692780-pct00011
본 발명의 중합체의 제1 유형은 임의의 공지된 중합 방법, 예컨대 촉매를 사용하는 자유 라디칼 중합을 이용하여 합성할 수 있다. 중합체는 용액, 에멀션, 벌크, 현탁 중합 등을 이용하여 합성할 수 있다. 본 발명의 중합체는 중합되어 중량 평균 분자량이 약 1,000 내지 약 80,000 또는 5,000 내지 30,000인 중합체를 생성하게 된다. 그 분자량은 제1 중합체가 중합체의 2가지 유형의 등급화된 혼합물의 소정 두께로 보다 균일하게 코팅되도록 선택된다. 자유 라디칼 중합체의 다분산도(Mw/Mn)은 1.0 내지 10.0의 범위일 수 있고, 여기서 Mw는 중량 평균 분자량이고 Mn은 수 평균 분자량이며, 중합체의 분자량은 겔 투과 크로마토그래피에 의해 측정될 수 있다.
본 발명의 신규한 조성물은 제1 중합체가 코팅된 필름의 표면으로 우세하게 이동하면서 서로 상 분리되는 2가지 중합체를 적어도 포함한다. 그 필름의 표면은 제1 중합체와 가교 결합함으로써 전체 필름을 경화시키게 되는 제2 중합체를 소량으로 함유한다. 그러므로, 농도 구배는 필름의 최상부에서 그 표면이 최고량의 제1 중합체를 함유하며 그리고 필름의 최저부가 최고량의 제2 중합체를 함유하도록 존재한다. 추가로, 중합체의 2가지 유형은 코팅이 새로운 코팅 위로 코팅된 포토레지스트의 스핀 캐스팅 용매에 대한 저항성을 갖도록 소성 동안 가교 결합된 망상체를 형성한다. BARC 필름의 표면은 수성 알칼리 현상제에 의해, 특히 노출 후에 그리고 현상 중에, 습윤가능하므로, 새로운 BARC 필름의 표면 상에 형성되는 이미지화된 포토레지스트 피처의 스커밍 및 풋팅을 최소화하게 된다. 게다가, 제1 중합체 및 제2 중합체의 광학 상수, 굴절율 및 흡수율은 코팅된 필름이 최적 반사 방지 특징을 부여하는 BARC 필름을 통한 등급화된 광학 상수를 갖도록 존재한다.
중합체의 제1 유형에서 P1 반복 단위의 조성 범위는 약 20 내지 약 60 mol%의 범위이다. 보다 구체적인 조성 범위는 약 35 내지 약 50 mol%이다. 염기 이온성 부분을 보유하는 P3 및/또는 P4 중합체 반복 단위는 수성 알칼리 현상제 중에서 현상 동안 우수한 수성 염기 습윤성을 제공한다. P3 및/또는 P4는 약 15 내지 약 45 mol% 또는 약 20 내지 약 30 mol%의 범위에 있다. 반복 단위 P1 및 P3 및/또는 P4, 플루오로알콜 함유 부분 및 이온성 부분 각각의 전체 mol% 조성은 30 내지 80 mol% 또는 50 내지 70 mol% 범위일 수 있다. 그 범위는 여전히 중합체의 제1 유형이 중합체 블렌드의 표면에 이동하게 하면서 우수한 수성 염기 습윤성을 제공한다. P2의 총 mol% 조성은 10 내지 40% 또는 15 내지 35%이다. UV 흡수 부분을 보유하는 임의 P5 중합체 반복 단위는 0 내지 20 mol%의 조성 범위를 가질 수 있다. 5 내지 15 mol%의 조성 범위가 존재할 경우에 사용될 수 있다. 그 단위는 최대 반사 방지 특성을 제공하도록 광학 상수를 미세하게 조정하는데 사용될 수 있다.
반사 방지 코팅 조성물은 필름이 약 65°-85°의 범위에 있는 물 접촉각을 갖는 필름을 형성할 수 있는 것이 바람직하다. 반사 방지 코팅 조성물은 필름이 수성 알칼리 현상제 중에서 약 55°-65°의 범위에 있는 접촉각을 갖는 필름을 형성할 수 있는 것이 바람직하다.
본 발명에 유용한 제1 중합체는 중합체의 제2 유형으로부터 우수한 상 분리를 제공하는 약 65-85o 또는 약 70-80o의 물과의 접촉각의 범위를 갖도록 선택되는 것이 바람직하다. 제1 중합체는 이미지화 공정 후에, 즉 노출 및 현상 후에 55-65o인 수성 알칼리 현상제와의 접촉각을 갖는 것이 바람직하다. 그 현상제는 전형적으로 테트라메틸암모늄 히드록사이드 또는 2.3 중량% 테트라메틸암모늄 히드록사이드이다. 그 신규한 조성물은 BARC 상에 코팅된 포토레지스트에서 이미지화된 피처의 풋팅 및 스커밍을 최소화한다. 게다가, 제1 중합체의 경우 193 nm에서 n 및 k의 범위는 n=1.5-1.75 및 k=0.05-0.35 또는 n=1.55-1.70 및 k=0.1-0.3이다. 193 nm에서 광학 상수의 범위가 기술되어 있지만, 유사한 최적 값이 248 nm와 같은 UV 광의 다른 파장의 경우에도 존재한다.
본 발명의 신규한 조성물은 톨루엔설폰산과 같은 강산의 촉매량의 존재 하에 1개 이상의 히드록시 기 및/또는 1개 이상의 산 기를 포함하는 반응성 화합물과 아미노플라스트의 축중합 생성물인 제2 중합체를 포함한다. 아미노플라스트 중합체는 본원에 참고 인용되어 있는 US 7,691,556 및 US 2010/0009297에 기술되어 있다. 하나의 실시양태에서, 그 반응성 화합물은 2개 이상의 히드록시 기를 함유하는 화합물(폴리히드록시 화합물 또는 폴리올), 2개 이상의 산 기를 함유하는 화합물(폴리산 화합물) 또는 히드록시 기 및 산 기를 둘 다 함유하는 혼성 화합물을 포함한다. 그 아미노플라스트는 1개 이상의 히드록실 기 및/또는 1개 이상의 카르복실산 기를 포함하는 화합물과 부분적으로 반응하여 제2 중합체를 형성하는 수지를 형성하는 반응성 아미노메틸렌알콕시 부분(N-CH2-O-알킬)을 함유한다. 아미노메틸렌의 일부가 중합체의 제2 유형을 형성하는데 반응하지만, 그의 일부가 미반응된 상태로 유지되므로, 자체 가교 결합할 수 있거나 또는 중합체의 제1 유형의 P3 알콜 보유 반복 단위를 통해 2가지 중합체 유형의 등급화된 블렌드와 가교 결합할 수 있다. 전형적으로, 아미노플라스트는 프로필렌글리콜 모노메틸에테르 아세테이트(PGMEA) 또는 유사 용매와 같은 용매 중에서 히드록실 및/또는 산 함유 화합물과 반응하게 되고, 파라톨루엔설폰산과 같은 산 촉매의 존재 하에 수 시간 동안 교반하면서 가열하게 된다. 그 중합체는 전형적으로 수중 침전에 의해 회수된 후 건조된다. 중합체의 제2 유형을 형성하도록 중합될 수 있는 아미노플라스트는 하기 화학식(19) 내지 화학식(26)을 갖는 것들로 구성되는 군으로부터 선택되며, 식 중에서 각각의 R10은 CH2-O-(CH2)m-CH3이고, R11 및 R12 각각은 독립적으로 수소 또는 C1-C10 알킬이고, m은 0 내지 3이다.
Figure 112013000692780-pct00012
아미노플라스트의 예로는 예를 들면 상표명 CYMEL 하에 Cytec Industries로부터 그리고 상표명 RESIMENE 하에 Monsanto Chemical Co.로부터 상업적으로 이용가능한 것들이 있다. 다른 아민 및 아미드의 축합 생성물, 예를 들면 트리아진, 디아진, 디아졸, 구아니딘, 구아니민, 알킬- 및 아릴-치환된 멜라민을 비롯한 그러한 화합물의 알킬- 및 아릴- 치환된 유도체의 알데히드 축합물이 또한 사용될 수 있다. 그러한 화합물의 일부 예로는 N,N'-디메틸 우레아, 벤조우레아, 디시안디아미드, 포름아구안아민, 아세토구안아민, 아멜린, 2-클로로-4,6-디아미노-1,3,5-트리아진, 6-메틸-2,4-디아미노-1,3,5-트리아진, 3,5-디아미노트리아졸, 트리아미노피리미딘, 2-머캅토-4,6-디아미노피리미딘, 3,4,6-트리스(에틸아미노)-1,3,5-트리아진, 트리스(알콕시카르보닐아미노)트리아진, N,N,N',N'-테트라메톡시메틸우레아 등이 있다. 다른 가능한 아미노플라스트는 도 6에 예시된 화합물들을 포함한다. 추가로, 그들의 유사체 및 유도체, 예컨대 일본 특허 출원 공개 공보(공개) 번호 1-293339(Tosoh)에 발견된 것들 뿐만 아니라 에테르화 아미노 수지, 예를 들면 메틸화 또는 부틸화 멜라민 수지(각각 N-메톡시메틸- 또는 N-부톡시메틸-멜라민) 또는 메틸화/부틸화 글리콜우릴(glycouril), 예를 들면 캐나다 특허 번호 1 204 547(Ciba Specialty Chmeicals)에서 발견될 수 있는 바와 같은 것이 포함된다. Nicalacs(Sanwa Chemical Co.), Plastopal(BASF AG) 또는 Maprenal(Clariant GmbH) 상품명 하에 상업적으로 이용가능한 다양한 멜라민 및 우레아 수지가 사용될 수 있다.
보다 구체적으로, 아미노플라스트는 화학식(19)을 갖는 글리콜우릴을 포함하는 군으로부터 선택될 수 있다. 글리콜우릴의 예로는 테트라(알콕시메틸)글리콜우릴이 있으며, 테트라(알콕시메틸)글리콜우릴로는 예를 들면 테트라(메톡시메틸)글리콜우릴, 테트라(에톡시메틸)글리콜우릴, 테트라(n-프로폭시메틸)글리콜우릴, 테트라(i-프로폭시메틸)글리콜우릴, 테트라(n-부톡시메틸)글리콜우릴, 테트라(t-부톡시메틸)글리콜우릴, 치환된 테트라(알콕시메틸)글리콜우릴, 예컨대 7-메틸 테트라(메톡시메틸)글리콜우릴, 7-에틸 테트라(메톡시메틸)글리콜우릴, 7-(i- 또는 n-)프로필 테트라(메톡시메틸)글리콜우릴, 7-(i- 또는 sec- 또는 t-)부틸 테트라(메톡시메틸)글리콜우릴, 7,8-디메틸 테트라(메톡시메틸)글리콜우릴, 7,8-디에틸 테트라(메톡시메틸)글리콜우릴, 7,8-디(i- 또는 n-)프로필 테트라(메톡시메틸)글리콜우릴, 7,8-디(i- 또는 sec- 또는 t-)부틸 테트라(메톡시메틸)글리콜우릴, 7-메틸-8-(i- 또는 n-)프로필 테트라(메톡시메틸)글리콜우릴 등이 포함된다. 테트라(메톡시메틸)글리콜우릴은 상표명 POWDERLINK(예를 들면, POWDERLINK 1174) 하에 Cytec Industries로부터 상업적으로 이용가능하다. 다른 예로는 메틸프로필테트라메톡시메틸 글리콜우릴, 및 메틸페닐테트라메톡시메틸 글리콜우릴이 포함된다. 도 6은 구체적인 아미노플라스트 물질의 예를 제공한 것이다. 글리콜우릴의 예로는 테트라메톡시메틸글리콜우릴이 있다.
제2 중합체의 중합에서, 중합체를 제조하는 제2 화합물의 하나의 실시양태는 폴리올(27) 및/또는 시아누르산 유도체(28)로 이루어진 군으로부터 선택된 폴리히드록시 화합물일 수 있다. 화학식(27)에서, R13은 스페이서 기, 예컨대 (C1-C12)알킬렌이고, 여기서 알킬렌은 예를 들면 선형 알킬렌(C1-C10)(예컨대, 메틸렌, 에틸렌), 분지형 알킬렌(C3-C12) 또는 시클로알킬렌(C5-C12)일 수 있다. R14는 수소 및 C1-C10 알킬, 아릴(C6-C20)(예컨대, 페닐), 알킬 치환된 아릴(C6-C20)(예컨대,-Ph-CH3), 알킬아릴 유도체(C6-C20)(예컨대, -CH2Ph) 및 선형 또는 분지형 알킬히드록시 기(예컨대 HO-CH2-)로부터 선택된다. 화학식(27)으로 표시된 화합물들로 구성되는 군에서, 스티렌 글리콜은 보다 구체적인 예가 된다.
Figure 112013000692780-pct00013
시아누르산 유도체(28)의 경우, R15, R16 및 R17은 독립적으로 C2-C8 알킬렌 스페이서이다. R15, R16 및 R17은 모두 에틸렌 스페이서(-CH2-CH2-)일 수 있다.
제2 중합체는 아미노플라스트 기를 함유한다. 화학식(29)은 2개 이상의 아미노메틸렌옥시 부분(N-CH2-0-)에 연결된 폴리올 화합물로부터 유도되는 Z 부분을 나타내는 중합체의 제2 유형의 반복 단위에 대한 일반적인 구조이다. Y는 아미노플라스트 기 내의 아미노 부분을 나타낸다. 그 구불구불한 라인은 Y 상에 있는 Z와 Y 사이의 추가 아미노메틸렌옥시 연결부를 나타내거나, 가능하게는 미반응된 아미노메틸렌알콕시 또는 아미노메틸렌알콕시히드록시 기를 나타낸다. 미반응된 아미노메틸렌히드록시는 모든 Y 반복 단위 상에 존재할 수 없지만, 적어도 일부가 중합체 블렌드의 가교결합을 보장하도록 전형적인 중합체 사슬 내에 존재한다.
화학식(30)은 아미노플라스트 성분이 글루콜우릴 화합물로부터 유로된 제2 중합체에 대하여 보다 구체적인 구조를 나타내고, 글루콜우릴에서 Z는 알킬 치환기로서 R13을 지닌 알킬 폴리올 또는 아릴 치환기로서 R14를 지닌 방향족 폴리올(27) 또는 시아누르산 유도체(28) 또는 이들의 혼합물로부터 유도된 단위이다. 화학식(30)은 폴리올에 대한 미반응된 아미노메틸렌알콕시, 아미노메틸렌알콕시히드록시 기 또는 추가 아미노알킬메틸렌 연결부의 글리콜우릴 부분 라인 상의 구불구불한 라인을 통한 존재를 나타낸다. Z는 다른 부분에 대한 추가 연결부를 함유할 수 있다.
Figure 112013000692780-pct00014
하나의 예로는 하기 화학식(31)이 있다.
Figure 112013000692780-pct00015
제2 중합체는 30-80% 아미노플라스트 단위 및 70-20% 폴리올/폴리산 단위를 포함할 수 있다. 중합체의 중량 평균 분자량(Mw)은 약 1,000-100,000 또는 약 3,000-20,000이다. 193 nm에서의 반사 방지 특성의 경우, 중합체의 제2 유형에 허용가능한 n 및 k 값의 범위는 다음과 같다: n = 약 1.6-2.0이고, k = 약 0.1-0.6이다. 보다 구체적으로, n = 약 1.7-1.95 및 k = 약 0.12-0.5의 범위가 허용가능하다. 중합체의 제1 유형으로부터 상 분리를 보장하기 위해서, 물과의 제2 중합체의 접촉각은 35°내지 65°의 범위이거나, 약 40° 내지 약 60°의 범위이다.
제1 중합체 및 제2 중합체를 포함하는 신규한 반사 방지 코팅 조성물은 열적 산 발생제(TAG)를 추가로 포함한다. TAG 성분은 조성물내 가교 결합을 촉매화한다. 본 발명에서 사용된 그 열적 산 발생제는 가열시 중합체와 반응할 수 있으며 그리고 본 발명에 존재하는 중합체의 가교결합을 전파할 수 있는 산을 발생시키는 임의의 하나 이상일 수 있고, 설폰산과 같은 강산이 특히 바람직하다. 열적 산 발생제는 바람직하게는 90℃ 이상에서, 보다 바람직하게는 120℃ 이상에서, 훨씬 더 바람직하게는 150℃ 이상에서 활성화된다. 열적 산 발생제의 예로는 금속 무함유 설포늄 염 및 요오도늄 염, 예컨대 비친핵성 강산의 트리아릴설포늄, 디알킬아릴설포늄 및 디아릴알킬설포늄 염, 비친핵성 강산의 알킬아릴요오도늄 또는 디아릴요오도늄 염; 및 비친핵성 강산의 암모늄, 알킬암모늄, 디알킬암모늄, 트리알킬암모늄, 테트라알킬암모늄 염이 있다. 또한, 공유 원자가 열적 산 발생제는 또한 예를 들면 알킬 또는 아릴설폰산의 2-니트로벤질 에스테르 또는 설폰산의 다른 에스테르인 유용한 첨가제로서 고려되고, 그 에스테르들은 열 분해되어 유리 설폰산을 생성하게 된다. 예로는 디아릴요오도늄 퍼플루오로알킬설포네이트, 디아릴요오도늄 트리스(플루오로알킬설포닐)메타이드, 디아릴요오도늄 비스(플루오로알킬설포닐)메타이드, 디아릴요오도늄 비스(플루오로알킬설포닐)이미드, 디아릴요오도늄 또는 4급 암모늄 퍼플루오로알킬설포네이트가 있다. 반응성(labile) 에스테르의 예로는 2-니트로벤질 토실레이트, 2,4-디니트로벤질 토실레이트, 2,6-디니트로벤질 토실레이트, 4-니트로벤질 토실레이트; 벤젠설포네이트, 예컨대 2-트리플루오로메틸-6-니트로벤질 4-클로로벤젠설포네이트, 2-트리플루오로메틸-6-니트로벤질 4-니트로벤젠설포네이트; 페놀계 설포네이트 에스테르, 예컨대 페닐, 4-메톡시벤젠설포네이트; 4급 암모늄 트리스(플루오로알킬설포닐)메타이드, 및 4급화 아릴알킬 암모늄 비스(트리플루오로알킬설포닐)이미드, 유기 산의 알킬 암모늄 염, 예컨대 10-캄포설폰산의 트리에틸암모늄 염이 있다. 미국 특허 번호 3,474,054, 4,200,729, 4,251,665 및 5,187,019에 기술된 것들을 비롯한 다양한 방향족(안트라센, 나프탈렌 또는 벤젠 유도체) 설폰산 아민 염이 TAG로서 사용될 수 있다. 바람직하게는, TAG는 170-220℃의 온도에서 매우 낮은 휘발성을 갖는다. TAG의 예로는 Nacure 및 CDX 명칭 하에 King lndustries에 의해 판매된 것들이 있다. 그러한 TAG로는 Nacure 5225 및 CDX-2168E가 있으며, 이것은 미국 06852 코네티컷주 노르워크 소재의 King Industries으로부터 상업적으로 이용가능한, 프로필렌 글리콜 메틸 에테르 중에서 25-30% 활성으로 공급된 도데실벤젠 설폰산 아민염이다. 본 발명에서 유용한 TAG의 구체적인 예는 도데실벤젠설폰산의 트리에틸암모늄 염이다.
2가지 중합체를 포함하는 신규한 조성물은 제2 가교결합제의 첨가를 추가로 포함할 수 있다. 다양한 추가의 가교결합제가 본 발명의 조성물에 사용될 수 있다. 산의 존재 하에 중합체를 가교결합할 수 있는 임의의 적합한 가교결합제가 사용될 수 있다. 그러한 가교결합제의 예로는 멜라민, 메틸올, 글리콜우릴, 중합체 글리콜우릴, 벤조구안아민, 우레아, 히드록시 알킬 아미드, 에폭시 및 에폭시 아민, 블록화 이소시아네이트 및 디비닐 단량체를 함유하는 수지가 있다. 헥사메톡시메틸 멜라민과 같은 단량체 멜라민; 테트라키스(메톡시메틸)글리콜우릴과 같은 글리콜우릴; 및 2,6-비스히드록시메틸 p-크레졸과 같은 방향족 메틸올이 사용될 수 있지만, 이에 국한되는 것은 아니다. 에폭시계 가교결합제가 또한 사용될 수 있다.
반사 방지 코팅 조성물의 고체 성분들은 그 반사 방지 코팅의 고체 성분을 용해시키는 용매 또는 용매 혼합물과 혼합된다. 반사 방지 코팅 조성물에 적합한 용매는 예를 들면 글리콜 에테르 유도체, 예컨대 에틸 셀로솔브, 메틸 셀로솔브, 프로필렌 글리콜 모노메틸 에테르(PGME), 디에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 또는 디에틸렌 글리콜 디메틸 에테르; 글리콜 에테르 에스테르 유도체, 예컨대 에틸 셀로솔브 아세테이트, 메틸 셀로솔브 아세테이트, 또는 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA); 카르복실레이트, 예컨대 에틸 아세테이트, n-부틸 아세테이트 및 아밀 아세테이트; 2염기성 산의 카르복실레이트, 예컨대 디에틸옥실레이트 및 디에틸말로네이트; 글리콜의 디카르복실레이트, 예컨대 에틸렌 글리콜 디아세테이트 및 프로필렌 글리콜 디아세테이트; 및 히드록시 카르복실레이트, 예컨대 메틸 락테이트, 에틸 락테이트, 에틸 글리콜레이트, 및 에틸-3-히드록시 프로피오네이트; 케톤 에스테르, 예컨대 메틸 피루베이트 또는 에틸 피루베이트; 알콕시카르복실산 에스테르, 에컨대 메틸 3-메톡시프로피오네이트, 에틸 3-에톡시프로피오네이트, 에틸 2-히드록시-2-메틸프로피오네이트, 또는 메틸에톡시프로피오네이트; 케톤 유도체, 예컨대 메틸 에틸 케톤, 아세틸 아세톤, 시클로펜탄온, 시클로헥산온 또는 2-헵탄온; 케톤 에테르 유도체, 예컨대 디아세톤 알콜 메틸 에테르; 케톤 알콜 유도체, 예컨대 아세톨 또는 디아세톤 알콜; 케탈 또는 아세탈, 예컨대 1,3-디옥살란 및 디에톡시프로판; 락톤, 예컨대 부티로락톤 및 감마 발레로락톤; 아미드 유도체, 예컨대 디메틸아세트아미드 또는 디메틸포름아미드, 아니솔; 및 이들의 혼합물을 포함할 수 있다.
그 제제의 조성은 스핀 캐스팅 용매(예컨대, PGMEA, PGME, PGMEA/PGME 70/30 등) 중의 1-10 중량% 용액으로 구성되고, 그 용액의 기본적인 고체 성분들은 고체 성분들의 1 내지 50 중량% 또는 보다 구체적으로 2-20 중량%의 범위에 있는 제1 중합체인 중합체 또는 중합체 혼합물; 고체 성분들의 50-99 중량%, 바람직하게는 80-99 중량%의 범위에 있는 제2 중합체; 및 고체 성분들의 0.5-5 중량%로 구성되는 TAG 성분을 포함한다. 다른 성분들, 에컨대 광산 발생제, 다른 가교결합제, 예컨대 단량체 아미노플라스트 및 에폭시 가교결합제 및 최종적으로 플루오로계면활성제가 균염제(leveling agent)로서 첨가될 수 있다.
반사 방지 필름이 기판의 최상부 상에 코팅되고 또한 건식 에칭으로 처리되기 때문에, 그 필름은 충분히 낮은 금속 이온 농도를 가지며 그리고 반도체 디바이스의 특성이 반대 영향을 받지 않도록 충분한 순도를 갖는 것으로 고려된다. 중합체 용액을 이온 교환 컬럼을 통한 중합체 용액의 통과, 여과 및 추출 공정과 같은 처리는 금속 이온의 농도를 감소시키고 입자를 감소시키는데 이용될 수 있다.
본 발명의 반사 방지 코팅에서 소수성은 2가지 관점에서 중요한데, 첫째 종래의 관점에서 등급화된 BARC의 최상부 층은, 소수성이 반사 방지 코팅 위에 코팅된 포토레지스트 필름의 것과 일치하여, 우수한 균일성을 지닌 코팅이 얻어지도록, 코팅된 필름을 형성한 후에 소수성이어야 하는 것이 중요하다. 그러나, 본 발명에서 혼합물 내의 각 개별 중합체 성분의 소수성은 또한 얼마나 잘 2가지 중합체 성분이 서로 상 분리되는지를 확인하고 제1 중합체 성분이 그 필름의 최상부로 이동하는지를 확인하는 것에 관하여 중요한데, 상기 최상부에서 그 필름은 스핀 캐스팅 동안 소수성이지만, 상기 노출 영역에서 레지스트 필름이 완전 현상된 후에 그 표면을 습윤시킨다는 것을 확인하도록 염기 또는 알칼리 이온성 부분을 갖게 된다. 이는 레지스트 피처의 스커밍 및 풋팅을 최소화한다. 또한. 2가지 중합체 성분들 간의 소수성의 차이는 중요한데, 그 이유는 상기 차이가 얼마나 잘 2가지 성분들이 등급화된 광학 특성으로 반사 방지 코팅을 제공하는 등급화된 필름을 형성하는지를 예측하기 때문이다. 2가지 중합체 성분 필름의 소수성은 필름의 물 접촉각(CA)에 의해 측정될 수 있으며, 거기서 접촉각은 소성된 반사 방지 코팅 필름 상에 물의 액적에 의해 형성된 각도로 측정할 수 있다. 전형적으로, 이머션 리쏘그래피에서 사용된 포토레지스트 필름은 약 80℃의 물 접촉각을 갖는다. 그러므로, 반사 방지 코팅 필름의 물 접촉각은, 가교 결합된 BARC 블렌드의 표면으로 이동하도록 설계되어 있는 제1 중합체 성분의 상세한 설명에서 앞서 상세히 기술되어 있는 바와 같이, 약 60-85° 또는 보다 바람직하게는 70-80°의 유사 범위에 있다. 포토레지스트가 수성 알칼리 현상제 중에서 이미지화되고 현상되는 경우, 반사방지 코팅 필름의 현상제 접촉각은 물 접촉각보다 더 낮아야 하므로, 현상제는 반사 방지 코팅 표면 상에서 우수한 습윤 성능을 가지며 그리고 결함을 감소시키는데 도움을 준다. 물 접촉각과 현상제 접촉각의 차이는 5°-15°의 범위에 있다. 반사 방지 코팅은 현상 후에도 기판 상에 잔류한다.
n 및 k 값은 타원계, 예컨대 J. A. Woollam WVASE VU-32(상품명) 타원계를 사용하여 계산할 수 있다. k 및 n에 대한 최적 범위의 정확한 값은 사용된 노출 파장 및 도포 유형에 따라 좌우된다. n 및 k 값의 모델링에 의하면, 신규한 조성물로부터 형성된 필름에서 우수한 핏트(fit)는 균일하게 혼합된 중간체 층을 지닌 이중 층 필름의 경우에 얻어진다. 따라서, 필름의 표면은 제1 중합체의 것에 접근하는 n 및 k 값을 보유하고, 필름의 최저부는 제2 층의 것에 접근하는 n 및 값을 보유하며, 필름의 표면과 최저부 사이에 균일하게 혼성된 층이 형성된다.
반사 방지 코팅 조성물은 당업자에게 잘 알려진 기법, 예컨대 이머션, 스핀 코팅 또는 분무를 이용하여 기판 상에 코팅될 수 있다. 반사 방지 코팅의 필름 두께는 약 15 nm 내지 약 400 nm의 범위이다. 그 코팅은 임의의 잔류 용매를 제거하고 가교결합을 감소시킴으로써 반사 방지 코팅을 불용화하여 반사 방지 코팅이 반사 방지 코팅과 이것 위에 코팅될 수 있는 층 사이의 상호혼합을 방지하기에 충분한 시간 길이 동안 열판 또는 대류 오븐에서 추가 가열된다. 온도의 바람직한 범위는 약 150℃ 내지 약 280℃이다. 본 발명의 반사 방지 코팅은 반사 방지 코팅(들)의 다른 층(들) 위로 코팅될 수 있다.
포토레지스트의 필름은 반사 방지 코팅의 최상부 상에 코팅되고 소성되어 포토레지스트 용매를 실질적으로 제거하게 된다. 엣지 방울 제거제가 코팅 단계 후에 도포되어 해당 기술 분야에 잘 알려진 공정을 이용하여 기판의 엣지를 세정할 수 있게 된다.
반사 방지 코팅이 위에 형성되어 있는 기판은 반도체 산업에서 전형적으로 사용된 것들 중 임의 것일 수 있다. 적합한 기판은 저 유전 상수 재료, 규소, 표면이 금속으로 코팅된 기판, 구리 코팅된 규소 웨이퍼, 구리, 알루미늄, 중합체 수지, 이산화규소, 금속, 도핑된 이산화규소, 질화규소, 탄탈, 폴리실리콘, 세라믹, 알루미늄/구리 혼합물; 비소화갈륨 및 다른 그러한 III/V족 화합물을 포함하지만, 이에 국한되는 것은 아니다. 그 기판은 상기 기술된 재료로부터 제조된 임의 수의 층을 포함할 수 있다.
포토레지스트는 반도체 산업에서 사용된 유형들 중 임의의 유형일 수 있는데, 단 포토레지스트 내의 광활성 화합물 및 반사방지 코팅은 이미지화 공정에 사용된 노출 파장에서 실질적으로 흡수되어야 한다. 전형적으로. 이머션 리소그래피에 의한 이미지화에 적합한 포토레지스트가 사용될 수 있으며, 여기서 그러한 포토레지스트는 1.85 이상의 굴절율을 가지며, 그리고 또한 75°내지 95°의 범위에 있는 물 접촉각을 가지면서 소수성이어야 한다.
현재까지, 소형화에서 유의적인 진보를 제공해 온 몇가지 주요 DUV 노출 기술, 및 248 nm, 193 nm, 157 nm 및 13.5 nm의 방사선이 존재한다. 248 nm의 경우 포토레지스트는 전형적으로 치환된 폴리히드록시스티렌 및 이의 공중합체/오늄 염, 예컨대 US 4,491,628 및 US 5,350,660에 기술된 것들을 기초로 한다. 다른 한편으로는, 193 nm에서 노출의 경우 포토레지스트는 방향족이 그러한 파장에서 불투명하기 때문에 비방향족 중합체를 필요로 한다. US 5,843,624 및 US 6,866,984에서는 193 nm 노출에 유용한 포토레지스트가 개시되어 있다. 일반적으로, 지방족 고리 탄화수소를 함유하는 중합체는 200 nm 이하의 노출 동안 포토레지스트에 사용된다. 지방족 고리 탄화수소는 수 많은 이유들로 중합체 내로 혼입되는데, 주로 그 탄화수소가 에칭 저항성을 개선하는 비교적 높은 탄소 대 수소의 비율을 갖기 때문에, 또한 그 탄화수소가 저 파장에서 투명도를 제공하기 때문에 그리고 그 탄화수소가 비교적 높은 유리 전이 온도를 갖기 때문이다. 193 nm 포토레지스트의 공지된 유형, 예컨대 US 6,447,980 및 US 6,723,488에 기술된 것들 중 임의 것이 사용될 수 있으며, 상기 특허들은 본원에 참고 인용되어 있다.
코팅 공정 후, 포토레지스트는 이미지 방식으로 노출된다. 그 노출은 전형적인 노출 장비를 사용하여 수행할 수 있다. 이어서, 그 노출된 포토레지스트는 수성 현상제 중에서 현상되어 처리된 포토레지스트를 제거하게 된다. 그 현상제는 예를 들면 테트라메틸암모늄 히드록사이드(TMAH)를 포함하는 수성 알칼리 용액인 것이 바람직하다. 대부분, 수 중의 2.3 중량% TMAH가 사용된다. 현상제는 계면활성제(들)를 추가로 포함할 수 있다. 임의 가열 단계가 현상 전에 그리고 노출 후에 공정에 포함될 수 있다.
포토레지스트를 코팅 및 이미지화하는 공정은 당업자에게 공지되어 있으며, 그리고 사용된 포토레지스트의 구체적인 유형에 최적화된다. 이어서, 그 패턴화 기판은 에칭 기체 또는 기체들의 혼합물에 의해, 반사 방지 코팅의 반사 방지 필름 또는 다층의 노출 부분을 제거하고 동시에 잔류 포토레지스트가 에칭 마스크로서 작용하도록 하는데 적합한 에칭 챔버에서, 건식 에칭될 수 있다. 유기 반사 방지 코팅을 에칭하기 위한 다양한 에칭 기체, 예컨대 O2, CF4, CHF3, Cl2, HBr, SO2, CO 등을 포함하는 것들이 해당 기술 분야에 공지되어 있다.
상기 언급된 문헌들 각각은 모든 목적상 그 전체가 본원에 참고 인용되어 있다. 다음의 구체적인 실시예들은 본 발명의 조성물을 제조하는 방법 및 이용하는 방법을 제공한다. 그러나, 이러한 실시예들은 본 발명의 영역을 어떠한 방식으로도 제한 또는 한정하도록 의도한 것이 아니며 그리고 본 발명을 실시하기 위해서 전적으로 사용되어야 하는 조건, 매개변수 또는 값을 제공하는 것으로 이해되어서는 안된다.
실시예
하기 실시예에서 반사 방지 코팅의 굴절율(n) 및 흡수율(k) 값은 J. A. Woollam VASE32 타원계 상에서 측정하였다.
중합체의 분자량은 겔 투과 크로마토그래피 상에서 측정하였다.
정적 접촉각(SCA:static contact angle) 데이터는 AST Products, Inc.(미국 01821 메사추세츠주 빌러리카 린넬 서클 9 소재)로부터 이용 가능한 VCA 2500XE(Video Contact Angle System)를 사용하고 EM Science (미국 08027 뉴저지주 깁슨타운 데모크라트 로드 480)로부터 이용가능한 OmniSolv 물 또는 AZ(등록상표) 300MIF Developer (미국 08876 뉴저지주 서머빌 메이스터 애브뉴 70에 소재하는 AZ(등록상표) Electronic Materials USA Corp.로부터 이용가능한 것)을 사용하여 수집하였다. 시험들은 Class-1000 Fab 환경에서 수행하였다. 정적 접촉각은 5회 측정 초과로부터 얻은 평균 값으로서 기록하였다.
합성 실시예 1: 폴리 ( 헥사플루오로이소프로판올 ) 메타크릴레이트 -코- 말레이미드 -코-히드록시프로필 메타크릴레이트의 합성
이소프로필 헥사플루오로로프로판올 메타크릴레이트(MA-BTHB-OH) 15.0 g, 말레이미드 8.9 g, 히드록시프로필 메타크릴레이트 8.7 g을 테트라히드루푸란(THF) 용매 120 g 중에 혼합하였다. 이 중합 반응은 20 h 동안 질소 하에 75℃에서 AIBN 0.7 g의 존재 하에 수행하였다. 실온으로 냉각한 후, 반응 혼합물을 탈이온(DI)수 중에서 침전시켰다. 백색 중합체 고체를 세척하고, 45℃에서 진공 하에 건조시켜서 평균 Mw가 19,000인 고체 29.5 g(90%)을 생성하였다.
합성 실시예 2: 폴리( 헥사플루오로이소프로판올 메타크릴레이트 -코- 말레이미 드-코-히드록시프로필 메타크릴레이트 -코-스티렌의 합성
이소프로필 헥사플루오로이소프로판올 메타크릴레이트(MA-BTHB-OH) 20.0 g, 말레이미드 4.1 g, 히드록시프로필 메타크릴레이트 6.0 g 및 스티렌 1.8 g을 THF 용매 120 g 중에 혼합하였다. 이 중합 반응은 20 h 동안 질소 하에 75℃에서 AIBN 0.7 g의 존재 하에 수행하였다. 실온으로 냉각한 후, 반응 혼합물을 탈이온수 중에서 침전시켰다. 백색 중합체 고체를 세척하고, 45℃에서 진공 하에 건조시켜서 평균 Mw가 20,000인 고체 29.7 g(93%)을 생성하였다.
합성 실시예 3: 폴리( 헥사플루오로이소프로판올 메타크릴레이트 -코- 말레이미 드-코-히드록시프로필 메타크릴레이트 -코-스티렌의 합성
이소프로필 헥사플루오로이소프로판올 메타크릴레이트(MA-BTHB-OH) 20.0 g, 말레이미드 4.1 g, 히드록시프로필 메타크릴레이트 6.0 g 및 스티렌 1.8 g을 PGME 용매 120 g 중에 혼합하였다. 이 중합 반응은 4 h 동안 질소 하에 85℃에서 AIBN 3.0 g의 존재 하에 수행하였다. 실온으로 냉각한 후, 반응 혼합물을 탈이온수 중에서 침전시켰다. 고체를 수집하고, 건조시키며, 아세톤 중에 재용해시켰다. 이 용액을 탈이온수 중에 침전시켰다. 고체 생성물을 세척하고, 45℃에서 진공 하에 건조시켜서 평균 Mw가 8000인 중합체를 생성하였다.
합성 실시예 4: 아미노플라스트와 폴리올의 공중합체의 합성
테트라메톡시메틸 글리콜우릴 600 g, 스티렌 글리콜 96 g 및 PGMEA 1200 g을 온도계, 기계적 교반기 및 냉각수 콘덴서가 구비된 2L 자켓 처리된 플라스크 내에 넣고, 85℃로 가열하였다. 파라-톨루엔설폰산 1수화물의 촉매량을 첨가한 후에, 반을을 그 온도에서 5 h 동안 유지하였다. 이어서, 반응 용액을 실온으로 냉각하고 여과하였다. 여과액을 교반하면서 증류수에 천천히 부어 넣고 중합체를 침전시켰다. 중합체를 여과하고, 물로 완전 세척하며, 진공 오븐에서 건조시켰다(250 g이 얻어짐). 얻어진 중합체는 중량 평균 분자량 17,345 g/mol 및 다분산도 2.7를 보유하였다.
제제 실시예 1:
합성 실시예 1로부터 얻은 중합체 1.0 g을 PGMEA/PGME 70/30 용매 30 g에 용해시켜서 3.3 중량% 용액을 제조하였다. 테트라메톡시메틸 글리콜우릴 0.1 g 및 PGMEA/PGME 70/30 중의 10% 도데실벤젠 설폰산 트리에틸아민 염 용액 0.1 g을 중합체 용액에 첨가하였다. 이어서, 이 혼합물을 소공 크기가 0.2 ㎛인 마이크로필터를 통해 여과하였다. 이어서, 그 용액을 40 초 동안 규소 웨이퍼 상에 스핀 코팅하였다. 이어서, 그 코팅된 웨이퍼를 200℃에서 1 분 동안 열판 상에서 가열하였다. 반사 방지 코팅을 분광학적 타원계 상에서 분석하였다. 193 nm에서 최적 굴절율 "n"은 1.60이었고, 흡수 매개변수 "k"는 0.15였다.
제제 실시예 2:
합성 실시예 2로부터 얻은 중합체 1.0 g을 PGMEA/PGME 70/30 용매 30 g에 용해시켜서 3.3 중량% 용액을 제조하였다. 테트라메톡시메틸 글리콜우릴 0.15 g 및 PGMEA/PGME 70/30 중의 10% 도데실벤젠 설폰산 트리에틸아민 염 용액 0.1 g을 중합체 용액에 첨가하였다. 이어서, 이 혼합물을 소공 크기가 0.2 ㎛인 마이크로필터를 통해 여과하였다. 이어서, 그 용액을 40 초 동안 규소 웨이퍼 상에 스핀 코팅하였다. 이어서, 그 코팅된 웨이퍼를 200℃에서 1 분 동안 열판 상에서 가열하였다. 반사 방지 코팅을 분광학적 타원계 상에서 분석하였다. 193 nm에서 최적 굴절율 "n"은 1.63이었고, 흡수 매개변수 "k"는 0.17이었다.
제제 실시예 3:
합성 실시예 3로부터 얻은 중합체 1.0 g을 PGMEA/PGME 70/30 용매 30 g에 용해시켜서 3.3 중량% 용액을 제조하였다. 테트라메톡시메틸 글리콜우릴 0.15 g 및 PGMEA/PGME 70/30 중의 10% 도데실벤젠 설폰산 트리에틸아민 염 용액 0.1 g을 중합체 용액에 첨가하였다. 이어서, 이 혼합물을 소공 크기가 0.2 ㎛인 마이크로필터를 통해 여과하였다. 이어서, 그 용액을 40 초 동안 규소 웨이퍼 상에 스핀 코팅하였다. 이어서, 그 코팅된 웨이퍼를 200℃에서 1 분 동안 열판 상에서 가열하였다. 반사 방지 코팅을 분광학적 타원계 상에서 분석하였다. 193 nm에서 최적 굴절율 "n"은 1.63이었고, 흡수 매개변수 "k"는 0.17이었다.
제제 실시예 4:
합성 실시예 4로부터 얻은 중합체 1.0 g을 PGMEA/PGME 70/30 용매 30 g에 용해시켜서 3.3 중량% 용액을 제조하였다. PGMEA/PGME 70/30 중의 10% 도데실벤젠 설폰산 트리에틸아민 염 용액 0.1 g을 중합체 용액에 첨가하였다. 이어서, 이 혼합물을 소공 크기가 0.2 ㎛인 마이크로필터를 통해 여과하였다. 이어서, 그 용액을 40 초 동안 규소 웨이퍼 상에 스핀 코팅하였다. 이어서, 그 코팅된 웨이퍼를 200℃에서 1 분 동안 열판 상에서 가열하였다. 반사 방지 코팅을 분광학적 타원계 상에서 분석하였다. 193 nm에서 최적 굴절율 "n"은 1.89이었고, 흡수 매개변수 "k"는 0.34이었다.
제제 실시예 5:
합성 실시예 1로부터 얻은 중합체 0.1 g과 합성 실시예 4로부터 얻은 중합체 0.9 g을 PGMEA/PGME 70/30 용매 30 g에 용해시켜서 3.3 중량% 용액을 제조하였다. PGMEA/PGME 70/30 중의 10% 도데실벤젠 설폰산 트리에틸아민 염 용액 0.1 g을 중합체 용액에 첨가하였다. 이어서, 이 혼합물을 소공 크기가 0.2 ㎛인 마이크로필터를 통해 여과하였다. 이어서, 그 용액을 40 초 동안 규소 웨이퍼 상에 스핀 코팅하였다. 이어서, 그 코팅된 웨이퍼를 200℃에서 1 분 동안 열판 상에서 가열하였다.
제제 실시예 6:
합성 실시예 1로부터 얻은 중합체 0.2 g과 합성 실시예 4로부터 얻은 중합체 0.8 g을 PGMEA/PGME 70/30 용매 30 g에 용해시켜서 3.3 중량% 용액을 제조하였다. PGMEA/PGME 70/30 중의 10% 도데실벤젠 설폰산 트리에틸아민 염 용액 0.1 g을 중합체 용액에 첨가하였다. 이어서, 이 혼합물을 소공 크기가 0.2 ㎛인 마이크로필터를 통해 여과하였다. 이어서, 그 용액을 40 초 동안 규소 웨이퍼 상에 스핀 코팅하였다. 이어서, 그 코팅된 웨이퍼를 200℃에서 1 분 동안 열판 상에서 가열하였다.
제제 실시예 7:
합성 실시예 1로부터 얻은 중합체 0.5 g과 합성 실시예 4로부터 얻은 중합체 0.5 g을 PGMEA/PGME 70/30 용매 30 g에 용해시켜서 3.3 중량% 용액을 제조하였다. PGMEA/PGME 70/30 중의 10% 도데실벤젠 설폰산 트리에틸아민 염 용액 0.1 g을 중합체 용액에 첨가하였다. 이어서, 이 혼합물을 소공 크기가 0.2 ㎛인 마이크로필터를 통해 여과하였다. 이어서, 그 용액을 40 초 동안 규소 웨이퍼 상에 스핀 코팅하였다. 이어서, 그 코팅된 웨이퍼를 200℃에서 1 분 동안 열판 상에서 가열하였다.
제제 실시예 8:
합성 실시예 2로부터 얻은 중합체 0.1 g과 합성 실시예 4로부터 얻은 중합체 0.9 g을 PGMEA/PGME 70/30 용매 30 g에 용해시켜서 3.3 중량% 용액을 제조하였다. PGMEA/PGME 70/30 중의 10% 도데실벤젠 설폰산 트리에틸아민 염 용액 0.1 g을 중합체 용액에 첨가하였다. 이어서, 이 혼합물을 소공 크기가 0.2 ㎛인 마이크로필터를 통해 여과하였다. 이어서, 그 용액을 40 초 동안 규소 웨이퍼 상에 스핀 코팅하였다. 이어서, 그 코팅된 웨이퍼를 200℃에서 1 분 동안 열판 상에서 가열하였다.
제제 실시예 9:
합성 실시예 2로부터 얻은 중합체 0.2 g과 합성 실시예 4로부터 얻은 중합체 0.8 g을 PGMEA/PGME 70/30 용매 30 g에 용해시켜서 3.3 중량% 용액을 제조하였다. PGMEA/PGME 70/30 중의 10% 도데실벤젠 설폰산 트리에틸아민 염 용액 0.1 g을 중합체 용액에 첨가하였다. 이어서, 이 혼합물을 소공 크기가 0.2 ㎛인 마이크로필터를 통해 여과하였다. 이어서, 그 용액을 40 초 동안 규소 웨이퍼 상에 스핀 코팅하였다. 이어서, 그 코팅된 웨이퍼를 200℃에서 1 분 동안 열판 상에서 가열하였다.
제제 실시예 10:
합성 실시예 2로부터 얻은 중합체 0.5 g과 합성 실시예 4로부터 얻은 중합체 0.5 g을 PGMEA/PGME 70/30 용매 30 g에 용해시켜서 3.3 중량% 용액을 제조하였다. PGMEA/PGME 70/30 중의 10% 도데실벤젠 설폰산 트리에틸아민 염 용액 0.1 g을 중합체 용액에 첨가하였다. 이어서, 이 혼합물을 소공 크기가 0.2 ㎛인 마이크로필터를 통해 여과하였다. 이어서, 그 용액을 40 초 동안 규소 웨이퍼 상에 스핀 코팅하였다. 이어서, 그 코팅된 웨이퍼를 200℃에서 1 분 동안 열판 상에서 가열하였다.
제제 실시예 11:
합성 실시예 3로부터 얻은 중합체 0.05 g과 합성 실시예 4로부터 얻은 중합체 0.95 g을 PGMEA/PGME 70/30 용매 30 g에 용해시켜서 3.3 중량% 용액을 제조하였다. PGMEA/PGME 70/30 중의 10% 도데실벤젠 설폰산 트리에틸아민 염 용액 0.1 g을 중합체 용액에 첨가하였다. 이어서, 이 혼합물을 소공 크기가 0.2 ㎛인 마이크로필터를 통해 여과하였다. 이어서, 그 용액을 40 초 동안 규소 웨이퍼 상에 스핀 코팅하였다. 이어서, 그 코팅된 웨이퍼를 200℃에서 1 분 동안 열판 상에서 가열하였다.
제제 실시예 12:
합성 실시예 3로부터 얻은 중합체 0.1 g과 합성 실시예 4로부터 얻은 중합체 0.9 g을 PGMEA/PGME 70/30 용매 30 g에 용해시켜서 3.3 중량% 용액을 제조하였다. PGMEA/PGME 70/30 중의 10% 도데실벤젠 설폰산 트리에틸아민 염 용액 0.1 g을 중합체 용액에 첨가하였다. 이어서, 이 혼합물을 소공 크기가 0.2 ㎛인 마이크로필터를 통해 여과하였다. 이어서, 그 용액을 40 초 동안 규소 웨이퍼 상에 스핀 코팅하였다. 이어서, 그 코팅된 웨이퍼를 200℃에서 1 분 동안 열판 상에서 가열하였다.
제제 실시예 13:
합성 실시예 3로부터 얻은 중합체 0.2 g과 합성 실시예 4로부터 얻은 중합체 0.8 g을 PGMEA/PGME 70/30 용매 30 g에 용해시켜서 3.3 중량% 용액을 제조하였다. PGMEA/PGME 70/30 중의 10% 도데실벤젠 설폰산 트리에틸아민 염 용액 0.1 g을 중합체 용액에 첨가하였다. 이어서, 이 혼합물을 소공 크기가 0.2 ㎛인 마이크로필터를 통해 여과하였다. 이어서, 그 용액을 40 초 동안 규소 웨이퍼 상에 스핀 코팅하였다. 이어서, 그 코팅된 웨이퍼를 200℃에서 1 분 동안 열판 상에서 가열하였다.
제제 실시예 14:
합성 실시예 3로부터 얻은 중합체 0.5 g과 합성 실시예 4로부터 얻은 중합체 0.5 g을 PGMEA/PGME 70/30 용매 30 g에 용해시켜서 3.3 중량% 용액을 제조하였다. PGMEA/PGME 70/30 중의 10% 도데실벤젠 설폰산 트리에틸아민 염 용액 0.1 g을 중합체 용액에 첨가하였다. 이어서, 이 혼합물을 소공 크기가 0.2 ㎛인 마이크로필터를 통해 여과하였다. 이어서, 그 용액을 40 초 동안 규소 웨이퍼 상에 스핀 코팅하였다. 이어서, 그 코팅된 웨이퍼를 200℃에서 1 분 동안 열판 상에서 가열하였다.
BARC 필름의 경우 용매 및 현상제에 대한 저항성의 평가
PGMEA/PGME 70:30 용매를 제제 실시예 1-14로부터 유래된 BARC 물질에 의해 코팅된 규소 웨이퍼 상에 분산시켰다. 용매를 60 초 후에 질소 송풍에 의해 제거하였다. 유의적인 필름 손실이 관찰되지 않았다. 유사한 실험을 현상제, AZ(등록상표) 300MIF 현상제로 수행하였다. 제제 실시예 1(합성 실시예 1로부터 얻은 중합체)로부터 유래된 코팅을 이미드 함량 때문에 현상제에 용해시켰다. 다른 제제 실시예 2-14로부터 얻은 BARC 필름은 현상제에 우수한 저항성을 갖는 것으로 입증되었다.
BARC 코팅에 대한 접촉각 측정
제제 실시예 1-20으로부터 결과로 생성된 BARC 필름은 접촉각 연구를 수행하였다. 각각의 코팅된 웨이퍼에 대하여, 물 5개 방울을 웨이퍼의 중앙 영역, 상측 영역, 하측 영역, 좌측 영역 및 우측 영역에 첨가하였다. 물의 접촉각을 VCA 2500XE 시스템을 이용하여 측정하였다. 이들 5개의 접촉각 데이터를 평균하여 BARC에 대한 물의 접촉각을 얻었다. 물 대신에 현상제(AZ 300 MIF)를 사용하고, 상기 기술된 바와 같은 절차를 수행함으로써, BARC 상에서의 현상제의 접촉각을 측정하였다. 결과들은 합성 실시예 1, 2 및 3 각각으로부터 유래된 최상부 중합체를 지닌 제제에 대한 표 1, 표 2 및 표 3에 나타내었다. 합성 실시예 1 및 4로부터 우래된 중합체의 경우(표 1), 합성 실시예 1으로부터 유래된 소수성 중합체의 첨가시 접촉각 증분은 원하는 바와 같이 효과적이지 않았다. 이는 중합체 내의 저 플루오리네이트 함량 및 고 이미드 함량의 성질로부터 초래되었다. 합성 실시예 2 및 4로부터 유래된 중합체의 경우(표 2) 또는 합성 실시예 3 및 4로부터 유래된 중합체의 경우(표 3), 합성 실시예 2 또는 3으로부터 유래된 소수성 중합체의 첨가에 의한 유의적인 접촉각 증가가 관찰되었는데, 이는 등급화된 최상부 층이 형성되어 있다는 것을 나타내었다. 또한 그 결과에 의하면, 최상부 층 물질의 Mw는 구배 거동에 유의적인 영향을 미치지 않은 것으로 나타났다.
합성 실시예 1 및 4로부터 얻은 중합체의 제제에 대한 접촉각 측정
중합체 조성(중량%)
제제 실시예 합성 실시예 1 합성 실시예 4 물에 대한 접촉각
4 0 100 47.5
5 10 90 55.0
6 20 80 58.4
7 50 50 72.4
1 100 0 74.4
합성 실시예 2 및 4로부터 얻은 중합체의 제제에 대한 접촉각 측정
중합체 조성(중량%)
제제 실시예 합성 실시예 2 합성 실시예 4 물에 대한 접촉각
4 0 100 49.6
8 10 90 70.3
9 20 80 72.4
10 50 50 74.4
2 100 0 78.5
합성 실시예 3 및 4로부터 얻은 중합체의 제제에 대한 접촉각 측정
중합체 조성(중량%)
제제 실시예 합성 실시예 1 합성 실시예 4 물에 대한 접촉각
4 0 100 51.4
11 5 95 60.6
12 10 90 62.9
13 20 80 63.3
14 50 50 63.3
3 100 0 64.4
등급화 재료의 광학 분석
등급화 재료의 광학 분석은 VASE(Variable Angle Spectroscopic Ellipsometry)를 이용하여 수행하였다. 제제 실시예 2 및 4로부터 얻은 균일 필름에 대하여 광학 분산 모델(WVASE(등록상표) genosc. mat, J.A. Woolman)을 이용하여 제제 실시예 8, 9 및 10인 그 2가지 성분의 블렌드로부터 형성된 필름을 모델링하였다. 상 분리된 필름으로부터 얻은 실험 데이터(Δ, Ψ)를 다양한 층상 모델에 핏팅하였다. 이들 모델 중에서도 특히 이중 층, 사이에 균일하게 상호혼합 영역을 지닌 이중 층, 사이에 등급화된 영역을 지닌 이중 층 및 단일 등급화된 층이 존재하였다. 균일하게 혼합된 상호혼합 영역을 지닌 이중 층은 제제내 조성 및 접촉각 측정에 의해 얻어진 결과와 또한 일치하는 매우 우수한 핏트인 것으로 밝혀졌다.
비교 리소그래피 실시예 1
반사 방지 코팅 제제의 리소그래픽 성능은 AZ(등록상표) EXP AX211OP 포토레지스트를 사용하여 평가하였다. 제제 실시예 4의 용액을 규소 웨이퍼 상에 코팅하고, 200℃에서 60 초 동안 소성하였다. AZ(등록상표) EXP AX1120P 포토레지스트를 사용하여, 190 nm 필름을 코팅하고, 100℃에서 60 초 동안 소성하였다. 이어서, 웨이퍼는 193 nm 노출 도구를 사용하여 이미지 방식으로 노출시켰다. 그 노출된 웨이퍼를 110℃에서 60초 동안 소성하였고, AZ(등록상표) 300MIF 현상제를 사용하여 30 초 동안 현상하였다. 주사 전자 현미경 하에 관찰할 때, 라인 및 공간 패턴은 정상파를 나타내지 않음으로써, 현상 후 기판 상에 잔류하는 최저부 반사 방지 코팅의 효능을 나타내었다.
리소그래픽 실시예 2
AZ(등록상표) EXP AX2110P 포토레지스트를 사용하여 반사 방지 코팅 제제의 리소그래픽 성능을 평가하였다. 실시예 8의 용액을 규소 웨이퍼 상에 코팅하고, 200℃에서 60 초 동안 소성하였다. AZ(등록상표) EXP AX2110P 포토레지스트를 사용하여 190 nm 필름을 코팅하고, 100℃에서 60 초 동안 소성하였다. 이어서, 웨이퍼는 193 nm 노출 도구를 사용하여 이미지 방식으로 노출시켰다. 그 노출된 웨이퍼를 110℃에서 60 초 동안 소성하고, AZ(등록상표) 300MIF 현상제를 30 초 동안 현상하였다. 주사 전자 현미경 하에 관찰 할때, 라인 및 공간 패턴은 정상파를 나타내지 않음으로써, 최저부 반사 방지 코팅의 효능을 나태내었다. 패턴 프로파일은 비교 리소그래픽 실시예 1과 비교할 때 감소된 풋팅/스컴을 나타내었다.

Claims (15)

  1. 제1 중합체와 제2 중합체의 혼합물, 및 열적 산 발생제를 포함하는 반사 방지 코팅 조성물로서, 제1 중합체는 하나 이상의 플루오로알콜 부분, 하나 이상의 지방족 히드록실 부분, 및 8 내지 11의 범위에 있는 pKa를 지닌, 플루오로알콜을 제외한 하나 이상의 산 부분을 포함하고, 제2 중합체는 아미노플라스트 화합물과 하나 이상의 히드록실 기 및/또는 하나 이상의 산 기를 포함하는 화합물과의 반응 생성물인 것인 반사 방지 코팅 조성물.
  2. 제1항에 있어서, 제1 중합체는 방향족 기를 추가로 포함하는 것인 반사 방지 코팅 조성물.
  3. 제1항 또는 제2항에 있어서, 제1 중합체 유형은 하기 화학식(1)을 갖는 것인 반사 방지 코팅 조성물:
    Figure 112016052551199-pct00016

    상기 식 중에서, P1은 플루오로알콜 부분을 포함하는 단량체 단위이고, P2는 지방족 알콜 기를 포함하는 단량체 단위이며, P3은 이미드 부분을 포함하는 단량체 단위이고, P4는 염기 이온성(ionizable) 아릴히드록시 부분을 포함하는 단량체 단위이며, P5는 아릴 발색단(chromophore) 부분을 포함하는 임의 단량체 반복 단위이고, u, v, w, x, y는 중합체 사슬내 반복 단위의 몰% 비율을 나타내며, u, v는 0 초과이고, w와 x 중 하나 이상은 0 초과이며, y는 0 이상이다.
  4. 제1항에 있어서, 플루오로알콜 기를 포함하는 제1 중합체는 하기 화학식(2)의 단위인 것인 반사 방지 코팅 조성물:
    Figure 112017114603782-pct00030

    상기 식 중에서, X는 에틸렌 단위 또는 치환된 에틸렌 단위이고, W는 알킬렌 및 아릴렌 기로부터 선택되며, Rf1 및 Rf2는 독립적으로 플루오로(C1-C4)알킬 기이다.
  5. 제3항에 있어서, 이미드 부분을 포함하는 제1 중합체에서 P3은 하기 화학식(8) 또는 (9)로부터 유도된 단위인 것인 반사 방지 코팅 조성물:
    Figure 112016052551199-pct00018

    상기 식 중에서, R1, R2 및 R3은 수소 및 (C1-C4)알킬로부터 독립적으로 선택되고, R"4는 선형 (C1-C10)알킬 기 또는 (C6-C14)아릴 부분이며, R8은 직접 원자가 결합이거나 (C1-C10)알킬렌 스페이서 기이다.
  6. 제1항에 있어서, 지방족 히드록실 부분을 포함하는 제1 중합체는 하기 화학식(7)로부터 유도된 단위인 것인 반사 방지 코팅 조성물:
    Figure 112017114603782-pct00019

    상기 식 중에서, R1, R2 및 R3은 수소 및 (C1-C4)알킬로부터 독립적으로 선택되고, R4는 수소 또는 (C1-C10)알킬 기이며, R9는 직접 결합 및 (C1-C12)알킬 스페이서 기로부터 선택된다.
  7. 제3항에 있어서, 염기 이온성 아릴히드록실 부분을 포함하는 제1 중합체는 하기 화학식(10a) 또는 (10b)로부터 유도된 단위인 것인 반사 방지 코팅 조성물:
    Figure 112017114603782-pct00020

    상기 식 중에서, Ar은 아릴 부분이고, R1, R2 및 R3은 수소 및 (C1-C4)알킬로부터 독립적으로 선택되며, R4는 (C1-C10)알킬 기 또는 (C6-C14)아릴 부분이고, R5는 직접 원자가 결합 또는 스페이서 기이며, R6 및 R7은 수소, (C1-C10)알킬 기, 아릴 부분(C6-C14), (C2-C8)카르보닐옥시알킬 및 (C2-C8)카르보닐로부터 독립적으로 선택되고, n은 1-3이다.
  8. 제2항에 있어서, 방향족 기는 하기 화학식(11) 또는 (12)로부터 유도된 단위인 것인 반사 방지 코팅 조성물:
    Figure 112017114603782-pct00021

    상기 식 중에서, Ar은 아릴 부분이고, R1, R2 및 R3은 수소 및 (C1-C4)알킬로부터 독립적으로 선택되며, R4는 (C1-C10)알킬 기 또는 (C6-C14)아릴 부분이고, R5는 직접 원자가 결합 또는 스페이서 기이며, R6 및 R7은 수소, (C1-C10)알킬 기, 아릴 부분(C6-C14), (C2-C8)카르보닐옥시알킬 및 (C2-C8)카르보닐로부터 독립적으로 선택된다.
  9. 제1항에 있어서, 제2 중합체는 아미노플라스트와 폴리히드록실 화합물의 반응 생성물인 것인 반사 방지 코팅 조성물.
  10. 제1항에 있어서, 아미노플라스트는 하기 화학식(19)으로부터 선택되는 것인 반사 방지 코팅 조성물:
    Figure 112016052551199-pct00022

    상기 식 중에서, 각각의 R10은 독립적으로 CH2-O-(CH2)m-CH3이고, m은 0 내지 3이며, R11 및 R12는 독립적으로 수소 또는 (C1-C10)알킬이다.
  11. 제1항에 있어서, 하나 이상의 히드록실 기 및/또는 하나 이상의 산 기를 포함하는 화합물은 하기 화학식(27) 및 (28)로부터 선택되는 폴리히드록시 화합물인 반사 방지 코팅 조성물:
    Figure 112017114603782-pct00023

    상기 식 중에서, R13은 스페이서 기이고, R14는 수소, C1-C10 알킬, 아릴(C6-C20), (C6-C20)알킬아릴로부터 선택되며, R15, R16 및 R17은 독립적으로 C2-C8 알킬렌 스페이서이다.
  12. 마이크로일렉트로닉 디바이스의 제조 방법으로서,
    (a) 제1항에 기재된 반사 방지 코팅 조성물의 제1 층을 기판에 제공하는 단계,
    (b) 반사 방지 코팅 층 위에 포토레지스트 층을 코팅하는 단계,
    (c) 포토레지스트 층을 이미지 방식(imagewise)으로 노출시키는 단계, 및
    (d) 포토레지스트 층을 수성 알칼리 현상 용액으로 현상시키는 단계
    를 포함하는 제조 방법.
  13. 제12항에 있어서, 제1 반사 방지 코팅 층은 0.05 내지 0.35의 범위에 있는 k 값을 갖는 것인 제조 방법.
  14. 제12항 또는 제13항에 있어서, 포토레지스트는 250 nm 내지 12 nm에 민감성인 것인 제조 방법.
  15. 제12항에 있어서, 현상 용액은 수산화물 염기를 포함하는 수용액인 것인 제조 방법.
KR1020137000173A 2010-06-03 2011-06-01 반사 방지 코팅 조성물 및 마이크로일렉트로닉 디바이스의 제조 방법 KR101851414B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/792,994 2010-06-03
US12/792,994 US8445181B2 (en) 2010-06-03 2010-06-03 Antireflective coating composition and process thereof
PCT/IB2011/001229 WO2011151719A1 (en) 2010-06-03 2011-06-01 Antireflective coating composition and process for manufacturing microelectronic device

Publications (2)

Publication Number Publication Date
KR20130114071A KR20130114071A (ko) 2013-10-16
KR101851414B1 true KR101851414B1 (ko) 2018-04-23

Family

ID=44532949

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137000173A KR101851414B1 (ko) 2010-06-03 2011-06-01 반사 방지 코팅 조성물 및 마이크로일렉트로닉 디바이스의 제조 방법

Country Status (8)

Country Link
US (1) US8445181B2 (ko)
EP (1) EP2577361B1 (ko)
JP (1) JP5806298B2 (ko)
KR (1) KR101851414B1 (ko)
CN (1) CN102939549B (ko)
SG (1) SG185009A1 (ko)
TW (1) TWI509037B (ko)
WO (1) WO2011151719A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8623589B2 (en) * 2011-06-06 2014-01-07 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions and processes thereof
US8999624B2 (en) 2012-06-29 2015-04-07 International Business Machines Corporation Developable bottom antireflective coating composition and pattern forming method using thereof
CN112521552B (zh) * 2018-12-13 2022-03-11 儒芯微电子材料(上海)有限公司 一种聚合物树脂及其制备方法和金属剥离胶组合物
CN116082914B (zh) * 2022-11-24 2024-04-30 厦门恒坤新材料科技股份有限公司 一种有机抗反射涂层组合物及其制备方法和图案形成方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009066169A1 (en) 2007-11-21 2009-05-28 Az Electronic Materials Usa Corp. An antireflective coating composition and process thereof

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
EP0058638B1 (de) 1981-02-13 1985-08-28 Ciba-Geigy Ag Härtbare Zusammensetzung auf Basis eines säurehärtbaren Harzes und Verfahren zu dessen Härtung
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
JP2551087B2 (ja) 1988-02-29 1996-11-06 三井石油化学工業株式会社 ペリクル膜の製造方法
JPH01293339A (ja) 1988-05-23 1989-11-27 Tosoh Corp フォトレジスト組成物
DE69125634T2 (de) 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
DE69323812T2 (de) 1992-08-14 1999-08-26 Japan Synthetic Rubber Co Ltd Reflexionsverhindernder Film und Verfahren zur Herstellung von Resistmustern
JP3268949B2 (ja) 1993-07-20 2002-03-25 和光純薬工業株式会社 遠紫外光吸収材料及びこれを用いたパターン形成方法
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US6808859B1 (en) 1996-12-31 2004-10-26 Hyundai Electronics Industries Co., Ltd. ArF photoresist copolymers
US5926740A (en) 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
US6849377B2 (en) 1998-09-23 2005-02-01 E. I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
US6790587B1 (en) 1999-05-04 2004-09-14 E. I. Du Pont De Nemours And Company Fluorinated polymers, photoresists and processes for microlithography
US6686124B1 (en) 2000-03-14 2004-02-03 International Business Machines Corporation Multifunctional polymeric materials and use thereof
AU2001274579A1 (en) 2000-06-21 2002-01-02 Asahi Glass Company, Limited Resist composition
US6447980B1 (en) 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
KR100776551B1 (ko) 2001-02-09 2007-11-16 아사히 가라스 가부시키가이샤 레지스트 조성물
TW591341B (en) 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
US6723488B2 (en) 2001-11-07 2004-04-20 Clariant Finance (Bvi) Ltd Photoresist composition for deep UV radiation containing an additive
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7264913B2 (en) * 2002-11-21 2007-09-04 Az Electronic Materials Usa Corp. Antireflective compositions for photoresists
JP2005221963A (ja) 2004-02-09 2005-08-18 Fuji Photo Film Co Ltd 反射防止膜、反射防止フィルムおよび画像表示装置
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US7691556B2 (en) * 2004-09-15 2010-04-06 Az Electronic Materials Usa Corp. Antireflective compositions for photoresists
US7468227B2 (en) 2004-11-16 2008-12-23 Applied Materials, Inc. Method of reducing the average process bias during production of a reticle
US7326523B2 (en) 2004-12-16 2008-02-05 International Business Machines Corporation Low refractive index polymers as underlayers for silicon-containing photoresists
US20060263720A1 (en) 2005-05-11 2006-11-23 Fuji Photo Film Co., Ltd. Photosensitive lithographic printing plate
EP1742108B1 (en) 2005-07-05 2015-10-28 Rohm and Haas Electronic Materials, L.L.C. Coating compositions for use with an overcoated photoresist
JP2007219009A (ja) 2006-02-14 2007-08-30 Az Electronic Materials Kk レジスト基板用処理液とそれを用いたレジスト基板の処理方法
US7816069B2 (en) 2006-06-23 2010-10-19 International Business Machines Corporation Graded spin-on organic antireflective coating for photolithography
US20080286689A1 (en) * 2007-05-14 2008-11-20 Hong Zhuang Antireflective Coating Compositions
US20090042133A1 (en) * 2007-08-10 2009-02-12 Zhong Xiang Antireflective Coating Composition
US8329387B2 (en) 2008-07-08 2012-12-11 Az Electronic Materials Usa Corp. Antireflective coating compositions
JP5228792B2 (ja) * 2008-10-23 2013-07-03 Jsr株式会社 上層反射防止膜形成用組成物及び上層反射防止膜
JP5177418B2 (ja) * 2008-12-12 2013-04-03 信越化学工業株式会社 反射防止膜形成材料、反射防止膜及びこれを用いたパターン形成方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009066169A1 (en) 2007-11-21 2009-05-28 Az Electronic Materials Usa Corp. An antireflective coating composition and process thereof

Also Published As

Publication number Publication date
WO2011151719A1 (en) 2011-12-08
EP2577361B1 (en) 2016-10-12
CN102939549A (zh) 2013-02-20
KR20130114071A (ko) 2013-10-16
CN102939549B (zh) 2015-11-25
TWI509037B (zh) 2015-11-21
EP2577361A1 (en) 2013-04-10
JP5806298B2 (ja) 2015-11-10
US8445181B2 (en) 2013-05-21
SG185009A1 (en) 2012-11-29
JP2013530425A (ja) 2013-07-25
TW201204795A (en) 2012-02-01
US20110300488A1 (en) 2011-12-08

Similar Documents

Publication Publication Date Title
KR101536798B1 (ko) 반사방지 코팅 조성물
JP5568791B2 (ja) 反射防止コーティング組成物
US7416834B2 (en) Antireflective coating compositions
US8039201B2 (en) Antireflective coating composition and process thereof
KR101486841B1 (ko) 가교결합성 중합체에 기초한 기층 코팅 조성물
KR101824759B1 (ko) 하층 코팅 조성물 및 마이크로전자 장치의 제조 방법
KR101420460B1 (ko) 반사 방지 코팅 조성물
US20150227043A1 (en) Bottom antireflective materials and compositions
KR101851414B1 (ko) 반사 방지 코팅 조성물 및 마이크로일렉트로닉 디바이스의 제조 방법

Legal Events

Date Code Title Description
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant