KR101698248B1 - 집적 회로의 제조 방법 및 레이아웃 - Google Patents

집적 회로의 제조 방법 및 레이아웃 Download PDF

Info

Publication number
KR101698248B1
KR101698248B1 KR1020140177296A KR20140177296A KR101698248B1 KR 101698248 B1 KR101698248 B1 KR 101698248B1 KR 1020140177296 A KR1020140177296 A KR 1020140177296A KR 20140177296 A KR20140177296 A KR 20140177296A KR 101698248 B1 KR101698248 B1 KR 101698248B1
Authority
KR
South Korea
Prior art keywords
metal line
metal
conductive
conductive segment
line
Prior art date
Application number
KR1020140177296A
Other languages
English (en)
Other versions
KR20150068910A (ko
Inventor
웨이-유 첸
리-춘 티엔
후이-즈홍 주앙
팅-웨이 치앙
흐시앙-젠 쳉
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20150068910A publication Critical patent/KR20150068910A/ko
Application granted granted Critical
Publication of KR101698248B1 publication Critical patent/KR101698248B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

집적 회로 레이아웃은 제1 금속 라인, 제2 금속 라인, 적어도 하나의 제1 도존성 비아 및 제1 도전성 세그먼트를 포함한다. 제1 금속 라인은 제1 방향을 따라 형성된다. 적어도 하나의 제1 도전성 비아는 제1 금속 라인 위에 배치된다. 제2 금속 라인은 적어도 하나의 제1 도전성 비아 위에 배치되고 제1 금속 라인과 평행하게 놓인다. 제1 도전성 세그먼트는 제2 금속 라인의 일단부 상에 형성된다.

Description

집적 회로의 제조 방법 및 레이아웃{METHOD AND LAYOUT OF AN INTEGRATED CIRCUIT}
본 개시는 집적 회로에 관한 것으로, 더욱 구체적으로 집적 회로의 레이아웃에 관한 것이다.
매우 큰 규모의 집적(VLSI) 기술의 추세는 더 좁은 배선 라인들 및 더 작은 접촉부들을 야기한 바 있다. 더불어, 집적 회로 설계는 더욱 복잡하고 더 조밀해지고 있다. 더 많은 소자들이 성능을 개선하기 위해 집적 회로에 집적된다.
집적 회로의 설계에서, 사전 결정된 기능들을 구비하는 표준 셀들이 사용된다. 표준 셀들의 레이아웃들은 셀 라이브러리들에 저장된다. 집적 회로를 설계할 때, 표준 셀들의 개별적인 레이아웃은 셀 라이브러리들로부터 검색되며 그리고 집적 회로 레이아웃 상의 하나 이상의 요구되는 개소 내에 놓이게 된다. 이어서 라우팅(routing)이, 표준 셀들을 배선 트랙들(interconnection tracks)을 사용하여 서로 연결하기 위해, 실행된다.
본 발명의 배경이 되는 기술은 일본 공개특허공보 특개2005-223227호에 개시되어 있다.
본 발명의 일 양태에 따르면, 집적 회로 레이아웃이, 제1 금속 라인, 제2 금속 라인, 적어도 하나의 제1 도전성 비아 및 제1 도전성 세그먼트를 포함한다. 제1 금속 라인은 제1 방향을 따라 형성된다. 일부 실시예들에서, 제1 방향은 X 축 방향이다. 일부 실시예들에서, 제1 방향은 Y 축 방향이다. 적어도 하나의 제1 도전성 비아는 제1 금속 라인 위에 배치된다. 제2 금속 라인은 적어도 하나의 제1 도전성 비아 위에 배치되고 제1 금속 라인과 평행하게 놓인다. 제1 도전성 세그먼트는 제2 금속 라인의 일단부 상에 형성된다.
본 발명의 다른 양태에 따르면, 집적 회로 레이아웃이, 제1 금속 라인, 제1 도전성 비아, 제2 금속 라인, 제1 도전성 세그먼트 및 제2 도전성 세그먼트를 포함한다. 제1 금속 라인은 제1 방향을 따라 금속 층(N-1) 내에 형성된다. 일부 실시예들에서, 제1 방향은 X 축 방향이다. 일부 실시예들에서, 제1 방향은 Y 축 방향이다. 비아 층(N-1) 내의 제1 도전성 비아는 제1 금속 라인 위에 배치된다. 제2 금속 라인은 금속 층(N) 내에 형성되고 제1 금속 라인과 평행하게 놓인다. 나아가, 제2 금속 라인은 제1 도전성 비아 위에 배치된다. 제1 도전성 세그먼트 및 제2 도전성 세그먼트는 금속 층(N) 내에서 제2 금속 라인의 개별적인 단부 상에 형성된다.
본 발명의 또 다른 양태에 따르면, 프로세서를 사용하여 집적 회로 레이아웃을 구성하는 방법이, 프로세서를 사용하여 금속 층(N-1) 내에 제1 방향을 따라 제1 금속 라인을 생성하는 단계를 포함한다. 방법은 또한, 프로세서를 사용하여 비아 층(N-1) 내에, 제1 금속 라인 위에 놓이고 제1 금속 라인에 결합되는, 제1 도전성 비아를 생성하는 단계를 포함한다. 방법은 나아가, 프로세서를 사용하여 금속 층(N) 내에 제1 방향을 따라, 제1 도전성 비아 위에 놓이고 제1 도전성 비아에 결합되는, 제2 금속 라인을 생성하는 단계를 포함한다. 방법은 더 나아가, 제2 금속 라인의 일단부에 제1 도전성 세그먼트를 생성하는 단계를 포함한다. 방법은 더 나아가, 레이아웃에 기초하여 집적 회로를 제조하기 위한 명령어들의 세트를 생성하는 단계 및 기계 판독가능 영구 저장 매체 내에 명령어들의 세트를 저장하는 단계를 포함한다.
도 1은 일부 실시예에 따른 레이아웃(100)을 도시한 평면도이다.
도 2는 일부 실시예에 따른 레이아웃(200)을 도시한 평면도이다.
도 3은 일부 실시예에 따른 레이아웃(300)을 도시한 평면도이다.
도 4는 일부 실시예에 따른 레이아웃(400)을 도시한 평면도이다.
도 5는 일부 실시예에 따른 레이아웃 방법의 흐름도(500)이다.
도 6은 일부 실시예에 따른 흐름도(500)를 실시하기 위한 프로세싱 시스템(600)을 도시한 도면이다.
본 개시의 다양한 실시예들을 만들고 이용하는 것이 아래에서 상세하게 논의된다. 그러나, 실시예들은 광범위한 특정 상황들에서 실시될 수 있는 많은 적용 가능한 진보적 혁신들을 제공한다는 것이 인식되어야 한다. 논의된 특정 실시예는 단지 예시적이며, 본 개시의 범위를 제한하지 않는다.
예시적인 실시예에 대한 본 설명은 전체 기재된 설명의 일부로 고려되어야 하는 첨부 도면과 함께 읽도록 의도된다. 설명에서, "아래쪽", "위쪽", "수평", "수직", "위에", "아래에", "위", "아래", "상부" 및 "하부" 등의 상대적인 용어 뿐만 아니라 그들의 파생어(예컨대, "수평으로", "하방으로", "상방으로" 등)는 논의 중인 도면에 도시된 바와 같은 또는 설명되는 바와 같은 지향성을 언급하는 것으로 해석되어야 한다. 이러한 상대적인 용어들은 설명의 편의를 위한 것이며 장치가 특정한 지향으로 구성되거나 작동되는 것을 요구하지 않는다. "연결되는" 및 "상호연결되는"과 같은, 부착, 커플링 및 이와 유사한 것에 관한 용어는, 달리 명시적으로 설명되지 않는다면, 구조물들이 서로에 대해 직접적으로 또는 개재되는 구조물을 통해 간접적으로 고정 또는 부착되는 관계를 지칭한다.
일부 실시예들은 뒤따르는 특징들 및 장점들 중의 하나 또는 그들의 조합을 구비한다. 집적 회로 레이아웃은 제1 금속 라인, 제2 금속 라인, 적어도 하나의 제1 도전성 비아(first conductive via), 제1 도전성 세그먼트 및 제2 도전성 세그먼트를 포함한다. 제1 금속 라인은 제1 방향을 따라 형성된다. 적어도 하나의 제1 도전성 비아는 제1 금속 라인 위에 배치된다. 제2 금속 라인은 적어도 하나의 제1 도전성 비아 위에 배치되고 제1 금속 라인과 평행하게 놓인다. 제1 도전성 세그먼트 및 제2 도전성 세그먼트는 제2 금속 라인의 개별적인 단부 상에 형성된다.
도 1은 특정 레이아웃들에서의 최소 이격 규칙들(minimum spacing rules)의 잠재적인 문제점들을 예시하는 레이아웃(100)의 평면도이다. 단순함을 위해, 레이아웃(100)은 단지 예시 목적을 위해 필요한 구성요소들만을 포함한다. 레이아웃(100)은 금속 층들, 비아 층들 및 사전 결정된 설계 규칙의 세트 사이의 관련성을 예시하기 위한 레이아웃 구조를 나타낸다. 도 1에서, 여러 금속 층들 및 비아 층들이 중첩된다. 레이아웃(100)은 도전성 비아 1(102), 도전성 비아 2(104), 금속 2 라인들(110 - 114) 및 금속 3 라인(120)을 포함한다. 일부 실시예들에서, 도전성 비아 1의 층은 또한 본 명세서에서 V1 로 지칭된다. 일부 실시예들에서, 도전성 비아 2의 층은 또한 본 명세서에서 V2 로 지칭된다. 일부 실시예들에서, 금속 2 라인의 층은 또한 본 명세서에서 M2 로 지칭된다. 일부 실시예들에서, 금속 3 라인의 층은 또한 본 명세서에서 M3 으로 지칭된다. 위에서 아래로의 순서로, 금속 3 라인은 도전성 비아 2 및 금속 2 라인을 통해 대응하는 도전성 비아 1에 연결된다. 본 개시에 사용되는 금속 층들 및 비아 층들은 예시의 목적을 위한 것이며, 임의의 다른 금속 층들 및 비아 층들이 다양한 실시예의 범위 내에 놓인다.
일부 실시예들에서, 금속 3 라인(120)은 Y 방향을 따라 배열된다. 도전성 비아 1(102) 및 도전성 비아 2(104)는 Y 방향을 따라 정렬된다. 도전성 비아 1(102)과 도전성 비아 2(104)의 의 일부분은 X 축에 관해 중첩된다. 레이아웃(100)에서, 길이(L1)는 사전결정된 값(R1) 보다 크고, 도전성 비아 1(102)과 도전성 비아 2(104)가 따라서 정렬되는, Y 방향과 평행하다. 일부 실시예들에서, 사전결정된 값(R1)은 32nm 이하이다. 일부 실시예들에서, 도전성 비아 1(102)과 도전성 비아 2(104) 사이의 거리(D1)는, 레이아웃을 제조하기 위해 사용되는 사전결정된 제조 프로세스와 연관되는, 사전결정된 설계 규칙의 세트에 의해 규제된다. 결과적으로, 거리(D1)는 설계 규칙 위반들 및 가능한 프로세스 결함들을 회피하도록 제어될 필요가 있다. 일부 실시예들에서, 거리(D1)는 32nm 이상이다. 그러한 상황에서, 레이아웃(100)의 영역 불이익(area penalty)이 사전결정된 설계 규칙들의 세트를 충족시키기 위해 고통받게 된다.
일부 실시예들에 따르면, 레이아웃(100)에서, 금속 3 라인(120)의 길이(L1)가 금속 3 라인(120)의 폭(W1)보다 크고, 폭(W1)은 사전결정된 값(R2) 보다 크다. 일부 실시예들에서, 사전결정된 값(R2)은 37nm 이하이다. 금속 2 라인(112)이 배열되며 그리고 적어도 하나의 도전성 비아 2(104)를 수용하는 금속 2 라인(110)과 평행하게 놓인다. 일부 실시예들에서, 도전성 비아 2(104)와 금속 2 라인(112) 사이의 거리(D2)는, 레이아웃을 제조하기 위해 사용되는 사전결정된 제조 프로세스와 연관되는, 사전결정된 설계 규칙의 세트에 의해 규제된다. 결과적으로, 거리(D2)는 설계 규칙 위반들 및 가능한 프로세스 결함들을 회피하도록 제어될 필요가 있다. 일부 실시예들에서, 거리(D2)는 32nm 이상이다. 그러한 상황에서, 레이아웃(100)의 영역 불이익(area penalty)이 사전결정된 설계 규칙들의 세트를 충족시키기 위해 고통받게 된다.
도 2는 특정 레이아웃들에서의 최소 이격 규칙의 잠재적인 문제점들을 예시하는 레이아웃(200)의 평면도이다. 단순함을 위해, 레이아웃(200)은 단지 예시 목적을 위해 필요한 구성요소들만을 포함한다. 레이아웃(200)은 금속 층들, 비아 층들 및 사전 결정된 설계 규칙의 세트 사이의 관련성을 예시하기 위한 레이아웃 구조를 나타낸다. 레이아웃(200)은, 도 1의 대응하는 요소들과 상이한 도전성 비아 2(206) 및 금속 3 라인(220, 222)을 제외하고, 유사한 요소들을 포함한다. 본 개시에서 사용되는 금속 층들 및 비아 층들은 예시의 목적을 위한 것이며, 임의의 다른 금속 층들 및 비아 층들이 다양한 실시예들의 범위 이내에 있다.
일부 실시예들에서, 금속 3 라인(220, 222)은 Y 방향을 따라 배열되고 서로 평행하다. 도전성 비아 1(102) 및 도전성 비아 2(104)는 Y 방향을 따라 정렬된다. 도전성 비아 1(102)과 도전성 비아 2(104)의 일부분은 X 축에 관해 중첩된다. 레이아웃(200)에서, 길이(L2)는 사전결정된 값(R1)보다 크고, 도전성 비아 1(102)과 도전성 비아 2(104)가 따라서 정렬되는 Y 방향과 평행하다. 그러한 상황에서, 도전성 비아 1(102)과 도전성 비아 2(104) 사이의 거리(D1)는 여전히 사전결정된 설계 규칙들의 세트에 의해 규제된다. 결과적으로, 거리(D1)는 설계 규칙 위반을 회피하도록 제어될 필요가 있다.
일부 실시예들에 따르면, 레이아웃(200)에서, 금속 3 라인(220)의 길이(L2)는 금속 3 라인(220)의 폭(W2)보다 크고, 폭(W2)은 사전결정된 값(R2) 이하이다. 레이아웃(200)의 레이아웃 구조에 따라, 금속 2 라인(112)이 사전 결정된 설계 규칙들의 세트에 의해 규제되는 거리(D2)를 만족하지 않는 가운데, 도전성 비아 2(104) 위에 형성될 수 있으며 그리고 그에 인접할 수 있다.
도 3은 일부 실시예에 따른 레이아웃(300)의 평면도이다. 단순함을 위해, 레이아웃(300)은 단지 설명의 목적을 위해 필요한 구성요소들만 포함한다. 레이아웃(300)은 금속 층들, 비아 층들 및 사전 결정된 설계 규칙의 세트 사이의 관련성을 예시하기 위한 레이아웃 구조를 나타낸다. 레이아웃(300)은, 도 1의 대응하는 요소들과 상이한 도전성 비아 2(306), 금속 3 라인(320) 및 도전성 세그먼트들(340, 342)을 제외하고, 유사한 요소들을 포함한다. 금속 3 라인(320)은 수평으로 형성되고 도전성 비아 2(104, 306) 위해 배치된다. 나아가, 금속 3 라인(320)은 상부 경계(324) 및 하부 경계(326)를 포함한다. 도전성 세그먼트들(340, 342)은 금속 3 라인(320)의 개별적인 단부 상에 형성된다. 일부 실시예들에서, 도전성 세그먼트들(340, 342) 중 단지 하나만이 금속 3 라인(320)의 일단부 상에 형성된다. 도전성 세그먼트(340)는 상부 경계(330) 및 하부 경계(332)를 포함한다. 도전성 세그먼트(342)는 상부 경계(334) 및 하부 경계(336)를 포함한다. 일부 실시예에서, 금속 3 라인(320)은 도전성 세그먼트들(340, 342)과 함께 H-자 형상 금속 3 라인을 형성한다. 본 개시에 사용되는 금속 층들 및 비아 층들예시의 목적을 위한 것이며, 임의의 다른 금속 층들 및 비아 층들이 다양한 실시예들의 범위 내에 있다.
금속 3 라인 (320) 및 도전성 세그먼트들(340, 342)은 X 방향을 따라 H-자 형상을 형성하도록 배열된다. 도전성 세그먼트들(340, 342)의 길이(L31, L32)는 금속 3 라인(320)의 길이(L3)보다 크게 배열된다. 도전성 비아 1(102) 및 도전성 비아 2(104)는 Y 방향을 따라 형성되고 연장된다. 도전성 비아 1(102) 및 도전성 비아 2(104)의 일부분은 X 축 상에서 중첩된다.
일부 실시예에 따르면, 레이아웃(300)에서, 금속 3 라인(320)의 길이(L3)는 사전결정된 값(R1)보다 작도록 배열되고, 도전성 비아 1(102) 및 도전성 비아 2(104)가 따라서 따라서 연장되는 Y 방향과 평행하다. 레이아웃(300)의 레이아웃 구조에 따라, 도전성 비아 1(102)은, 도 1에 관해 이상에서 논의된 바와 같은 사전 결정된 설계 규칙들의 세트에 의해 규제되는 거리(D1)를 만족하지 않는 가운데, 도전성 비아 2(104) 아래에 형성될 수 있으며 그리고 그에 더 가까워질 수 있다.
일부 실시예들에 따르면, 레이아웃(300)에서, 폭(W3)은 금속 3 라인(320)의 폭(W33)과 대응하는 도전성 세그먼트들(340, 342)의 폭들(W31, W32)의 합계를 나타낸다. 금속 3 라인(320)의 길이(L3)는 폭(W3)보다 작다. 레이아웃(300)의 레이아웃 구조에 따라, 금속 2 라인(112)은, 도 1에 관해 이상에서 논의된 바와 같은 사전 결정된 설계 규칙들의 세트에 의해 규제되는 거리(D2)를 만족하지 않는 가운데, 도전성 비아 2(104) 위에 형성될 수 있으며 그리고 그에 인접하게 될 수 있다.
일부 실시예들에서, 금속 3 라인(320)의 폭(W33)은 도전성 세그먼트(340)의 폭(W31) 보다 크거나, 작거나 또는 동일할 수 있다. 일부 실시예들에서, 금속 3 라인(320)의 폭(W33)은 도전성 세그먼트(342)의 폭(W32) 보다 크거나, 작거나 또는 동일할 수 있다. 일부 실시예들에서, 도전성 세그먼트(342)의 폭(W32)은 도전성 세그먼트(340)의 폭(W31) 보다 크거나, 작거나 또는 동일할 수 있다. 일부 실시예들에서, 도전성 세그먼트(342)의 길이(L32)은 도전성 세그먼트(340)의 길이(L31) 보다 크거나, 작거나 또는 동일할 수 있다.
일부 실시예들에서, 금속 3 라인(320)의 폭(W33)은 도전성 세그먼트(340)의 길이(L31) 보다 크거나, 작거나 또는 동일할 수 있다. 일부 실시예들에서, 금속 3 라인(320)의 폭(W33)은 도전성 세그먼트(342)의 길이(L32) 보다 크거나, 작거나 또는 동일할 수 있다. 일부 실시예들에서, 도전성 세그먼트(342)의 폭(W32)은 도전성 세그먼트(340)의 길이(L31) 보다 크거나, 작거나 또는 동일할 수 있다. 일부 실시예들에서, 도전성 세그먼트(342)의 길이(L32)는 도전성 세그먼트(340)의 폭(W31) 보다 크거나, 작거나 또는 동일할 수 있다.
일부 실시예들에서, 도전성 세그먼트(340)의 길이(L31)는 도전성 세그먼트(340)의 폭(W31) 보다 크거나, 작거나 또는 동일할 수 있다. 일부 실시예들에서, 도전성 세그먼트(342)의 길이(L32)는 도전성 세그먼트(342)의 폭(W32) 보다 크거나, 작거나 또는 동일할 수 있다.
일부 실시예들에서, 도전성 세그먼트(340)의 상부 경계(330)는 Y 축 상에서 금속 3 라인(320)의 상부 경계(324)와 정렬된다. 그러한 상황에서, 금속 3 라인(320)은 도전성 세그먼트들(340, 342)과 함께 h-자 형상 금속 3 라인을 형성한다. 나아가, 금속 3 라인(320)은 도전성 세그먼트(340)와 함께 L-자 형상 금속 3 라인을 형성한다. 일부 실시예들에서, 도전성 세그먼트(342)의 상부 경계(334)는 Y 축 상에서 금속 3 라인(320)의 상부 경계(324)와 정렬된다. 그러한 상황에서, 금속 3 라인(320)은 또한 도전성 세그먼트들(340, 342)과 함께 h-자 형상 금속 3 라인을 형성한다. 나아가, 금속 3 라인(320)은 도전성 세그먼트(342)와 함께 L-자 형상 금속 3 라인을 형성한다. 일부 실시예들에서, 도전성 세그먼트(340)의 상부 경계(330) 및 도전성 세그먼트(342)의 상부 경계(334)는 동시에 Y 축 상에서 금속 3 라인(320)의 상부 경계(324)와 정렬된다. 그러한 상황에서, 금속 3 라인(320)은 도전성 세그먼트들(340, 342)과 함께 U-자 형상 금속 3 라인을 형성한다.
일부 실시예들에서, 도전성 세그먼트(340)의 하부 경계(332)는 Y 축 상에서 금속 3 라인(320)의 하부 경계(326)와 정렬된다. 그러한 상황에서, 금속 3 라인(320)은 도전성 세그먼트들(340, 342)과 함께 h-자 형상 금속 3 라인을 형성한다. 일부 실시예들에서, 도전성 세그먼트(342)의 하부 경계(336)는 Y 축 상에서 금속 3 라인(320)의 하부 경계(326)와 정렬된다. 그러한 상황에서, 금속 3 라인(320)은 또한 도전성 세그먼트들(340, 342)과 함께 h-자 형상 금속 3 라인을 형성한다. 일부 실시예들에서, 도전성 세그먼트(340)의 하부 경계(332) 및 도전성 세그먼트(342)의 하부 경계(336)는 동시에 Y 축 상에서 금속 3 라인(320)의 하부 경계(326)와 정렬된다. 그러한 상황에서, 금속 3 라인(320)은 도전성 세그먼트들(340, 342)과 함께 U-자 형상 금속 3 라인을 형성한다.
일부 실시예에서, Y 축 상에서, 도전성 세그먼트(340)의 상부 경계(330)는 금속 3 라인(320)의 상부 경계(324)와 정렬되며, 그리고 도전성 세그먼트(342)의 하부 경계(336)는 금속 3 라인(320)의 하부 경계(326)와 정렬된다. 그러한 상황에서, 금속 3 라인(320)은 도전성 세그먼트들(340, 342)과 함께 Z-자 형상 금속 3 라인을 형성한다. 일부 실시예에서, Y 축 상에서, 도전성 세그먼트(342)의 상부 경계(334)는 금속 3 라인(320)의 상부 경계(324)와 정렬되며, 그리고 도전성 세그먼트(340)의 하부 경계(332)는 금속 3 라인(320)의 하부 경계(326)와 정렬된다. 그러한 상황에서, 금속 3 라인(320)은 도전성 세그먼트들(340, 342)과 함께 Z-자 형상 금속 3 라인을 형성한다.
일부 실시예들에서, 도전성 비아 3(미도시)들이 도전성 세그먼트들(340, 342)의 개별적인 영역 상에 형성된다. 일부 실시예들에서, 개별적인 도전성 세그먼트(340, 342) 상에서 도전성 비아 3들(미도시) 중 하나의 크기는, 도전성 비아 2들(104, 306) 중 하나의 크기와 동일하거나, 그 보다 크거나 또는 그 보다 작을 수 있다.
도 4는 일부 실시예들에 따른 레이아웃(400)의 평면도이다. 단순함을 위해, 레이아웃(400)은 단지 예시의 목적을 위한 V2 및 M3 층들 내의 요소들만을 포함한다. 레이아웃(400)은, 도 3의 M3 층의 상응하는 요소들과 상이한 금속 3 라인(420)을 제외하고, M3 층 내의 유사한 요소들을 포함한다. 금속 3 라인(420)은 Y 방향으로 수직으로 형성되고 도전성 비아 2(104, 306) 사이에 놓인다. 일부 실시예들에서, 금속 3 라인(420)은 도전성 세그먼트들(340, 342) 사이에 개재되며, 금속 3 라인(320)과 직교한다. 나아가, 금속 3 라인(420)은 상부 경계(424) 및 하부 경계(426)를 포함한다. 본 개시에 사용되는 금속 층들 및 비아 층들은 예시의 목적을 위한 것이며, 임의의 다른 금속 층들 및 비아 층들이 다양한 실시예의 범위 내에 있다.
일부 실시예들에서, 금속 3 라인(420)의 상부 경계(424)는 금속 3 라인(320)의 상부 경계(324)와 정렬된다. 일부 실시예들에서, 금속 3 라인(420)의 하부 경계(426)는 금속 3 라인(320)의 하부 경계(326)와 정렬된다.
일부 실시예들에서, 금속 3 라인(420)의 폭(W4)은 도전성 세그먼트(340)의 폭(W31) 보다 작거나, 크거나 또는 그와 동일한 크기일 수 있다. 일부 실시예들에서, 금속 3 라인(420)의 폭(W4)은 도전성 세그먼트(342)의 폭(W32) 보다 작거나, 크거나 또는 그와 동일한 크기일 수 있다. 일부 실시예들에서, 금속 3 라인(420)의 폭(W4)은 개별적인 도전성 세그먼트(340, 342)의 폭들(W31, W32) 모두 보다 작거나, 크거나 또는 그들과 동일한 크기일 수 있다.
일부 실시예들에서, 금속 3 라인(420)의 길이(L4)는 도전성 세그먼트(340)의 길이(L31) 보다 작거나, 크거나 또는 그와 동일한 크기일 수 있다. 일부 실시예들에서, 금속 3 라인(420)의 길이(L4)는 도전성 세그먼트(342)의 길이(L32) 보다 작거나, 크거나 또는 그와 동일한 크기일 수 있다. 일부 실시예들에서, 금속 3 라인(420)의 길이(L4)는 개별적인 도전성 세그먼트(340, 342)의 길이들(L31, L32) 모두 보다 작거나, 크거나 또는 그들과 동일한 크기일 수 있다.
일부 실시예들에서, 금속 3 라인(420)의 길이(L4)는 금속 3 라인(320)의 길이(L3) 보다 더 크다.
도 5는 일부 예시적인 실시예들에 따른 레이아웃 방법에 대한 흐름도(500)이다.
작업(510)에서, 제1 금속 라인이 금속 층(N-1) 내에 제1 방향을 따라 생성된다. 예를 들어, 도 3의 금속 2 라인(110)이 M2 층 내에 X 방향을 따라 생성된다.
작업(520)에서, 제1 도전성 비아가 비아 층(N-1) 내에 생성된다. 제1 도전성 비아는 제1 금속 라인의 위에 있고 제1 금속 라인에 결합된다. 예를 들어, 도 3의 V2 층 내의 도전성 비아 2(104)가 금속 2 라인(110)의 위에 있고 금속 2 라인에 결합된다.
작업(530)에서, 제2 금속 라인이 금속 층(N) 내에 제1 방향을 따라 생성된다. 제2 금속 라인은 제1 도전성 비아의 위에 있고 제1 도전성 비아에 결합된다. 예를 들어, 도 3의 금속 3 라인(320)이 도전성 비아 2(104)의 위에 있고, 도전성 비아 2에 결합되며, 그리고 M3 층 내에 X 방향을 따라 형성된다.
작업(540)에서, 제1 도전성 세그먼트가 제2 금속 라인의 일단부에 생성된다. 예를 들어, 도 3의 도전성 세그먼트(340)가 금속 3 라인(320)의 오른쪽 단부에 생성된다. 다른 예로서, 도전성 세그먼트(342)가 금속 3 라인(320)의 왼쪽 단부에 생성된다.
도 6은, 상기한 방법이 하나 이상의 상기한 레이아웃 실시예를 생성하기 위해 실시될 수 있는, 프로세싱 시스템(600)을 도시한다. 프로세싱 시스템(600)은, 중앙 처리 유닛, 입력/출력 회로, 신호 처리 회로, 및 휘발성 및/또는 비휘휘발성 메모리를 포함할 수 있는, 프로세서(602)를 포함한다. 프로세서(602)는 사용자 입력과 같은 입력을 입력 디바이스(604)로부터 수신한다. 입력 디바이스는, 키보드, 마우스, 테블릿, 접촉 감응 표면, 스타일러스(stylus), 마이크로폰 및 이와 유사한 것 중 하나 이상을 포함한다. 프로세서(602)는 또한, 표준 셀 레이아웃, 셀 라이브러리들, 모델들, 및 이와 유사한 것과 같은, 입력을 기계 판독가능 영구적 저장 매체(608)로부터 수신한다. 기계 판독가능 영구적 저장 매체는 위치적으로 프로세서에 위치하게 될 수 있고, 또는 프로세서(602)와 기계 판독가능 영구적 저장 매체 사이의 통신이, 전화 네트워트, 인터넷, 근거리 네트워크(LAN), 광역 네트워크(VAN) 또는 이와 유사한 것과 같은, 네트워크 상에서 일어나는 경우에, 프로세서(602)로부터 떨어져 있을 수도 있다. 기계 판독가능 영구적 저장 매체는, 하드 디스크, 자기 기억 매체, 광 기억 매체, 비휘발성 메모리 저장 매체 및 이와 유사한 것 중 하나 이상을 포함한다. 기계 판독가능 영구적 저장 매체(608) 상에 저장되는 데이터 및 명령어들을 조직화하기 위한 데이버베이스 소프트웨어가 기계 판독가능 영구적 저장 매체에 포함될 수 있다. 프로세싱 시스템(600)은, 사용자에게 정보를 출력하기 위한 디스플레이 디바이스, 스피커, 및 이와 유사한 것 중 하나 이상과 같은, 출력 디바이스(606)를 포함할 수 있다. 상기한 바와 같이, 프로세서(602)는 집적 회로를 위한 레이아웃을 생성한다. 레이아웃은 기계 판독가능 영구적 저장 매체(608) 내에 저장될 수 있다. 포토마스크 생성기(610)와 같은 집적 회로 제조 기계 중 하나 이상이, 근거리에서 또는 네트워크 상에서, 직접적으로 또는 프로세서(602)와 같은 중간 프로세서를 경유하여, 기계 판독가능 영구적 저장 매체(608)와 통신할 것이다. 일 실시예에서, 포토마스크 생성기(610)는, 기계 판독가능 영구적 저장 매체(608) 내에 저장된 레이아웃에 따라, 집적 회로의 제조에 사용될 하나 이상의 포트마스크를 생성한다.
일부 실시예들에서, 집적 회로 레이아웃이, 제1 금속 라인, 제2 금속 라인, 적어도 하나의 제1 도전성 비아 및 제1 도전성 세그먼트를 포함한다. 제1 금속 라인은 제1 방향을 따라 형성된다. 일부 실시예들에서, 제1 방향은 X 축 방향이다. 일부 실시예들에서, 제1 방향은 Y 축 방향이다. 적어도 하나의 제1 도전성 비아는 제1 금속 라인 위에 배치된다. 제2 금속 라인은 적어도 하나의 제1 도전성 비아 위에 배치되고 제1 금속 라인과 평행하게 놓인다. 제1 도전성 세그먼트는 제2 금속 라인의 일단부 상에 형성된다.
일부 실시예들에서, 집적 회로 레이아웃이, 제1 금속 라인, 제1 도전성 비아, 제2 금속 라인, 제1 도전성 세그먼트 및 제2 도전성 세그먼트를 포함한다. 제1 금속 라인은 제1 방향을 따라 금속 층(N-1) 내에 형성된다. 일부 실시예들에서, 제1 방향은 X 축 방향이다. 일부 실시예들에서, 제1 방향은 Y 축 방향이다. 비아 층(N-1) 내의 제1 도전성 비아는 제1 금속 라인 위에 배치된다. 제2 금속 라인은 금속 층(N) 내에 형성되고 제1 금속 라인과 평행하게 놓인다. 나아가, 제2 금속 라인은 제1 도전성 비아 위에 배치된다. 제1 도전성 세그먼트 및 제2 도전성 세그먼트는 금속 층(N) 내에서 제2 금속 라인의 개별적인 단부 상에 형성된다.
일부 실시예들에서, 프로세서를 사용하여 집적 회로 레이아웃을 구성하는 방법이, 프로세서를 사용하여 금속 층(N-1) 내에 제1 방향을 따라 제1 금속 라인을 생성하는 단계를 포함한다. 방법은 또한, 프로세서를 사용하여 비아 층(N-1) 내에, 제1 금속 라인 위에 놓이고 제1 금속 라인에 결합되는, 제1 도전성 비아를 생성하는 단계를 포함한다. 방법은 나아가, 프로세서를 사용하여 금속 층(N) 내에 제1 방향을 따라, 제1 도전성 비아 위에 놓이고 제1 도전성 비아에 결합되는, 제2 금속 라인을 생성하는 단계를 포함한다. 방법은 더 나아가, 제2 금속 라인의 일단부에 제1 도전성 세그먼트를 생성하는 단계를 포함한다. 방법은 더 나아가, 레이아웃에 기초하여 집적 회로를 제조하기 위한 명령어들의 세트를 생성하는 단계 및 기계 판독가능 영구 저장 매체 내에 명령어들의 세트를 저장하는 단계를 포함한다.
흐름도(500)에서의 작업들의 순서는 예시의 목적을 위해 사용된다. 더불어, 흐름도(500)에서의 작업들의 순서는 변경될 수 있다. 흐름도(500)에서의 일부 작업들은 생략될 수 있고, 및/또는 다른 작업들이 본 명세서에 첨부되는 특허청구범위의 범위를 제한하지 않는 가운데 부가될 수 있다.
비록 본 개시는 예들에 의해 그리고 개시된 실시예들에 관해 설명되었지만, 본 발명은 예들과 개시된 실시예들에 국한되지 않는다. 반대로, 다양한 수정들 및 유사한 배열들이 당업자에게 명백한 것으로 커버된다. 따라서, 첨부되는 특허청구범위의 범위는 그러한 수정들 및 배열들을 포괄하도록 하기 위한 가장 넓은 해석에 부합되어야 한다.

Claims (10)

  1. 집적 회로로서,
    제1 방향을 따라 형성되는 제1 금속 라인;
    상기 제1 금속 라인 위에 배치되는 적어도 하나의 제1 도전성 비아;
    상기 적어도 하나의 제1 도전성 비아 위에 그리고 상기 제1 금속 라인과 평행하게 배치되는 제2 금속 라인;
    상기 제2 금속 라인의 단부들 각각 상에 형성되는 제1 도전성 세그먼트 및 제2 도전성 세그먼트; 및
    상기 제1 도전성 세그먼트 및 상기 제2 도전성 세그먼트 사이에 개재되되 제2 방향을 따라 형성되는 제3 금속 라인을 포함하고, 상기 제3 금속 라인은 상기 제2 금속 라인에 수직하며, 상기 제3 금속 라인은 상기 제2 금속 라인이 배치된 층에 배치되는 것인, 집적 회로.
  2. 제 1항에 있어서,
    i) 상기 제1 도전성 세그먼트의 상부 경계는 상기 제2 금속 라인의 상부 경계와 정렬되는 것; 또는
    ii) 상기 제1 도전성 세그먼트의 하부 경계는 상기 제2 금속 라인의 하부 경계와 정렬되는 것; 중 적어도 하나인 것인 집적 회로.
  3. 삭제
  4. 제 1항에 있어서,
    상기 제2 금속 라인의 폭은 상기 제1 도전성 세그먼트 및 상기 제2 도전성 세그먼트의 폭들의 합계 이상인 것인 집적 회로.
  5. 제 1항에 있어서,
    상기 제1 도전성 세그먼트의 폭은 상기 제2 도전성 세그먼트의 폭 이상인 것인 집적 회로.
  6. 제 1항에 있어서,
    상기 제1 도전성 세그먼트의 길이는 상기 제2 도전성 세그먼트의 길이 이상인 것인 집적 회로.
  7. 집적 회로로서,
    제1 방향을 따라 금속 층(N-1) 내에 형성되는 제1 금속 라인;
    상기 제1 금속 라인 위에 배치되는 비아 층(N-1) 내의 제1 도전성 비아;
    금속 층(N) 내에 그리고 상기 제1 금속 라인과 평행하게 형성되는 제2 금속 라인;
    제1 도전성 세그먼트 및 제2 도전성 세그먼트로서,
    상기 제2 금속 라인은 상기 제1 도전성 비아 위에 배치되며; 그리고
    상기 제1 도전성 세그먼트 및 상기 제2 도전성 세그먼트는 상기 금속 층(N) 내의 상기 제2 금속 라인의 단부들 각각 상에 형성되는 것인, 상기 제1 도전성 세그먼트 및 상기 제2 도전성 세그먼트; 및
    상기 제1 도전성 세그먼트 및 상기 제2 도전성 세그먼트 사이에 개재되되 제2 방향을 따라 형성되는, 상기 금속 층(N) 내의 제3 금속 라인을 포함하고, 상기 제3 금속 라인은 상기 제2 금속 라인에 수직한 것인, 집적 회로.
  8. 삭제
  9. 제 7항에 있어서,
    상기 제1 도전성 세그먼트 및 상기 제2 도전성 세그먼트 중 하나의 위에 배치되는 비아 층(N) 내의 제2 도전성 비아를 더 포함하는 것인 집적 회로.
  10. 프로세서를 사용하여 집적 회로 레이아웃을 구성하는 방법으로서,
    프로세서를 사용하여 금속 층(N-1) 내에 제1 방향을 따라 제1 금속 라인을 생성하는 단계;
    프로세서를 사용하여 비아 층(N-1) 내에, 상기 제1 금속 라인 위에 놓이고 상기 제1 금속 라인에 결합되는, 제1 도전성 비아를 생성하는 단계;
    프로세서를 사용하여 금속 층(N) 내에 제1 방향을 따라, 상기 제1 도전성 비아 위에 놓이고 상기 제1 도전성 비아에 결합되는, 제2 금속 라인을 생성하는 단계;
    프로세서를 사용하여 상기 제2 금속 라인의 단부들 각각에 제1 도전성 세그먼트 및 제2 도전성 세그먼트를 생성하는 단계;
    프로세서를 사용하여 상기 금속 층(N) 내에 제2 방향을 따라 제3 금속 라인을 생성하는 단계로서, 상기 제3 금속 라인은 상기 제1 도전성 세그먼트 및 상기 제2 도전성 세그먼트 사이에 개재되고, 상기 제3 금속 라인은 상기 제2 금속 라인에 수직한 것인, 상기 제3 금속 라인 생성 단계;
    레이아웃에 기초하여 집적 회로를 제조하기 위한 명령어들의 세트를 생성하는 단계; 및
    기계 판독가능 영구 저장 매체 내에 상기 명령어들의 세트를 저장하는 단계를 포함하는 집적 회로 레이아웃 구성 방법.
KR1020140177296A 2013-12-12 2014-12-10 집적 회로의 제조 방법 및 레이아웃 KR101698248B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/104,730 2013-12-12
US14/104,730 US9653393B2 (en) 2013-12-12 2013-12-12 Method and layout of an integrated circuit

Publications (2)

Publication Number Publication Date
KR20150068910A KR20150068910A (ko) 2015-06-22
KR101698248B1 true KR101698248B1 (ko) 2017-01-19

Family

ID=53369418

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140177296A KR101698248B1 (ko) 2013-12-12 2014-12-10 집적 회로의 제조 방법 및 레이아웃

Country Status (3)

Country Link
US (1) US9653393B2 (ko)
KR (1) KR101698248B1 (ko)
CN (1) CN104715100B (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9846759B2 (en) 2015-07-30 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Global connection routing method and system for performing the same
US10162925B2 (en) 2015-09-18 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Cell layout of semiconductor device
KR102399465B1 (ko) 2015-10-23 2022-05-18 삼성전자주식회사 로직 반도체 소자
US10312192B2 (en) 2016-06-02 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit having staggered conductive features
KR102475281B1 (ko) * 2017-04-11 2022-12-08 삼성전자주식회사 표준 셀 및 이를 포함하는 집적 회로

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6876565B2 (en) 2002-09-30 2005-04-05 Kabushiki Kaisha Toshiba Semiconductor memory device
JP2005223227A (ja) * 2004-02-09 2005-08-18 Matsushita Electric Ind Co Ltd 半導体装置及び半導体装置評価方法
US7102905B2 (en) 2003-11-06 2006-09-05 Elpida Memory, Inc. Stacked memory, memory module and memory system

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002184950A (ja) 2000-12-15 2002-06-28 Fujitsu Ltd 多層配線構造の半導体装置、配線方法、配線装置、及び記録媒体
US8261229B2 (en) * 2010-01-29 2012-09-04 Xilinx, Inc. Method and apparatus for interconnect layout in an integrated circuit
CN102855336B (zh) * 2011-06-27 2015-06-10 炬芯(珠海)科技有限公司 一种寄存器版图构造方法及系统

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6876565B2 (en) 2002-09-30 2005-04-05 Kabushiki Kaisha Toshiba Semiconductor memory device
US7102905B2 (en) 2003-11-06 2006-09-05 Elpida Memory, Inc. Stacked memory, memory module and memory system
JP2005223227A (ja) * 2004-02-09 2005-08-18 Matsushita Electric Ind Co Ltd 半導体装置及び半導体装置評価方法

Also Published As

Publication number Publication date
CN104715100A (zh) 2015-06-17
US20150171005A1 (en) 2015-06-18
CN104715100B (zh) 2018-07-20
KR20150068910A (ko) 2015-06-22
US9653393B2 (en) 2017-05-16

Similar Documents

Publication Publication Date Title
US11132488B2 (en) Method of modifying cell, system for modifying cell and global connection routing method
TWI794255B (zh) 積體電路設計及(或)製造
KR101698248B1 (ko) 집적 회로의 제조 방법 및 레이아웃
TWI581053B (zh) 用於遮罩感知佈線之方法及裝置
US8327301B2 (en) Routing method for double patterning design
US8935639B1 (en) Natively color-aware double patterning technology (DPT) compliant routing
CN107066681B (zh) 集成电路和制造集成电路的计算机实现方法
US20090293023A1 (en) Generation of standard cell library components with increased signal routing resources
JP2008147217A (ja) 半導体集積回路のレイアウト方法、レイアウトプログラム
CN111128998A (zh) 集成电路布局方法
KR102238312B1 (ko) 표준 셀들에 대한 핀 수정
US10509883B2 (en) Method for layout generation with constrained hypergraph partitioning
Lee et al. Post-routing redundant via insertion and line end extension with via density consideration
US20240096803A1 (en) Diagonal backside power and signal routing for an integrated circuit
US7886240B2 (en) Modifying layout of IC based on function of interconnect and related circuit and design structure
JP2003282569A (ja) 半導体集積回路装置及びダミーメタルの挿入方法
KR20170094744A (ko) 집적 회로 및 상기 집적 회로의 제조를 위한 컴퓨터 구현 방법
US20220043957A1 (en) Method of forming merged pillar structures and method of generating layout diagram of same
WO2003088098A2 (en) Method and apparatus for routing an integrated circuit
Kheterpal et al. Routing architecture exploration for regular fabrics
CN115114883A (zh) 设计集成电路的方法及系统
Xiang et al. An ECO routing algorithm for eliminating coupling-capacitance violations
US11900041B2 (en) Via coloring methods and systems
JP2002024310A (ja) マクロ作成方法、レイアウト方法、半導体装置及び記録媒体
Ratna et al. A post-routing stage IR drop reduction technique with less routing resources

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)