KR101680442B1 - 패턴 조도 및 기형을 감소시키는 프로세스 시퀀스 - Google Patents

패턴 조도 및 기형을 감소시키는 프로세스 시퀀스 Download PDF

Info

Publication number
KR101680442B1
KR101680442B1 KR1020147035026A KR20147035026A KR101680442B1 KR 101680442 B1 KR101680442 B1 KR 101680442B1 KR 1020147035026 A KR1020147035026 A KR 1020147035026A KR 20147035026 A KR20147035026 A KR 20147035026A KR 101680442 B1 KR101680442 B1 KR 101680442B1
Authority
KR
South Korea
Prior art keywords
pattern
radiation
substrate
layer
sensitive material
Prior art date
Application number
KR1020147035026A
Other languages
English (en)
Other versions
KR20150016562A (ko
Inventor
시니치로 가와카미
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150016562A publication Critical patent/KR20150016562A/ko
Application granted granted Critical
Publication of KR101680442B1 publication Critical patent/KR101680442B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

결함(defectivity)이 감소된 기판을 패터닝하는 방법이 기재된다. 리소그래피 기술을 이용하여 방사선 민감성 물질의 층에 패턴이 형성되면, 기판 상에 형성된 패턴은 후처리된다. 방사선 민감성 물질의 층 내의 패턴의 후처리가 수행되어 패턴의 조도를 감소시킨다. 후처리는 패턴에 대하여 처리 프로세스를 수행하여 패턴의 노출면의 용해성을 변경하는 것을 포함하고, 처리 프로세스는 제1 계면활성제를 포함하는 액상 화학 용액을 이용하여 패턴의 제1 화학적 처리를 수행하거나 제1 EM 방사선과는 다른 제2 EM 방사선에 패턴을 노출시키는 것을 포함한다. 처리 프로세스 후, 후처리는 패턴을 하드베이킹하는 것과 기상 화학 용액을 이용하여 패턴의 제2 화학적 처리를 수행하여 조도를 감소시키는 것을 포함한다.

Description

패턴 조도 및 기형을 감소시키는 프로세스 시퀀스{PROCESS SEQUENCE FOR REDUCING PATTERN ROUGHNESS AND DEFORMITY}
관련 출원에 대한 상호 참조
37CFR §1.78(a)(4)에 따라, 본 출원은 2012년 5월 15일에 제출된 미국 가출원 61/647,406에 대한 우선권 및 이득을 청구하며, 그 전체 내용이 참고로 여기에 포함된다.
본 발명은 기판 상의 박막을 패터닝하는 방법에 관한 것으로, 특히, 패턴의 조도(roughness)를 감소시키는 방법에 관한 것이다.
물질 프로세싱 방법론에서, 패턴 에칭은 기판의 상면에 포토레지스트 등의 방사선 민감성(radiation-sensitive) 물질의 층을 도포하는 것, 포토리소그래피를 이용하여 방사선 민감성 물질의 층에 패턴을 형성하는 것 및 에칭 프로세스를 이용하여 기판 상의 하부 박막에 방사선 민감성 물질의 층에 형성된 패턴을 전사하는 것을 포함한다. 방사선 민감성 물질의 패턴은 일반적으로 예를 들어 포토리소그래피 시스템을 이용하여 전자기(EM; electromagnetic) 방사선의 패턴에 방사선 민감성 물질을 노출시킨 후 현상액을 이용하여(포지티브 톤 레지스트(positive tone resist)의 경우에서 처럼) 방사선 민감성 물질의 조사 영역 또는 (네가티브 톤 레지스트의 경우에서처럼) 비조사 영역을 제거하는 것을 포함한다.
임계 치수(CD)가 감소하고 방사선 민감성 물질의 층에 형성된 패턴의 애스펙트비(aspect ratio)가 증가함에 따라, 제한되지 않지만, 패턴 붕괴(pattern collapse), 라인 에지 조도(line edge roughness; LER) 및 라인 폭 조도(line width roughness; LWR)를 포함하는 패턴 결함에 대한 포텐셜(potential)은 점차 향상된다. 대부분의 경우, 과도한 패턴 결함은 수락가능하지 않고, 어떤 경우에는, 비극적이다.
발명의 개요
본 발명의 실시예는 기판 상에 층에 패턴을 준비하는 방법 및 시스템에 관한 것으로, 특히, 기판 상의 층에 형성되고 감소된 결함을 갖는 패턴을 준비하는 방법 및 시스템에 관한 것이다. 본 발명의 실시예는 기판 상의 층에 형성된 패턴을 처리하여 LER(line edge roughness) 및 LWR(line width roughness) 등의 패턴 붕괴(pattern collapse) 및 패턴 기형(pattern deformities)를 감소시키는 방법 및 시스템에 관한 것이다.
일 실시예에 따르면, 결함(defectivity)이 감소된 기판을 패터닝하는 방법이 기재된다. 리소그래피 기술을 이용하여 방사선 민감성 물질의 층에 패턴이 형성되면, 기판 상에 형성된 패턴은 후처리된다. 방사선 민감성 물질의 층 내의 패턴의 후처리가 수행되어 패턴의 조도를 감소시킨다. 후처리를 수행하는 방법은 패턴에 대하여 처리 프로세스를 수행하여 패턴의 노출면의 용해성을 변경하는 단계를 포함하고, 처리 프로세스는 제1 계면활성제를 포함하는 액상 화학 용액을 이용하여 패턴의 제1 화학적 처리를 수행하는 단계 또는 제1 EM 방사선과는 다른 제2 EM 방사선에 패턴을 노출시키는 단계를 포함한다. 처리 프로세스 후, 후처리를 수행하는 방법은 방사선 민감성 물질의 층의 유리 전이 온도보다 낮은 하드 베이크 온도에서 패턴을 하드베이킹하는 단계를 포함한다. 그 후, 기상 화학 용액을 이용하여 패턴의 제2 화학적 처리를 수행하여 조도를 감소시킨다.
다른 실시예에 따르면, 기판을 패터닝하는 방법이 기재된다. 방법은 상부에 방사선 민감성 물질의 층이 형성되고 내부에 패턴이 형성된 기판을 제공하는 단계 - 상기 패턴은 상기 기판을 상기 방사선 민감성 물질의 층으로 코팅하고 이미지 패턴에 따라 상기 방사선 민감성 물질의 층을 제1 전자기(EM) 방사선에 노출시키고 상기 방사선 민감성 물질의 층을 현상하여 상기 이미지 패턴으로부터 상기 패턴을 형성하고 상기 패턴을 린스함으로써 형성됨 -; 상기 패턴을 린스한 후, 상기 패턴의 표면을 계면활성제를 포함하는 액상 화학 용액에 노출시킴으로써 상기 패턴의 적어도 일부의 팽창(swelling)을 촉진하여 상기 패턴의 용해성을 증가시키는 단계; 상기 패턴을 하드 베이킹함으로써 상기 패턴의 적어도 일부를 교차 결합(cross-link)하여 상기 패턴의 용해성을 감소시키는 단계; 및 상기 패턴을 기상 화학 용액에 노출시킴으로써 상기 패턴을 스무딩하여 상기 패턴의 용해성이 증가된 상기 패턴의 적어도 일부를 제거하거나 재분배하는 단계를 포함한다.
다른 실시예에 따르면, 기판을 패터닝하는 방법이 기재된다. 방법은 상부에 방사선 민감성 물질의 층이 형성되고 내부에 패턴이 형성된 기판을 제공하는 단계 - 상기 패턴은 상기 기판을 상기 방사선 민감성 물질의 층으로 코팅하고 이미지 패턴에 따라 상기 방사선 민감성 물질의 층을 제1 전자기(EM) 방사선에 노출시키고 상기 방사선 민감성 물질의 층을 현상하여 상기 이미지 패턴으로부터 상기 패턴을 형성하고 상기 패턴을 린스함으로써 형성됨 -; 상기 패턴을 린스한 후, 상기 패턴의 표면을 제2 EM 방사선에 노출시킴으로써 상기 패턴의 적어도 일부를 탈보호화(de-protect)하여 상기 패턴의 용해성을 증가시키는 단계; 상기 패턴을 하드 베이킹함으로써 상기 패턴의 적어도 일부를 교차 결합하여 상기 패턴의 용해성을 감소시키는 단계; 및 상기 패턴을 기상 화학 용액에 노출시킴으로써 상기 패턴을 스무딩(smooth)하여 상기 패턴의 용해성이 증가된 상기 패턴의 적어도 일부를 제거하거나 재분배하는 단계를 포함한다.
도 1a는 실시예에 따른 기판을 패터닝하는 방법을 나타내는 도면.
도 1b는 다른 실시예에 따른 기판을 패터닝하는 방법을 나타내는 도면.
도 2는 다른 실시예에 따른 기판을 패터닝하는 방법을 나타내는 도면.
도 3은 또 다른 실시예에 따른 기판을 패터닝하는 방법을 나타내는 도면.
도 4 내지 8은 기판을 패터닝할 때 조도를 감소시키는 예시적인 데이터를 제공하는 도면.
기판을 패터닝하는 방법이 다양한 실시예에서 개시된다. 그러나, 다양한 실시예는 특정한 세부사항 중의 하나 이상없이 실행되거나 다른 대체 및/또는 추가의 방법, 물질 또는 컴포넌트로 실행될 수 있음을 당업자는 인식할 것이다. 다른 예에서, 본 발명의 다양한 실시예의 형태를 모호하게 하는 것을 피하기 위하여 공지된 구조, 물질 또는 동작은 상세히 도시되거나 기재되지 않는다.
마찬가지로, 설명의 목적으로, 본 발명의 완전한 이해를 제공하기 위하여 특정 번호, 물질 및 구성이 기재된다. 그럼에도 불구하고, 본 발명은 특정한 세부사항없이 실행될 수 있다. 또한, 도면에 도시된 다양한 실시예는 예시적인 것으로 반드시 일정한 비율로 그려지는 것은 아니다.
이 명세서에 걸쳐서 "일 실시예" 또는 "실시예 또는 그 변형에 대한 참조는 실시예와 관련하여 설명하는 특정 특징, 구조, 물질 또는 특성이 본 발명의 적어도 하나의 실시예에 포함되지만 이들이 모든 실시예에 존재하는 것은 아니라는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 부분에서의 "일 실시예에서" 또는 "실시예에서" 등의 구의 출현은 반드시 본 발명의 동일한 실시예를 참조하는 것이 아니다. 또한, 특정 특징, 구조, 물질 또는 특성은 하나 이상의 실시예에서 임의의 적절한 방식으로 결합될 수 있다.
그렇더라도, 설명되는 일반적인 개념의 진보적인 특성에도 불구하고 진보적인 특성인 특징이 본 설명에 포함된다.
여기에 사용되는 "기판"은 본 발명의 실시예에 따라 처리되는 오브젝트를 지칭한다. 기판은 장치의 임의의 물질 부분 또는 구조, 특히, 반도체 또는 다른 전자 장치를 포함할 수 있고, 예를 들어, 반도체 웨이퍼 등의 베이스 기판 구조 또는 박막 등의 베이스 기판 구조 상에 있는 층일 수 있다. 따라서, 기판은 임의의 특정 베이스 구조, 하부층 또는 상부층, 패터닝되거나 패터닝되지 않은 것으로 제한되지 않고, 오히려 이러한 임의의 층 또는 베이스 구조 및 임의의 층 및/또는 베이스 구조의 조합을 포함한다. 이하의 설명은 특정한 타입의 기판을 참조할 수 있지만 단지 설명하기 위한 것으로 제한되지 않는다.
반도체 제조를 위한 리소그래피 패터닝에서의 생산성을 증가시키기 위하여, 예를 들어, 상술한 상황 중의 일부 또는 전부를 어드레싱하는 방법 및 시스템이 기재된다. 특히, 다른 것 중에서, (i) 패턴 조도(예를 들어, LER(line-edge roughness) 또는 LWR(line-width roughness), 침전 기반 결함(precipitation-based defect) 또는 다른 패턴 기형이 실질적으로 없거나 감소된 패턴을 생성하거나 (ii) 패턴 붕괴(pattern collapse)를 방지하는 것이 중요하다.
도면에서, 동일한 참조 번호는 몇 개의 도면에 걸쳐 동일 또는 대응 부분을 지칭한다. 도 1a는 실시예에 따라 기판을 패터닝하는 방법을 나타낸다. 방법은 플로우챠트(100)에 도시되고, 상부에 방사선 민감성 물질의 층이 형성되고 내부에 패턴이 형성되는 기판을 제공하는 110에서 시작한다. 방사선 민감성 물질의 층은 포토레지스트 등의 감광성(photo-sensitive) 물질을 포함할 수 있다. 예를 들어, 방사선 민감성 물질의 층은 248 nm(나노미터) 레지스트, 193 nm 레지스트, 157 nm 레지스트, EUV(extreme ultraviolet) 레지스트, 또는 전자빔 민감성 레지스트를 포함할 수 있다. 또한, 예를 들어, 방사선 민감성 물질의 층은 써멀 프리즈(thermal freeze) 포토레지스트, 전자기(EM; electromagnetic) 방사선 프리즈 포토레지스트 또는 화학적 프리즈 포토레지스트를 포함할 수 있다.
방사선 민감성 물질의 층은 기판 상에 물질을 코팅, 예를 들어, 스핀 코팅(spin-coating)함으로써 형성될 수 있다. 방사선 민감성 물질의 층은 트랙 시스템(track system)을 사용하여 형성될 수 있다. 예를 들어, 트랙 시스템은 TEL(Tokyo Electron Limited)로부터 상업적으로 이용가능한 Clean Track ACT® 8, ACT® 12, LITHIUS®, LITHIUS ProTM 또는 LITHIUS Pro VTM 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 기판 상에 포토레지스트 막을 형성하는 다른 시스템 및 방법은 스핀온 레지스트 기술(spin-on resist technology)의 당업자에게 잘 알려져 있다. 코팅 프로세스 후에 기판을 가열하는 하나 이상의 PAB(post-application bakes) 및 하나 이상의 PAB 후에 기판을 냉각하는 하나 이상의 냉각 사이클이 뒤따른다.
방사선 민감성 물질의 층을 형성한 후, 방사선 민감성 물질의 층은 이미지 패턴에 따라 전자기(EM) 방사선(제1 EM 방사선)에 노출된다. 방사선 노출 시스템은 건식 및 습식 포토리소그래피 시스템을 포함할 수 있다. 이미지 패턴은 임의의 적절한 종래의 스테핑(stepping) 리소그래피 시스템 또는 스캐닝(scanning) 리소그래피 시스템을 이용하여 형성될 수 있다. 예를 들어, 포토리소그래피 시스템은 ASML 네덜란드 B.V. (De Run 6501, 5504 DR Veldhoven, The netherlands) 또는 캐논 USA, INC., 반도체 장치 디비전(Semiconductor Equipment Division)(3300 North First Street, San Jose, CA 95134) 로부터 상업적으로 이용가능하다. 대안으로, 이미지 패턴은 전자빔 리소그래피 시스템을 이용하여 형성될 수 있다.
촬상되면, 방사선 민감성 물질의 층이 현상되어 이미지 패턴으로부터 패턴을 형성한다. 패턴은 공칭 임계 치수(CD), 공칭 LER 및/또는 공칭 LWR로 특징지을 수있다. 패턴은 라인 패턴 또는 비어(via) 패턴 또는 그 조합을 포함할 수 있다. 현상 프로세스는 트랙 시스템 등의 현상 시스템에서 기판을 현상액에 노출시키는 것을 포함할 수 있다. 예를 들어, 현상액은 TMAH(tetramethyl ammonium hydroxide) 또는 TBAH(tetrabutyl ammonium hydroxide)를 포함할 수 있다. 대안으로, 예를 들어, 현상액은 수산화 나트륨 용액, 수산화 칼륨 용액 등의 다른 알칼리 용액을 포함할 수 있다. 추가적으로, 예를 들어, 트랙 시스템은 TEL(Tokyo Electron Limited)로부터 상업적으로 이용가능한 Clean Track ACT® 8, ACT® 12, LITHIUS®, LITHIUS ProTM 또는 LITHIUS Pro VTM 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 현상 프로세스 전에 기판을 가열하는 하나 이상의 노광 후 베이크(post-exposure bake; PEB) 및 하나 이상의 PEB 후에 기판을 냉각하는 하나 이상의 냉각 사이클이 선행된다.
그 후, 기판은 린스 용액으로 린스될 수 있다. 린스 용액은 탈이온(deionized; DI)수 등의 물 또는 물에 용해된 계면활성제를 포함하는 수용액을 포함할 수 있다. 린스 용액은 기판으로부터 잔여 현상액을 쫓아내고(displace) 및/또는 제거하는데 사용될 수 있다. 바람직하게, 린스 용액은 물만을 포함한다. 린스 용액이 (계면활성제 없이) 물만을 포함하면, 공칭 CD의 변화가 방지되거나 최소화될 수 있다. 현상 프로세스 후, 패턴 상의 현상액의 존재는 패턴을 팽창시키고 투광성(permeability)을 증가시킨다. 결과적으로, 린스 용액이 계면활성제를 포함하면, 린스 용액은 패턴에 좀 더 자유롭게 침투하여 공칭 CD의 변화를 일으킨다. 즉, 추가의 화학적 처리 전에 수행되는 기판 상의 패턴을 물만으로 린스하는 것은 기판 상의 현상액을 물로 대체하고 현상액을 씻어내어, 공칭 CD의 변화를 억제한다.
120에서, 방사선 민감성 물질의 층은 후처리되어 패턴의 공칭 LER 및/또는 공칭 LWR 등의 패턴의 조도를 감소시킨다.
도 1b에 도시된 바와 같이, 방사선 민감성 물질의 층을 후처리하여 패턴의 조도를 감소시키는 단계는 패턴에 대하여 처리 프로세스를 수행하여 패턴의 노출면의 용해성을 변경하는 122에서 시작하는 프로세스 시퀀스를 포함한다.
일 실시예에 따르면, 패턴의 노출면의 용해성을 변경하는 처리 프로세스는 액상(liquid-phase) 화학 용액을 이용하여 패턴의 제1 화학적 처리를 수행하는 것을 포함할 수 있고, 액상 화학 용액은 제1 계면활성제를 포함한다.
처리 프로세스는 제2 화학적 처리 또는 LER 및 LWR 등의 패턴 붕괴 및 패턴 기형을 감소 및/또는 개선하기 위하여 기판의 린스 후에 수행되는 하나 이상의 추가의 화학적 처리를 포함할 수 있다. 패턴의 제2 화학적 처리는 액상 화학 용액을 사용할 수 있고, 액상 화학 용액은 제2 계면활성제를 포함한다.
제1 화학적 처리는 음이온(anionic), 비이온(nonionic), 양이온(cationic) 및/또는 양성(amphoteric) 계면활성제를 제공하는 것을 포함할 수 있다. 적절한 음이온 계면활성제는 술폰산염, 황산염, 카르복시산염, 인산염, 및 그 혼합물을 포함한다. 적절한 양이온 계면활성제는 나트륨 또는 칼륨 등의 알칼리 금속; 칼슘 또는 마그네슘 등의 알칼리 토류 금속; 또는 모노-, 디-, 트리-에탄올암모늄 양이온 화합물을 포함하는 치환 암모늄 화합물; 또는 그 혼합물을 포함할 수 있다.
일 예로서, 제1 화학적 처리는 1600 이하의 분자량 및 10 이상의 소수기의 탄소수를 포함하는 수용액을 제공하는 것을 포함할 수 있다. 계면활성제의 소수기는 이중 본딩이거나 삼중 본딩되지 않는 것이 바람직할 수 있다.
다른 예로서, 액상 화학 용액은 TEL(Tokyo Electron Limited) 및 클래리언트(Clariant) (일본) KK(일본 도쿄 분코구)(스위스 제조자 클래리언트의 자회사)에 의해 공동 개발된 계면활성제(예를 들어, FIRMTM-A, FIRMTM-B, FIRMTM-C, FIRMTM-D, FIRMTM Extreme 10, FIRMTM SPC400-7 등)의 FIRMTM 패밀리로부터 선택된 하나 이상의 계면활성제 용액을 포함할 수 있다.
다른 예로서, 액상 화학 용액은 아민 화합물 및 계면활성제의 혼합물을 포함할 수 있다.
또 다른 예로서, 액상 화학 용액은 패턴 붕괴를 감소시키도록 선태될 수 있다. 예를 들어, 제1 계면활성제를 포함하는 액상 화학 용액은 LER 및/또는 LWR를 감소시키도록 선택되고 제2 계면활성제를 포함하는 다른 액상 화학 용액은 패턴 붕괴를 감소시키도록 선택될 수 있다.
조도를 감소시키는 계면활성제 포함 린스 프로세스에 대한 추가적인 세부사항은 2011년 8월 9일에 제출되고 발명의 명칭이 "Multiple Chemical Treatment Process for Reducing Pattern Defect"인 미국 특허 출원 13/206,441에 기재된다.
다른 실시예에 따르면, 패턴의 노출면의 용해성을 변경하는 처리 프로세스는 패턴을 형성할 때 기판이 노출되는 제1 EM 방사선과는 다른 제2 EM 방사선에 패턴을 노출시키는 것을 포함할 수 있다.
제2 EM 방사선은 자외선(UV) 방사선을 포함할 수 있다. 제2 EM 방사선은 약 260 nm(나노미터) 내지 약 350 nm의 범위 내의 파장의 UV 방사선을 포함할 수 있다. 제2 EM 방사선은 제2 EM 방사선으로의 기판 상의 방사선 민감성 물질의 층의 침수 노출(flood exposure)을 포함할 수 있다.
124에서, 처리 프로세스를 수행한 후에, 패턴은 방사선 민감성 물질의 층의 유리 전이 온도보다 낮은 하드 베이크 온도(hard bake temperature)에서 하드 베이킹된다. 또한, 하드 베이크 온도는 촬상 동안 제1 EM 방사선으로의 방사선 민감성 물질의 층의 노출 후 및 현상 동안 현상액으로의 방사선 민감성 물질의 층의 노출 전의 PEB에 대하여 설정된 온도보다 클 수 있다. PEB 온도 및 유리 전이 온도는 패터닝을 위해 선택된 방사선 민감성 물질의 층(즉, 선택된 포토레지스트)에 의존한다. 예를 들어, 하드 베이크 온도는 100℃ 이상일 수 있다. 대안으로, 예를 들어, 하드 베이크 온도는 150℃ 이상일 수 있다. 추가적으로, 예를 들어, 하드 베이크 온도는 170℃ 이하일 수 있다. 대안으로, 예를 들어, 하드 베이트 온도는 160℃ 이하일 수 있다. 예를 들어, 하드 베이크 온도는 약 100℃ 내지 약 200℃, 또는 약 100℃ 내지 약 170℃, 또는 약 120℃ 내지 약 170℃, 또는 약 150℃ 내지 약 170℃, 또는 약 150℃ 내지 약 160℃의 범위 또는 약 155℃에 있을 수 있다.
하드 베이크 온도, 기판이 하드 베이크 온도로 상승하는 하드 베이크 시간, 및 기판이 하드 베이크 온도로 상승하는 환경은 변경될 수 있다. 하드 베이크 시간은 300초(sec)까지의 범위일 수 있다. 대안으로, 하드 베이크 시간은 120sec 까지의 범위일 수 있다. 대안으로, 하드 베이크 시간은 약 60 sec일 수 있다.
그 후, 126에서, 패턴의 제2 화학적 처리는 기상(vapor-phase) 화학 용액을 이용하여 수행된다. 제2 화학적 처리의 기상 화학 용액은 N-메틸피로리돈 또는 N-메틸-2-피로리돈을 포함할 수 있다. 예를 들어, 기판은 처리 용액의 원자화 스프레이에 노출될 수 있다. 기상(vapor-phase) 환경은 방사선 민감성 물질의 층의 노출 부분과 반응하여 방사선 민감성 물질의 "용융" 또는 리플로우잉(reflowing)을 유발하고, 따라서, 방사선 민감성 물질의 층의 노출면의 스무딩(smoothing)을 유발한다. 제2 화학적 처리 후에, 기판은 하나 이상의 추가의 기상 처리 용액에 노출되어 조도(roughness)를 더 감소시킬 수 있다.
추가적으로, 기판 상의 패턴을 기상 화학 용액에 노출시키는 동안 기판은 가열되어 기판의 온도를 상승시킬 수 있다. 기판의 온도는 약 20℃ 내지 약 100℃의 범위에 있을 수 있고, 바람직하게 약 30℃ 내지 약 50℃의 범위에 있을 수 있다. 또한, 기판 상의 패턴을 기상 화학 용액에 노출시키는 동안 기판에 전자기(EM) 방사선이 조사될 수 있다. 이 프로세스 단계에서, 기상 화학 용액의 농도, 기판의 온도, 기상 환경에 대한 압력 및 노출 시간은 조도 감소 또는 제어를 달성하도록 조절될 수 있는 프로세스 파라미터이다.
조도를 감소시키는 기상 화학 용액에 대한 추가의 세부사항은 2012년 1월 3일에 제출되고 발명의 명칭이 "Vapor Treatment Process for Pattern Smoothing with Inline Critical Dimension Slimming"인 미국 특허 출원 13/342,313에 기재된다.
그 후, 패턴은 선택적으로 열처리될 수 있다. 또는, 대안으로, 패턴은 선택적으로 EM 방사선으로의 노출을 포함하는 다른 처리를 받을 수 있다.
기판을 패터닝하는 방법은 후처리 프로세스 직전에 평가되는 공칭 조도를 결정하고 후처리 프로세스 직후에 평가되는 후처리 조도를 결정함으로써 특징짓는 단계 및 10%를 초과하는 조도의 감소를 달성하는 단계를 포함하고, 조도의 감소는 공칭 조도 및 후처리 조도 간의 차이 대 공칭 처리 조도의 백분위 비(percentile ratio)로서 측정된다. 후처리 프로세스는 30%를 초과하는 조도 감소를 달성할 수 있다. 또한, 후처리 프로세스는 50%를 초과하는 조도 감소를 달성할 수 있다.
도 4 내지 9는 도 1a 및 1b에 도시된 방법을 이용하여 기판을 패터닝할 때 LER 또는 LWR 등의 조도를 감소시키는 예시적인 데이터를 제공한다. 도 4에서, 제1 후처리 방식("새로운 후처리 방식 1")은 EUV 레지스트에 형성된 라인 패턴에 대하여 수행되고 동일한 레지스트 조성에 형성된 동일한 라인 패턴에 대한 종래의 후처리 방식("종래의 후처리 방식")에 대하여 얻어진 결과와 비교된다. 레지스트는 SEVR-139 레지스트(75 nm 막 두께)를 포함하고 라인 패턴은 32 nm의 타겟 CD 및 70 nm의 타겟 피치를 갖는다.
제1 후처리 방식은 도 2에 도시된 바와 같이 패턴 조도 및 기형을 감소시키는 프로세스 시퀀스(200)를 포함한다. 프로세스 시퀀스(200)는 210에서 상부에 방사선 민감성 물질의 층이 형성되고 내부에 패턴이 형성된 기판을 제공하는 단계 - 패턴은 기판을 방사선 민감성 물질의 층으로 코팅하고, 이미지 패턴에 따라 방사선 민감성 물질의 층을 제1 전자기(EM) 방사선에 노출시키고, 방사선 민감성 물질의 층을 현상하여 이미지 패턴으로부터 패턴을 형성하고 패턴을 린스함으로써 형성됨 -; 220에서, 패턴의 린스 후에, 패턴의 표면을 계면활성제를 포함하는 액상 화학 용액을 포함하는 제1 화학적 처리에 노출시킴으로써 패턴의 적어도 일부의 팽창(swelling)을 촉진하여 패턴의 용해성을 증가시키는 단계 -; 230에서, 패턴을 하드 베이크하여 패턴의 적어도 일부를 교차 결합하여 패턴의 용해성을 감소시키는 단계; 및 240에서 기상 화학 용액을 포함하는 제2 화학적 처리에 패턴을 노출시킴으로써 패턴을 스무딩하여 패턴의 용해성이 감소된 패턴의 적어도 일부를 제거 또는 재분배하는 단계를 포함할 수 있다.
여기서, 패턴은 FIRMTM 기반 액상 화학 용액에 노출되고(단계 220), 155℃에서 60초 동안 하드 베이크되고(단계 230) 약 34℃ 내지 약 38℃의 기판 온도에서 NMP 증기에 노출된다. 패턴을 기상 화학 용액에 노출시키는 것은 패턴에 최적의 결과가 생성되도록 조절될 수 있다. 새로운 후처리 방식 1에서, 패턴은 34℃에서 NMP 증기의 30 루프에 노출된다. 패턴을 NMP 증기에 노출시키는 각 루프는 패턴이 NMP 증기에 노출되는 제1 기간 및 그 후에 패턴이 NMP 증기에 노출되지 않는 제2 기간을 포함한다. 일 예로서, 노출 루프 동안, 제1 기간은 약 10초이고 제2 기간은 약 10초일 수 있다. 제1 및 제2 기간은 더 크거나 작을 수 있고, 이들 기간은 반드시 동일할 필요는 없다.
종래의 후처리 방식은 단계 220 및 230(예를 들어 패턴을 계면활성제를 포함하는 액상 화학 용액에 노출시키는 단계와 패턴을 하드 베이크하는 단계)을 생략하고 부분적으로 단계 240만(즉, 패턴을 기상 화학 용액에 노출시킴으로써 패턴을 스무딩하는 단계)을 포함한다. 패턴을 기상 액체 용액에 노출시키는 것은 패턴에 최적의 결과를 생성하기 위하여 조절될 수 있다. 종래의 후처리 방식에서, 패턴은 38℃에서 NMP 증기의 30루프에 노출된다. 도 4의 SEM 이미지에 도시된 바와 같이, 종래의 후처리 방식을 적용하면, 라인 패턴은 32.8 nm의 공칭 CD 및 5.02 nm의 공칭 LWR을 갖는다. 종래의 후처리 방식의 적용 후에, 최종 CD는 33.4 nm이고 최종 LWR은 4.49 nm이고, 이는 (공칭 LWR에 대한 최종 LWR 및 공칭 LWR 간의 차의 백분위 비로서 측정된) LWR의 10.6% 감소를 나타낸다.
비교를 위해, 새로운 후처리 방식 1의 적용 후에, 최종 CD는 33.5 nm이고 최종 LWR은 4.68 nm이고, 이는 (공칭 LWR에 대한 최종 LWR 및 공칭 LWR 간의 차의 백분위 비로서 측정된) LWR의 19.6% 감소를 나타낸다. 새로운 후처리 방식 1은 LWR의 감소를 9% 개선한다.
하드 베이킹(230)과 결합되고 하드 베이킹을 선행하는 액상 화학 용액을 포함하는 패턴을 제1 화학적 처리에 노출(220)하는 것을 포함하는 것의 중요성을 증명하기 위하여, 일련의 실험(예를 들어, "프로세스 A", "프로세스 B", "프로세스 C", "프로세스 D", "프로세스 E")이 32 nm의 공칭 CD 및 70 nm의 공칭 피치를 갖는 동일한 레지스트 조성에 형성된 동일한 라인 패턴으로 다양한 후처리 방식을 이용하여 수행되었다.
후처리 방식은 다음, 즉, (A) 프로세스 A는 (NMP 증기를 포함하는 기상 화학 용액을 이용하여) 제2 화학적 처리만을 포함하고; (B) 프로세스 B는 현상 단계의 프로세스 최적화 및 제2 화학적 처리를 포함하고; (C) 프로세스 C는 현상 단계의 프로세스 최적화, (FIRMTM Extreme 10 (Ext10)을 포함하는 액상 화학 용액을 이용하여) 제1 화학적 처리에 패턴을 노출시키는 것, 및 제2 화학적 처리를 포함하고; (D) 프로세스 D는 현상 단계의 프로세스 최적화, FIRMTM Extreme 10 (Ext10)을 포함하는 액상 화학 용액을 이용하여) 제1 화학적 처리에 패턴을 노출시키는 것, (150℃에서 60초간) 패턴의 하드 베이킹 및 제2 화학적 처리를 포함하고; 및 (E) 프로세스 E는 현상 단계의 프로세스 최적화, (FIRMTM SPC 400을 포함하는 액상 화학 용액을 이용하여) 패턴을 제1 화학적 처리에 노출시키는 것, (155℃에서 60초간) 패턴의 하드 베이킹 및 및 제2 화학적 처리를 포함한다. 현상 단계의 프로세스 최적화는 현상액의 화학 조성, 현상액의 온도, 현상액의 제공(dispensing) 특성, 현상 시간, 사후 노출 베이크(post-exposure bake) 온도, 사후 노출 베이크 시간, 노출 도즈(dose), 또는 노출 포커스 또는 그 임의의 조합 중의 어느 하나의 조절을 포함할 수 있다.
도 5b의 SEM 이미지에 도시된 바와 같이, 패턴의 하드 베이킹과 결합되고 하드 베이킹을 선행하는 제1 화학적 처리를 포함하는 프로세스 D 및 프로세스 E는 LWR 감소에 있어서 최적의 결과를 생성한다. 예를 들어, 프로세스 A는 5.20 nm의 공칭 LWR로부터 4.66 nm의 최종 LWR로의 10.3%의 LWR 감소를 생성한다. 현상 프로세스 최적화로, 프로세스 B는 5.20 nm의 공칭 LWR로부터 4.21 nm의 최종 LWR로의 19.1%의 LWR 감소를 생성한다. 나중의 패턴 하드 베이킹 없이 제1 화학적 처리가 수행되면, 프로세스 C는 5.20 nm의 공칭 LWR로부터 4.17 nm의 최종 LWR로의 19.8%의 LWR 감소를 생성한다. 그러나, 제1 화학적 처리가 수행되고 그 후 패턴이 하드 베이킹되면, 프로세스 D(FIRMTM Ext10을 이용)는 5.20 nm의 공칭 LWR로부터 3.90 nm의 최종 LWR로의 25.0%의 LWR 감소를 생성하고, 프로세스 D(FIRMTM SPC400을 이용)는 5.20 nm의 공칭 LWR로부터 3.90 nm의 최종 LWR로의 24.9%의 LWR 감소를 생성한다.
하드 베이킹(단계 230)과 결합되고 하드 베이킹을 선행하는 액상 화학 용액에 패턴을 노출(단계 220)하는 것을 포함하는 것의 중요성을 더 증명하기 위하여, 도 6은 제1 화학적 처리("제1 화학적 처리+HB+제2 화학적 처리")를 포함하는 SEM 이미지의 제2 세트와 비교된 제1 화학적 처리("제1 화학적 처리 없음+HB+제2 화학적 처리")를 배제한 SEM 이미지의 제1 세트를 나타낸다. SEM 이미지의 제1 세트에서, 5.77 nm의 공칭 LWR은 5.23 nm의 최종 LWR로 감소되어 LWR에서의 9.3% 감소를 나타낸다. SEM 이미지의 제2 세트에서, 5.82 nm의 공칭 LWR이 4.67 nm의 최종 LWR로 감소되어 LWR에서 19.6% 감소를 나타낸다.
도 7로 되돌아가서, 새로운 후처리 방식 1은 패턴에 대한 두께 손실을 감소시킨다. 제1 SEM 이미지에서, 패턴의 두께는 (스무딩없이) 49.0 nm에서 51.4 nm로 변한다. 종래의 후처리 방식을 이용한 제2 SEM 이미지에서, 패턴의 두께는 (스무딩없이) 41.9 nm에서 45.8 nm로 변하고, 따라서, 약 5 내지 10 nm의 두께 손실을 나타낸다. 그리고, 새로운 후처리 방식 1을 이용한 제3 SEM 이미지에서, 패턴의 두께는 (스무딩없이) 50.0 nm로부터 51.6 nm로 변하고, 따라서, 두께 손실이 없는 것을 나타낸다.
도 8에서, 제2 후처리 방식("새로운 후처리 방식 2")이 EUV 레지스트에 형성된 라인 패턴에 대하여 수행되고 동일한 레지스트 조성에 형성된 동일한 라인 패턴에 대한 종래의 후처리 방식("종래의 후처리 방식")에 대하여 얻어진 결과와 비교된다. 레지스트는 SEVR-139(75 nm 막 두께)를 포함하고, 라인 패턴은 32 nm의 타겟 CD 및 70 nm의 타겟 피치를 갖는다.
제2 후처리 방식은 도 3에 도시된 바와 같이 패턴 조도 및 기형을 감소시키는 프로세스 시퀀스를 포함한다. 프로세스 시퀀스(300)는 310에서 상부에 방사선 민감성 물질의 층이 형성되고 내부에 패턴이 형성된 기판을 제공하는 단계 - 패턴은 기판을 방사선 민감성 물질의 층으로 코팅하고, 이미지 패턴에 따라 방사선 민감성 물질의 층을 전자기(EM) 방사선에 노출시키고, 방사선 민감성 물질의 층을 현상하여 이미지 패턴으로부터 패턴을 형성하고 패턴을 린스함으로써 형성됨 - ; 320에서, 패턴의 표면을 EM 방사선에 노출시킴으로써 패턴의 적어도 일부를 탈보호화(de-protect)하여 패턴의 용해성을 증가시키는 단계; 330에서, 패턴을 하드 베이킹하여 패턴의 적어도 일부를 교차 결합하여 패턴의 용해성을 감소시키는 단계; 및 340에서, 패턴을 기상 화학 용액에 노출시킴으로써 스무딩하여 패턴의 용해성이 증가된 패턴의 적어도 일부를 제거 또는 재분배하는 단계를 포함할 수 있다.
여기서, 패턴은 (약 260 nm 내지 약 350 nm의 범위 내의 파장에서 배기가스(emission)를 포함하는 UV 스펙트럼 내의) 제2 EM 방사선에 노출되고(단계 320), 155℃에서 60초 동안 하드 베이크되고(단계 330), 약 34℃ 내지 약 38℃의 기판 온도에서 NMP 증기에 노출된다. 패턴을 기상 화학 용액에 노출시키는 것은 패턴에서 최적의 결과를 생성하도록 조절될 수 있다. 새로운 후처리 방식 2에서, 패턴은 38℃에서 NMP 증기의 30루프에 노출된다.
종래의 후처리 방식은 단계 320 및 330(예를 들어, 패턴을 제2 EM 방사선에 노출시키는 단계 및 패턴을 하드 베이킹하는 단계)을 생략하고 부분적으로 단계 340만(즉, 패턴을 기상 화학 용액에 노출시킴으로써 패턴을 스무딩하는 단계)을 포함한다. 패턴을 기상 액체 용액에 노출시키는 것은 패턴에 최적의 결과를 생성하도록 조절될 수 있다. 종래의 후처리 방식에서, 패턴은 38℃에서 NMP 증기의 30루프에 노출된다. 도 8의 SEM 이미지에 도시된 바와 같이, 종래의 후처리 방식을 적용하면, 라인 패턴은 32.8 nm의 공칭 CD 및 5.02 nm의 공칭 LWR을 갖는다. 종래의 후처리 방식의 적용 후에, 최종 CD는 33.4 nm이고 최종 LWR은 4.49 nm이고, 이는 (공칭 LWR에 대한 최종 LWR 및 공칭 LWR 간의 차의 백분위 비로서 측정된) LWR의 10.6% 감소를 나타낸다.
비교를 위해, 새로운 후처리 방식 2의 적용 후에, 최종 CD는 30.8 nm이고 최종 LWR은 4.30 nm이고, 이는 (공칭 LWR에 대한 최종 LWR 및 공칭 LWR 간의 차의 백분위 비로서 측정된) LWR의 16.1% 감소를 나타낸다. 새로운 후처리 방식 2은 LWR의 감소를 5.5% 개선한다.
본 발명의 임의의 소정의 실시예만을 상세히 설명하지만, 당업자는 본 발명의 신규 사상 및 이점으로부터 벗어나지 않고 많은 변형이 가능함을 인식할 것이다. 따라서, 이러한 모든 변형은 본 발명의 범위 내에 포함되는 것으로 의도된다.

Claims (20)

  1. 기판을 패터닝하는 방법에 있어서,
    상부에 방사선 민감성 물질의 층이 형성되고 내부에 패턴이 형성된 기판을 제공하는 단계 - 상기 패턴은, 상기 기판을 상기 방사선 민감성 물질의 층으로 코팅하고, 이미지 패턴에 따라 상기 방사선 민감성 물질의 층을 제1 전자기(electromagnetic; EM) 방사선에 노출시키고, 상기 방사선 민감성 물질의 층을 현상하여 상기 이미지 패턴으로부터 상기 기판의 내부에 상기 패턴을 형성하고 상기 패턴을 린스(rinse)함으로써 형성됨 -; 및
    상기 방사선 민감성 물질의 층 내의 상기 패턴을 후처리하여 상기 패턴의 조도(roughness)를 감소시키는 단계를
    포함하고,
    상기 후처리는,
    상기 패턴에 대하여 처리 프로세스를 수행하여 상기 패턴의 노출면의 용해성을 변경하는 단계 - 상기 처리 프로세스는 제1 계면활성제를 포함하는 액상 화학 용액을 이용하여 상기 패턴의 제1 화학적 처리를 수행하거나, 상기 제1 EM 방사선과는 다른 제2 EM 방사선에 상기 패턴을 노출시키는 것을 포함함 -,
    상기 처리 프로세스를 수행한 후에, 상기 방사선 민감성 물질의 층의 유리 전이 온도보다 낮은 하드 베이크(hard bake) 온도에서 상기 패턴을 하드 베이킹하는 단계,
    상기 하드 베이킹 후에, 기상(vapor-phase) 화학 용액을 이용하여 상기 패턴의 제2 화학적 처리를 수행하는 단계, 및
    상기 제2 화학적 처리 후에 상기 패턴을 베이킹하는 단계를
    포함하는, 기판을 패터닝하는 방법.
  2. 제1항에 있어서, 상기 제2 EM 방사선은 자외선(ultraviolet; UV) 방사선을 포함하는 것인, 기판을 패터닝하는 방법.
  3. 제1항에 있어서, 상기 제2 EM 방사선은 260 nm 내지 350 nm의 파장의 자외선(ultraviolet; UV) 방사선을 포함하는 것인, 기판을 패터닝하는 방법.
  4. 제3항에 있어서, 상기 제2 EM 방사선에 상기 패턴을 노출시키는 것은 상기 제2 EM 방사선에 상기 기판 상의 방사선 민감성 물질의 층을 침수 노출(flood exposure)하는 것을 포함하는 것인, 기판을 패터닝하는 방법.
  5. 제1항에 있어서, 상기 하드 베이크 온도는, 상기 제1 EM 방사선의 상기 이미지 패턴의 노출 후 및 상기 현상 전의 노광 후 베이크(post-exposure bake; PEB) 프로세스를 위한 PEB 온도 이상인 것인, 기판을 패터닝하는 방법.
  6. 제1항에 있어서, 상기 하드 베이크 온도는 150℃ 내지 170℃의 범위 내에 있는 것인, 기판을 패터닝하는 방법.
  7. 기판을 패터닝하는 방법에 있어서,
    상부에 방사선 민감성 물질의 층이 형성되고 내부에 패턴이 형성된 기판을 제공하는 단계 - 상기 패턴은, 상기 기판을 상기 방사선 민감성 물질의 층으로 코팅하고, 이미지 패턴에 따라 상기 방사선 민감성 물질의 층을 제1 전자기(electromagnetic; EM) 방사선에 노출시키고, 상기 방사선 민감성 물질의 층을 현상하여 상기 이미지 패턴으로부터 상기 기판의 내부에 상기 패턴을 형성하고 상기 패턴을 린스(rinse)함으로써 형성됨 -; 및
    상기 방사선 민감성 물질의 층 내의 상기 패턴을 후처리하여 상기 패턴의 조도(roughness)를 감소시키는 단계를
    포함하고,
    상기 후처리는,
    상기 패턴에 대하여 처리 프로세스를 수행하여 상기 패턴의 노출면의 용해성을 변경하는 단계 - 상기 처리 프로세스는 제1 계면활성제를 포함하는 액상 화학 용액을 이용하여 상기 패턴의 제1 화학적 처리를 수행하거나, 상기 제1 EM 방사선과는 다른 제2 EM 방사선에 상기 패턴을 노출시키는 것을 포함함 -,
    상기 처리 프로세스를 수행한 후에, 상기 방사선 민감성 물질의 층의 유리 전이 온도보다 낮은 하드 베이크(hard bake) 온도에서 상기 패턴을 하드 베이킹하는 단계, 및
    상기 하드 베이킹 후에, 기상(vapor-phase) 화학 용액을 이용하여 상기 패턴의 제2 화학적 처리를 수행하는 단계를 포함하고,
    상기 기상 화학 용액을 이용하여 상기 패턴의 제2 화학적 처리를 수행하는 단계는 상기 패턴을 처리 증기에 노출시키는 단계를 포함하고, 상기 처리 증기는 N-메틸피로리돈 또는 N-메틸-2-피로리돈을 포함하는 것인, 기판을 패터닝하는 방법.
  8. 기판을 패터닝하는 방법에 있어서,
    상부에 방사선 민감성 물질의 층이 형성되고 내부에 패턴이 형성된 기판을 제공하는 단계 - 상기 패턴은, 상기 기판을 상기 방사선 민감성 물질의 층으로 코팅하고, 이미지 패턴에 따라 상기 방사선 민감성 물질의 층을 제1 전자기(electromagnetic; EM) 방사선에 노출시키고, 상기 방사선 민감성 물질의 층을 현상하여 상기 이미지 패턴으로부터 상기 기판의 내부에 상기 패턴을 형성하고 상기 패턴을 린스(rinse)함으로써 형성됨 -; 및
    상기 방사선 민감성 물질의 층 내의 상기 패턴을 후처리하여 상기 패턴의 조도(roughness)를 감소시키는 단계를
    포함하고,
    상기 후처리는,
    상기 패턴에 대하여 처리 프로세스를 수행하여 상기 패턴의 노출면의 용해성을 변경하는 단계 - 상기 처리 프로세스는 제1 계면활성제를 포함하는 액상 화학 용액을 이용하여 상기 패턴의 제1 화학적 처리를 수행하거나, 상기 제1 EM 방사선과는 다른 제2 EM 방사선에 상기 패턴을 노출시키는 것을 포함함 -,
    상기 처리 프로세스를 수행한 후에, 상기 방사선 민감성 물질의 층의 유리 전이 온도보다 낮은 하드 베이크(hard bake) 온도에서 상기 패턴을 하드 베이킹하는 단계,
    상기 하드 베이킹 후에, 기상(vapor-phase) 화학 용액을 이용하여 상기 패턴의 제2 화학적 처리를 수행하는 단계, 및
    상기 제2 화학적 처리 후에, 상기 기판을 하나 이상의 추가의 기상 처리 용액에 노출시켜 상기 감소된 조도를 더 감소시키는 단계를
    포함하는, 기판을 패터닝하는 방법.
  9. 기판을 패터닝하는 방법에 있어서,
    상부에 방사선 민감성 물질의 층이 형성되고 내부에 패턴이 형성된 기판을 제공하는 단계 - 상기 패턴은, 상기 기판을 상기 방사선 민감성 물질의 층으로 코팅하고, 이미지 패턴에 따라 상기 방사선 민감성 물질의 층을 제1 전자기(electromagnetic; EM) 방사선에 노출시키고, 상기 방사선 민감성 물질의 층을 현상하여 상기 이미지 패턴으로부터 상기 기판의 내부에 상기 패턴을 형성하고 상기 패턴을 린스(rinse)함으로써 형성됨 -; 및
    상기 방사선 민감성 물질의 층 내의 상기 패턴을 후처리하여 상기 패턴의 조도(roughness)를 감소시키는 단계를
    포함하고,
    상기 후처리는,
    상기 패턴에 대하여 처리 프로세스를 수행하여 상기 패턴의 노출면의 용해성을 변경하는 단계 - 상기 처리 프로세스는 제1 계면활성제를 포함하는 액상 화학 용액을 이용하여 상기 패턴의 제1 화학적 처리를 수행하거나, 상기 제1 EM 방사선과는 다른 제2 EM 방사선에 상기 패턴을 노출시키는 것을 포함함 -,
    상기 처리 프로세스를 수행한 후에, 상기 방사선 민감성 물질의 층의 유리 전이 온도보다 낮은 하드 베이크(hard bake) 온도에서 상기 패턴을 하드 베이킹하는 단계, 및
    상기 하드 베이킹 후에, 기상(vapor-phase) 화학 용액을 이용하여 상기 패턴의 제2 화학적 처리를 수행하는 단계를 포함하고,
    상기 기상 화학 용액을 이용하여 상기 패턴의 제2 화학적 처리를 수행하는 단계는 상기 기판 상의 패턴을 상기 기상 화학 용액에 노출시키는 동안 상기 기판을 가열하는 단계를 더 포함하는 것인, 기판을 패터닝하는 방법.
  10. 제1항에 있어서, 상기 조도는 라인 폭 조도(line width roughness; LWR) 또는 라인 에지 조도(line edge roughness; LER)를 포함하는 것인, 기판을 패터닝하는 방법.
  11. 제1항에 있어서,
    상기 패턴의 후처리 직전에 평가되는 스무딩 전(pre-smoothing) 조도를 결정하고 상기 패턴의 후처리 직후에 평가된 스무딩 후(post-smoothing) 조도를 결정함으로써 상기 조도를 특징짓는(characterizing) 단계; 및
    10%를 초과하는 상기 조도의 감소를 달성하는 단계 - 상기 조도의 감소는 상기 스무딩 전 조도와 상기 스무딩 후 조도 간의 차이 대 상기 스무딩 전 조도의 백분위 비(percentile ratio)로서 측정됨 - 를
    더 포함하는, 기판을 패터닝하는 방법.
  12. 제1항에 있어서,
    상기 패턴의 후처리 직전에 평가되는 스무딩 전 조도를 결정하고 상기 패턴의 후처리 직후에 평가된 스무딩 후 조도를 결정함으로써 상기 조도를 특징짓는 단계; 및
    20%를 초과하는 상기 조도의 감소를 달성하는 단계 - 상기 조도의 감소는 상기 스무딩 전 조도와 상기 스무딩 후 조도 간의 차이 대 상기 스무딩 전 조도의 백분위 비로서 측정됨 - 를
    더 포함하는, 기판을 패터닝하는 방법.
  13. 제1항에 있어서, 상기 패턴의 현상 후 상기 패턴을 린스하는 단계는 상기 패턴을 탈이온수로 린스하는 단계를 포함하는 것인, 기판을 패터닝하는 방법.
  14. 기판을 패터닝하는 방법에 있어서,
    상부에 방사선 민감성 물질의 층이 형성되고 내부에 패턴이 형성된 기판을 제공하는 단계 - 상기 패턴은, 상기 기판을 상기 방사선 민감성 물질의 층으로 코팅하고, 이미지 패턴에 따라 상기 방사선 민감성 물질의 층을 제1 전자기(electromagnetic; EM) 방사선에 노출시키고, 상기 방사선 민감성 물질의 층을 현상하여 상기 이미지 패턴으로부터 상기 기판의 내부에 상기 패턴을 형성하고 상기 패턴을 린스(rinse)함으로써 형성됨 -; 및
    상기 방사선 민감성 물질의 층 내의 상기 패턴을 후처리하여 상기 패턴의 조도(roughness)를 감소시키는 단계를
    포함하고,
    상기 후처리는,
    상기 패턴에 대하여 처리 프로세스를 수행하여 상기 패턴의 노출면의 용해성을 변경하는 단계 - 상기 처리 프로세스는 제1 계면활성제를 포함하는 액상 화학 용액을 이용하여 상기 패턴의 제1 화학적 처리를 수행하거나, 상기 제1 EM 방사선과는 다른 제2 EM 방사선에 상기 패턴을 노출시키는 것을 포함함 -,
    상기 처리 프로세스를 수행한 후에, 상기 방사선 민감성 물질의 층의 유리 전이 온도보다 낮은 하드 베이크(hard bake) 온도에서 상기 패턴을 하드 베이킹하는 단계, 및
    상기 하드 베이킹 후에, 기상(vapor-phase) 화학 용액을 이용하여 상기 패턴의 제2 화학적 처리를 수행하는 단계를 포함하고,
    상기 패턴의 제1 화학적 처리를 수행하는 단계는 다른 액상 화학 용액을 이용하여 상기 패턴의 제2 화학적 처리를 수행하는 단계를 더 포함하고, 상기 다른 액상 화학 용액은 제2 계면활성제를 포함하는 것인, 기판을 패터닝하는 방법.
  15. 제14항에 있어서,
    상기 제1 계면활성제를 선택하여 라인 에지 조도(line edge roughness; LER)와 라인 폭 조도(line width roughness; LWR) 중 적어도 하나를 감소시키는 단계; 및
    상기 제2 계면활성제를 선택하여 패턴 붕괴(pattern collapse)를 감소시키는 단계를
    더 포함하는, 기판을 패터닝하는 방법.
  16. 삭제
  17. 기판을 패터닝하는 방법에 있어서,
    상부에 방사선 민감성 물질의 층이 형성되고 내부에 패턴이 형성된 기판을 제공하는 단계 - 상기 패턴은 상기 기판을 상기 방사선 민감성 물질의 층으로 코팅하고, 이미지 패턴에 따라 상기 방사선 민감성 물질의 층을 제1 전자기(electromagnetic; EM) 방사선에 노출시키고, 상기 방사선 민감성 물질의 층을 현상하여 상기 이미지 패턴으로부터 상기 패턴을 상기 기판의 내부에 형성하고 상기 패턴을 린스함으로써 형성됨 -;
    상기 패턴을 린스한 후, 상기 패턴의 표면을 계면활성제를 포함하는 액상 화학 용액에 노출시킴으로써 상기 패턴의 적어도 일부의 팽창(swelling)을 촉진하여 상기 패턴의 용해성을 증가시키는 단계;
    상기 패턴을 하드 베이킹함으로써 상기 패턴의 적어도 일부를 교차 결합(cross-link)하여 상기 패턴의 용해성을 감소시키는 단계; 및
    상기 패턴을 기상 화학 용액에 노출시킴으로써 상기 패턴을 스무딩하여 상기 패턴의 용해성이 증가된 상기 패턴의 적어도 일부를 제거하거나 재분배하는 단계를
    포함하는, 기판을 패터닝하는 방법.
  18. 제17항에 있어서, 상기 스무딩 후에, 상기 패턴을 베이킹하는 단계를 더 포함하는, 기판을 패터닝하는 방법.
  19. 기판을 패터닝하는 방법에 있어서,
    상부에 방사선 민감성 물질의 층이 형성되고 내부에 패턴이 형성된 기판을 제공하는 단계 - 상기 패턴은, 상기 기판을 상기 방사선 민감성 물질의 층으로 코팅하고, 이미지 패턴에 따라 상기 방사선 민감성 물질의 층을 제1 전자기(electromagnetic; EM) 방사선에 노출시키고 상기 방사선 민감성 물질의 층을 현상하여 상기 이미지 패턴으로부터 상기 기판의 내부에 상기 패턴을 형성하고 상기 패턴을 린스함으로써 형성됨 -;
    상기 패턴을 린스한 후, 상기 패턴의 표면을 제2 EM 방사선에 노출시킴으로써 상기 패턴의 적어도 일부를 탈보호화(de-protect)하여 상기 패턴의 용해성을 증가시키는 단계;
    상기 패턴을 하드 베이킹함으로써 상기 패턴의 적어도 일부를 교차 결합하여 상기 패턴의 용해성을 감소시키는 단계;
    상기 패턴을 기상 화학 용액에 노출시킴으로써 상기 패턴을 스무딩하여 상기 패턴의 용해성이 증가된 상기 패턴의 적어도 일부를 제거하거나 재분배하는 단계; 및
    상기 스무딩 후, 상기 패턴을 베이킹하는 단계를
    포함하는, 기판을 패터닝하는 방법.
  20. 삭제
KR1020147035026A 2012-05-15 2013-05-14 패턴 조도 및 기형을 감소시키는 프로세스 시퀀스 KR101680442B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261647406P 2012-05-15 2012-05-15
US61/647,406 2012-05-15
US13/572,005 2012-08-10
US13/572,005 US9097977B2 (en) 2012-05-15 2012-08-10 Process sequence for reducing pattern roughness and deformity
PCT/US2013/040880 WO2013173285A1 (en) 2012-05-15 2013-05-14 Process sequence for reducing pattern roughness and deformity

Publications (2)

Publication Number Publication Date
KR20150016562A KR20150016562A (ko) 2015-02-12
KR101680442B1 true KR101680442B1 (ko) 2016-11-28

Family

ID=49581572

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147035026A KR101680442B1 (ko) 2012-05-15 2013-05-14 패턴 조도 및 기형을 감소시키는 프로세스 시퀀스

Country Status (4)

Country Link
US (1) US9097977B2 (ko)
KR (1) KR101680442B1 (ko)
TW (1) TWI609253B (ko)
WO (1) WO2013173285A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10935889B2 (en) 2015-05-13 2021-03-02 Tokyo Electron Limited Extreme ultra-violet sensitivity reduction using shrink and growth method
US11193090B2 (en) * 2018-05-16 2021-12-07 Henkel IP & Holding GmbH Systems and methods of forming and analyzing dissolvable articles

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596469B2 (en) 1997-08-14 2003-07-22 Kodak Polychrome Graphics, Llc Method of making masks and electronic parts

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521405B2 (en) 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
US20040029395A1 (en) 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US7129199B2 (en) 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
TW495843B (en) 2001-05-11 2002-07-21 Macronix Int Co Ltd Method for reducing photoresist roughness by crosslinking photoresist with deposited material
JP3822101B2 (ja) 2001-12-26 2006-09-13 株式会社ルネサステクノロジ 感放射線組成物及びパタン形成方法及び半導体装置の製造方法
US6984476B2 (en) 2002-04-15 2006-01-10 Sharp Kabushiki Kaisha Radiation-sensitive resin composition, forming process for forming patterned insulation film, active matrix board and flat-panel display device equipped with the same, and process for producing flat-panel display device
JP4045180B2 (ja) 2002-12-03 2008-02-13 Azエレクトロニックマテリアルズ株式会社 リソグラフィー用リンス液およびそれを用いたレジストパターン形成方法
US6924084B2 (en) 2003-03-31 2005-08-02 Intel Corporation Electron exposure to reduce line edge roughness
US6905811B2 (en) 2003-04-22 2005-06-14 Headway Technologies, Inc. Method to form reduced dimension pattern with good edge roughness
US7005236B2 (en) 2003-04-23 2006-02-28 Taiwan Semiconductor Manufacturing Company Maintaining photoresist planarity at hole edges
US20050221222A1 (en) 2004-03-22 2005-10-06 Canon Kabushiki Kaisha Photosensitive resin composition, resist pattern forming method, substrate processing method, and device manufacturing method
US7968278B2 (en) 2004-04-13 2011-06-28 Tokyo Electron Limited Rinse treatment method and development process method
JP4585299B2 (ja) 2004-12-09 2010-11-24 東京応化工業株式会社 リソグラフィー用リンス液及びそれを用いたレジストパターン形成方法
JP4514224B2 (ja) 2005-09-28 2010-07-28 東京エレクトロン株式会社 リンス処理方法、現像処理方法及び現像装置
JP2007219009A (ja) 2006-02-14 2007-08-30 Az Electronic Materials Kk レジスト基板用処理液とそれを用いたレジスト基板の処理方法
US20080299487A1 (en) 2007-05-31 2008-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography material and lithography process
JP4531726B2 (ja) 2006-06-22 2010-08-25 Azエレクトロニックマテリアルズ株式会社 微細化されたレジストパターンの形成方法
JP2008102343A (ja) 2006-10-19 2008-05-01 Az Electronic Materials Kk 現像済みレジスト基板処理液とそれを用いたレジスト基板の処理方法
JP2010511915A (ja) 2006-12-06 2010-04-15 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッド 二重パターン形成プロセスを利用した装置製造プロセス
US20080280230A1 (en) 2007-05-10 2008-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography process including a chemical rinse
US7967916B2 (en) 2008-03-14 2011-06-28 Lam Research Corporation Method of preventing pattern collapse during rinsing and drying
JP5624753B2 (ja) 2009-03-31 2014-11-12 東京応化工業株式会社 リソグラフィー用洗浄液及びこれを用いたレジストパターンの形成方法
JP5560115B2 (ja) 2010-06-28 2014-07-23 富士フイルム株式会社 パターン形成方法、化学増幅型レジスト組成物、及び、レジスト膜
US20130040246A1 (en) * 2011-08-09 2013-02-14 Tokyo Electron Limited Multiple chemical treatment process for reducing pattern defect
US8647817B2 (en) * 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596469B2 (en) 1997-08-14 2003-07-22 Kodak Polychrome Graphics, Llc Method of making masks and electronic parts

Also Published As

Publication number Publication date
TW201407302A (zh) 2014-02-16
WO2013173285A1 (en) 2013-11-21
TWI609253B (zh) 2017-12-21
US20130309615A1 (en) 2013-11-21
US9097977B2 (en) 2015-08-04
KR20150016562A (ko) 2015-02-12

Similar Documents

Publication Publication Date Title
US8940475B2 (en) Double patterning with inline critical dimension slimming
TWI505326B (zh) 圖案化基板之方法
JP4476979B2 (ja) 半導体基板の液浸リソグラフィ形成方法および半導体ウェハの処理方法
JP5944484B2 (ja) リソグラフィ適用において感放射線材料のラインを幅狭化する方法
US20090311490A1 (en) Chemical trim of photoresist lines by means of a tuned overcoat material
JP5663656B2 (ja) リソグラフィ用途において放射線感受性を有する材料のラインを細くする方法
JP2002505766A (ja) ホトレジスト現像剤および現像方法
JP2007180489A (ja) 半導体素子の製造方法
JP5106020B2 (ja) パターン形成方法
JP2019517026A (ja) 光増感化学又は感光性化学増幅レジストを用いた限界寸法制御
US20070111541A1 (en) Barrier film material and pattern formation method using the same
KR101680442B1 (ko) 패턴 조도 및 기형을 감소시키는 프로세스 시퀀스
US9651870B2 (en) Method and tool of lithography
KR101745810B1 (ko) Euv 레지스트 감도 감소
JP2007180490A (ja) 半導体素子の製造方法
JP2008066467A (ja) パターン形成方法
JP2006189854A (ja) 化学増幅型レジスト組成物及びそれを利用したフォトリソグラフィ方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191118

Year of fee payment: 4