KR101583154B1 - 형광 검출을 갖는 화학기계적 평탄화를 위한 시스템 및 방법 - Google Patents

형광 검출을 갖는 화학기계적 평탄화를 위한 시스템 및 방법 Download PDF

Info

Publication number
KR101583154B1
KR101583154B1 KR1020140122588A KR20140122588A KR101583154B1 KR 101583154 B1 KR101583154 B1 KR 101583154B1 KR 1020140122588 A KR1020140122588 A KR 1020140122588A KR 20140122588 A KR20140122588 A KR 20140122588A KR 101583154 B1 KR101583154 B1 KR 101583154B1
Authority
KR
South Korea
Prior art keywords
fluorescence
cmp
article
mechanical planarization
particles
Prior art date
Application number
KR1020140122588A
Other languages
English (en)
Other versions
KR20150057961A (ko
Inventor
이수어 리우
후에이치 황
롱짠 차이
치엔핑 리
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20150057961A publication Critical patent/KR20150057961A/ko
Application granted granted Critical
Publication of KR101583154B1 publication Critical patent/KR101583154B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/205Lapping pads for working plane surfaces provided with a window for inspecting the surface of the work being lapped
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/12Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving optical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Chemical & Material Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)

Abstract

물품에 대해 화학기계적 평탄화를 수행하기 위한 시스템 및 방법이 제공된다. 물품에 대해 화학기계적 평탄화를 수행하기 위한 예시적인 시스템은, 물품에 대해 화학기계적 평탄화(CMP)를 수행하도록 구성된 폴리싱 헤드, 상기 물품을 지지하도록 구성된 폴리싱 패드, 입사광을 방출하도록 구성된 광원, 상기 입사광에 응답하여 형광을 방출할 수 있는 복수의 방출체 입자들을 포함한 폴리싱 유체, 상기 형광을 검출하도록 구성된 형광 검출기, 및 상기 검출된 형광에 기초하여 상기 폴리싱 헤드를 제어하도록 구성된 하나 이상의 프로세서들을 포함한다.

Description

형광 검출을 갖는 화학기계적 평탄화를 위한 시스템 및 방법{SYSTEMS AND METHODS FOR CHEMICAL MECHANICAL PLANARIZATION WITH FLUORESCENCE DETECTION}
일반적으로, 본 발명개시에서 설명된 기술은 물질 처리에 관한 것이며, 보다 구체적으로는 평탄화에 관한 것이다.
반도체 디바이스들은 종종, 예컨대 화학기계적 평탄화(chemical-mechanical planarization; CMP), 에칭 등의 다수의 공정들을 거쳐 제조된다. CMP 공정은 종종 화학적 및 기계적 힘들의 조합으로 웨이퍼의 표면들을 평탄화하는데 이용된다. 기계적 그라인딩(grinding) 단독으로는 많은 표면 손상들을 야기시키며, ? 에칭(wet etching) 단독으로는 양호한 평탄화를 얻을 수 없다. CMP 공정은 웨이퍼 상의 부드러운 표면을 생성하고 후속 공정들(예컨대, 포토리소그래피)을 위한 웨이퍼를 준비하기 위해 기계적 그라인딩과 ? 에칭 둘 다를 수반한다. 예를 들어, CMP 공정은 포토리소그래피 동안의 초점 심도(depth focus) 문제들을 회피하기 위해 이용된다.
통상적인 CMP 기술은 몇가지 단점들을 갖는다. 예를 들어, 통상적인 CMP 기술에서는, CMP 공정을 중단시켜야 할 때를 정확하게 제어하기가 어렵다. 반도체 디바이스 제조에서는, 보통 얇은 물질층(예컨대, 티타늄 질화물, 실리콘 질화물)이 CMP 공정을 위한 CMP 중단층 및 CMP 공정 이후의 에칭 공정을 위한 에칭 하드 마스크로서 이용된다. CMP 공정은, CMP 중단층 상에 형성된 하나 이상의 물질층들이 제거되고 CMP 중단층(예컨대, 티타늄 질화물, 실리콘 질화물)이 노출된 때 중단되는 것으로 되어 있다. 만약 CMP 공정이 제때에 중단되지 않으면, 얇은 CMP 중단층은 제거될 수 있어서 CMP 중단층은 에칭 하드 마스크로서 역할을 할 수가 없게 된다. CMP 중단층 아래에 있는 물질층들은 후속적인 에칭 공정 동안에 보호될 수가 없다.
도 1(a) 내지 도 1(c)는 CMP 공정과 에칭 공정을 거치고 있는 웨이퍼를 보여주는 예시적인 도면들을 도시한다. 도 1(a)에서 도시된 바와 같이, 웨이퍼(100)는 유전체층(106)(예컨대, 게이트 산화물들)을 덮고 있는 CMP 중단층(104)(예컨대, 질화물층) 상에 형성된 하나 이상의 물질층들(102)을 포함한다. 평탄화를 위해 CMP 공정이 웨이퍼(100)에 대해 적용된다. 만약 CMP 중단층(104)이 노출된 때 CMP 공정이 중단되지 않으면, 도 1(b)에서 도시된 바와 같이, 유전체층(106)의 최상단부 상에 있는 CMP 중단층(104)은 제거되고, 유전체층(106)의 일부분도 제거가 된다. 그 후, 웨이퍼(100)가 에칭 공정을 거치면, 도 1(c)에서 도시된 바와 같이, 유전체층(106)의 적어도 일부분이 CMP 중단층(104)의 보호 없이 에칭되어 제거된다.
도 2는 CMP 공정과 에칭 공정 이후의 웨이퍼(100)의 측면 모습을 보여주는 예시적인 도면을 도시한다. 도 2에서 도시된 바와 같이, CMP 중단층(104)(예컨대, 질화물층)이 노출된 때 CMP 공정은 중단되지 않기 때문에, 유전체층(106)의 일부분이 에칭되어 제거된다. 예를 들어, 그 결과로서 유전체층(106)의 최종적인 높이는 상당히 감소된다.
본 발명개시는, CMP 공정 동안 CMP 중단층의 제거를 감소시키기 위해 CMP 중단층(예컨대, 질화물들)이 노출된 때 CMP 공정이 중단되도록, 형광 검출을 갖는 CMP 공정을 수행하기 위한 시스템 및 방법을 설명한다.
여기서 설명된 기술들에 따르면, 물품에 대해 화학기계적 평탄화를 수행하기 위한 시스템 및 방법이 제공된다. 물품에 대해 화학기계적 평탄화를 수행하기 위한 예시적인 시스템은 폴리싱 헤드, 형광원, 폴리싱 유체, 폴리싱 패드, 형광 검출기 및 하나 이상의 프로세서들을 포함한다. 폴리싱 헤드는 물품에 대해 화학기계적 평탄화(CMP)를 수행하도록 구성된다. 폴리싱 패드는 물품을 지지하도록 구성된다. 형광원은 입사광을 방출하도록 구성된다. 폴리싱 유체는 입사광에 응답하여 형광을 생성할 수 있는 복수의 방출체 입자들을 포함시켜서 CMP를 수행하도록 구성된다. 형광 검출기는 형광을 검출하도록 구성된다. 하나 이상의 프로세서들은 검출된 형광에 기초하여 폴리싱 헤드를 제어하도록 구성된다.
일 실시예에서, 물품에 대해 화학기계적 평탄화를 수행하기 위한 방법이 제공된다. 입사광이 제공된다. 화학기계적 평탄화(CMP)가 폴리싱 유체를 이용하여 물품에 대해 수행되며, 폴리싱 유체는 입사광에 응답하여 형광을 생성할 수 있는 복수의 방출체 입자들을 포함한다. 형광이 검출된다. 형광에 응답하여, 화학기계적 평탄화는 중단된다.
CMP 중단층(414)(예컨대, 질화물층)이 노출된 때 CMP 공정은 중단되기 때문에, 딥 에칭 깊이가 나타나고, 유전체층(416)의 최종적인 높이는 심하게 감소되지 않는다.
도 1(a) 내지 도 1(c)는 CMP 공정과 에칭 공정을 거치고 있는 웨이퍼를 보여주는 예시적인 도면들을 도시한다.
도 2는 CMP 공정과 에칭 공정 이후의 웨이퍼의 측면 모습을 보여주는 예시적인 도면을 도시한다.
도 3(a) 및 도 3(b)는 형광 검출을 갖는 CMP 시스템을 보여주는 예시적인 도면들을 도시한다.
도 4와 도 5는 도 3(a) 및 도 3(b)에서 도시된 CMP 시스템에서 이용되는 방출체 입자들과 계면활성제 입자들을 포함하는 폴리싱 유체를 보여주는 예시적인 도면들을 도시한다.
도 6은 폴리싱 유체 내에서의 계면활성제 입자들의 농도에 따른 형광의 세기 변화들을 보여주는 예시적인 도면을 도시한다.
도 7(a) 내지 도 7(c)는 CMP 시스템에서의 CMP 공정과 에칭 공정을 거치고 있는 웨이퍼를 보여주는 예시적인 도면들을 도시한다.
도 8은 CMP 공정과 에칭 공정 이후의 웨이퍼의 측면 모습을 보여주는 예시적인 도면을 도시한다.
도 9는 물품에 대해 화학기계적 평탄화를 수행하기 위한 예시적인 플로우 차트를 도시한다.
도 3(a) 및 도 3(b)는 형광 검출을 갖는 CMP 시스템을 보여주는 예시적인 도면들을 도시한다. 도 3(a) 및 도 3(b)에서 도시된 바와 같이, CMP 시스템(300)은 폴리싱 헤드(302), 폴리싱 패드(304), 가압판(platen)(306), 및 형광 검출기(308)를 포함한다. 폴리싱 패드(304)에서의 하나 이상의 작은 윈도우들(310)은, 입사광(312)을 통과시켜서 입사광(312)이 CMP 중단층(예컨대, 질화물층)을 포함하는 웨이퍼(314)에 부딛치도록 하고, 형광(316)을 통과시켜서 형광(316)이 형광 검출기(308)에 도달할 수 있도록 해준다. 형광(316)의 세기는 CMP 공정 동안에 CMP 중단층이 노출된 때 영향을 받는다. CMP 중단층 상에 형성된 하나 이상의 물질층들이 제거된 후 CMP 중단층이 노출된 때 CMP 공정을 중단시키기 위해, 형광 검출기(308)는 형광(316)의 세기의 변화를 검출하도록 구성된다. 예를 들어, 윈도우들(310)은 입사광(312)과 형광(316)에 대해 대략 투과성인 하나 이상의 물질들을 이용하여 제조된다. 몇몇의 실시예들에서, 제1 윈도우는 입사광(312)을 통과시키도록 하는데 이용되고, 제2 윈도우는 형광(316)을 통과시키도록 하는데 이용된다. 이 두 개의 윈도우들은 입사광(312)과 형광(316)에 대해 각각 대략 투과성인 상이한 물질들로 제조된다.
몇몇의 실시예들에서, CMP 시스템(300)은 입사광(312)을 생성하는 광원(318), 폴리싱 헤드 회전 제어기(320) 및 하나 이상의 프로세서들(미도시됨)을 포함하는 컴퓨터(322)를 더 포함한다. 예를 들어, 폴리싱 헤드 회전 제어기(320)는 폴리싱 헤드(302)가 회전하고 진동하도록 폴리싱 헤드(302)를 제어하여 웨이퍼(314)를, (예컨대 가압판(306)과 함께) 평탄화될 웨이퍼 표면의 평면에서 이동하는 폴리싱 패드(304)와 접촉하게 하도록 구성된다. 컴퓨터(322)는 광원(318) 및/또는 형광 검출기(308)를 제어하도록 구성된다. 예를 들어, 컴퓨터(322)는 검출된 형광(316)의 세기를 미리결정된 문턱값과 비교하고, 검출된 형광(316)의 세기가 미리결정된 문턱값보다 작으면, 폴리싱 헤드 회전 제어기(320)로 하여금 폴리싱 헤드(302)를 중단시키게 한다. 어떠한 실시예들에서, 폴리싱 패드(304)는 소프트 및 하드 물질들(예컨대, 다공성 폴리머 물질들)의 스택들로 형성된다.
도 4와 도 5는 CMP 시스템(300)에서 이용되는 방출체 입자들과 계면활성제 입자들을 포함하는 폴리싱 유체를 보여주는 예시적인 도면들을 도시한다. 폴리싱 유체(402)는 연마 및 침식성 화학 슬러리(예컨대, 콜로이드)를 포함한다. 예를 들어, 도 4에서 도시된 바와 같이, 폴리싱 유체(402)는 하나 이상의 연마 물질들(404), 입사광(312)에 응답하여 형광(316)을 생성할 수 있는 복수의 방출체 입자들(406), 및 형광(316)의 세기에 영향을 미칠 수 있는 복수의 계면활성제 입자들(408)을 포함한다. 웨이퍼(314)는 기판(410)상의 다중층들을 포함한다. 하나 이상의 물질층들(412)이 유전체층(416)(예컨대, 게이트 산화물들) 상에 형성된 CMP 중단층(414)(예컨대, 질화물층) 상에 형성된다. 예를 들어, CMP 중단층(414)은 질화물층(예컨대, 실리콘 질화물, 티타늄 질화물)을 포함하며, 입사광(312)에 응답하여 형광을 생성하지 않는다.
구체적으로, CMP 공정의 시작시, 계면활성제 입자들(408)은 하나 이상의 방출체 입자들(406)에 달라붙고, 형광(316)은 높은 세기를 갖는다. CMP 공정이 계속됨에 따라, CMP 중단층(414) 상에 형성된 물질층들(412)이 제거되고, CMP 중단층(414)의 적어도 일부분이 노출된다. 계면활성제 입자들(408)은 CMP 중단층(414)에 달라붙기 시작한다. 예를 들어, 방출체 입자들(406)에 이전에 달라붙었던 계면활성제 입자들(408)은 방출체 입자들(406)로부터 떨어져 나가서 CMP 중단층(414)의 표면으로 이동한다. 이에 응답하여, 형광(316)의 세기는 감소하기 시작한다. 도 5에서 도시된 바와 같이, 대부분의 계면활성제 입자들(408)이 CMP 중단층(414)에 달라붙을 때, 형광(316)은 매우 낮은 세기를 갖는다. 형광 검출기(308)는 형광(316)의 세기에서의 이러한 변화들을 검출하고, 형광(316)의 세기가 문턱값 아래로 떨어질 때 CMP 공정은 중단된다. 예를 들어, 연마 물질들(404)은 실리카(silica)를 포함한다.
도 6은 폴리싱 유체(402) 내에서의 계면활성제 입자들(408)의 농도에 따른 형광(316)의 세기 변화들을 보여주는 예시적인 도면을 도시한다. 계면활성제 입자들(408)이 CMP 중단층(414)에 달라붙기 시작할 때, 폴리싱 유체(402) 내에서의 계면활성제 입자들(408)의 농도는 감소하기 시작한다. 도 6에서 도시된 바와 같이, 폴리싱 유체(402) 내에서의 계면활성제 입자들(408)의 농도가 크기(602)쪽으로 감소되는 때, 형광 세기는 급격하게 감소한다. 예를 들어, 형광 세기 문턱값은 2×104 (a.u.)로 설정되며, 형광(316)의 세기가 설정된 문턱값보다 낮아질 때 CMP 공정은 중단된다.
도 7(a) 내지 도 7(c)는 CMP 시스템(300)에서의 CMP 공정과 에칭 공정을 거치고 있는 웨이퍼(314)를 보여주는 예시적인 도면들을 도시한다. 도 7(a)에서 도시된 바와 같이, 웨이퍼(314)는 유전체층(416)(예컨대, 게이트 산화물들)을 덮고 있는 CMP 중단층(414)(예컨대, 질화물층) 상에 형성된 물질층들(412)을 포함한다. CMP 공정이 도 3 내지 도 5에서 도시된 형광 검출을 갖는 CMP 시스템(300)에서 웨이퍼(314)에 적용된다. CMP 중단층(414)의 노출은 형광 검출을 이용하여 검출되기 때문에, CMP 공정의 종료점이 향상된 정확도를 갖고 결정된다. 도 7(b)에서 도시된 바와 같이, CMP 중단층(414)이 노출된 때 CMP 공정은 중단되며, CMP 중단층(414) 아래에 있는 유전체층(416)은 보호된다. 후속하여 CMP 중단층(414)은, 도 7(c)에서 도시된 바와 같이, 에칭 공정 및/또는 어떠한 다른 공정들을 통해, 제거된다.
도 8은 CMP 공정과 에칭 공정 이후의 웨이퍼(314)의 측면 모습을 보여주는 예시적인 도면을 도시한다. 도 8에서 도시된 바와 같이, CMP 중단층(414)(예컨대, 질화물층)이 노출된 때 CMP 공정은 중단되기 때문에, 딥 에칭 깊이가 나타나고, 유전체층(416)의 최종적인 높이는 심하게 감소되지 않는다.
도 9는 물품에 대해 화학기계적 평탄화를 수행하기 위한 예시적인 플로우 차트를 도시한다. 단계 902에서, 물품에 대한 화학기계적 평탄화는 물품 내에 포함된 중단층을 노출시키기 위해 폴리싱 유체를 이용하여 시작된다. 폴리싱 유체는 복수의 방출체 입자들과 복수의 계면활성제 입자들을 포함한다. 방출체 입자들은 입사광에 응답하여 형광을 생성할 수 있다. 계면활성제 입자들은 형광의 세기에 영향을 미치기 위해 중단층에 달라붙을 수 있다. 단계 904에서, 화학기계적 평탄화는 형광의 세기가 문턱값보다 작아진 것에 응답하여 중단된다.
예를 들어, 방출체 입자들은 Ⅱ-Ⅵ족 반도체 물질들, 예컨대, CdS, CdSe, ZnS, ZnSe, ZnTe, 또는 다른 적절한 Ⅱ-Ⅵ족 물질들을 포함한다. 다른 예시에서, 방출체 입자들은 Ⅲ-Ⅴ족 반도체 물질들, 예컨대, InAs, InN, InP, GaN, GaP, GaAs, 또는 다른 적절한 Ⅲ-Ⅴ족 반도체 물질들을 포함한다. 몇몇의 실시예들에서, 방출체 입자들은 일정한 염색 물질들, 예컨대, 아즈나이트(azunite), 사파이어, 또는 다른 적절한 염색 물질들을 포함한다. 어떠한 실시예들에서, 방출체 입자들은 일정한 형광성의 도전성 폴리머 물질들을 포함한다. 몇몇의 실시예들에서, 계면활성제 입자들은 일정한 기능기들, 예컨대, 히드록시기, 카르복실기, 또는 다른 적절한 기능기들을 포함한다.
이러한 기재된 설명은 예시들을 이용하여 최상의 모드를 비롯한 본 발명개시의 실시예들을 개시한 것이고, 또한 본 발명분야의 당업자가 본 발명개시의 다양한 실시예들을 실시하고 이용할 수 있게 한 것이다. 본 발명개시의 특허가능한 범위는 본 발명분야의 당업자에게 떠오르는 다른 예시들을 포함할 수 있다. 본 발명분야의 당업자라면 다양한 실시예들이 하나 이상의 본 상세한 설명들 없이 실시될 수 있거나, 또는 다른 대체물 및/또는 추가적인 방법들, 물질들, 또는 컴포넌트들로 실시될 수 있다는 것을 인식할 것이다. 더 나아가, 본 발명분야의 당업자라면 도면들에 도시된 다양한 컴포넌트들을 위한 다양한 등가적인 조합들 및 대안물들을 인식할 것이다.
본 발명개시의 다양한 실시예들의 양태들을 불명료하게 하는 것을 방지하기 위해 공지된 구조물들, 물질들, 또는 동작들은 상세하게 도시되거나 설명되지 않을 수 있다. 본 도면들에서 도시된 다양한 실시예들은 예시적인 표현예들을 나타내며, 반드시 실제 축척에 맞도록 도시된 것은 아니다. 특정한 피처들, 구조물들, 물질들 또는 특성들은 하나 이상의 실시예들에서 임의의 적절한 방식으로 결합될 수 있다. 본 발명개시는 다양한 예시들에서 참조 번호들 및/또는 문자들을 반복할 수 있으며, 이러한 반복은 간략화 및 명료화를 위한 것이지, 그러한 반복 그 자체가 논의된 다양한 실시예들 및/또는 구성들 사이의 관계에 영향을 미치는 것은 아니다. 다양한 추가적인 층들 및/또는 구조물들이 포함될 수 있고 및/또는 설명된 피처들은 다른 실시예들에서 생략될 수 있다. 예를 들어, 여기서 설명된 특정층은 반드시 물리적으로 또는 전기적으로 연결될 필요는 없는 다중 컴포넌트들을 포함할 수 있다. 다양한 동작들은 본 발명개시를 이해하는데 가장 도움을 주는 방식으로, 다수의 개별적인 동작들로서 차례로 설명될 수 있다. 하지만, 본 설명의 순서는 이러한 동작들이 반드시 순서 의존적임을 나타내는 것이라고 해석되어서는 안된다. 특히, 이러한 동작들은 제시된 순서로 수행될 필요는 없다. 여기서 설명된 동작들은 설명된 실시예들과는 상이한 순서로, 직렬적으로 또는 병렬적으로 수행될 수 있다. 다양한 추가적인 동작들이 수행되고 및/또는 설명될 수 있다. 추가적인 실시예들에서 동작들은 생략될 수 있다.
여기서 기재된 설명 및 이하의 청구항들은 최상단, 상, 위, 아래 등과 같은 용어들을 포함할 수 있으며, 이러한 용어들은 단지 서술상의 목적을 위해 이용된 것일 뿐이며, 제한적인 의미로서 해석되어서는 안된다. 여기서 설명된 디바이스 또는 물품의 실시예들은 복수의 위치들 및 배향들로 제조되거나, 이용되거나, 또는 수송될 수 있다. 예를 들어, 상대적으로 수직한 위치를 지정하는 용어들은 기판 또는 집적 회로의 디바이스 측면(또는 활성면)이 해당 기판의 "최상단" 표면인 상황을 가리킬 수 있으며, 기판의 "최상단" 측면이 용어 "최상단"의 의미 내에 여전히 속해 있을 수 있도록 하면서 표준적인 지구기준좌표계에서 "바닥" 측면보다 낮게 있을 수 있도록, 기판은 실제로 임의의 배향으로 있을 수 있다. (청구항들에서를 비롯하여) 여기서 이용되는 용어 "상"은, 명시적으로 언급되지 않는 한, 제2 층/구조물 "상"의 제1 층/구조물이 제2 층/구조물과 직접적인 접촉을 가지며 그 바로 위 또는 상에 위치한다는 것을 반드시 나타내지는 않을 수 있으며, 제1 층/구조물과 제2 층/구조물 사이에는 하나 이상의 제3 층들/구조물들이 존재할 수 있다. (청구항들에서를 비롯하여) 여기서 이용되는 용어 "아래"는, 명시적으로 언급되지 않는 한, 제2 층/구조물 "아래"의 제1 층/구조물이 제2 층/구조물과 직접적인 접촉을 가지며 그 바로 아래에 위치한다는 것을 반드시 나타내지는 않을 수 있으며, 제1 층/구조물과 제2 층/구조물 사이에는 하나 이상의 제3 층들/구조물들이 존재할 수 있다. (청구항들에서를 비롯하여) 여기서 이용되는 용어 "기판"은, 비제한적인 예시로서, 반도체 웨이퍼(단독으로 존재하거나 또는 다른 물질들을 포함한 어셈블리들 내에 있음)와 같은 벌크 반도체 물질들, 및 반도체 물질층들(단독으로 존재하거나 또는 다른 물질들을 포함한 어셈블리들 내에 있음)을 비롯한 하나 이상의 반도체 물질들을 포함하는 임의의 구성을 가리킬 수 있다.

Claims (10)

  1. 물품에 대해 화학기계적 평탄화를 수행하기 위한 시스템에 있어서,
    물품에 대해 화학기계적 평탄화(chemical-mechanical planarization; CMP)를 수행하도록 구성된 폴리싱 헤드;
    상기 물품을 지지하도록 구성된 폴리싱 패드;
    입사광을 방출하도록 구성된 광원;
    상기 입사광에 응답하여 형광을 방출할 수 있는 복수의 방출체 입자들을 포함한 폴리싱 유체;
    상기 형광을 검출하도록 구성된 형광 검출기; 및
    상기 검출된 형광에 기초하여 상기 폴리싱 헤드를 제어하도록 구성된 적어도 하나의 프로세서를 포함하고,
    상기 폴리싱 유체는 상기 방출체 입자들에 달라붙는 복수의 계면활성제 입자들을 더 포함하며, 상기 계면활성제 입자들은 상기 방출체 입자들로부터 떨어져 나갈 수 있는 것인, 물품에 대해 화학기계적 평탄화를 수행하기 위한 시스템.
  2. 삭제
  3. 제1항에 있어서, 상기 물품은 CMP 중단(stop) 물질을 포함하여, 상기 CMP 중단 물질이 상기 폴리싱 유체에 노출된 때, 상기 계면활성제 입자들이 상기 방출체 입자들로부터 떨어져 나가서 상기 물품의 상기 CMP 중단 물질에 달라붙도록 하는 것인, 물품에 대해 화학기계적 평탄화를 수행하기 위한 시스템.
  4. 제3항에 있어서, 상기 계면활성제 입자들이 상기 방출체 입자들에 달라붙은 때 상기 형광은 제1 세기를 갖는 것으로 검출되고, 상기 계면활성제 입자들이 상기 방출체 입자들로부터 떨어져 나간 때 상기 형광은 제2 세기를 갖는 것으로 검출되는 것인, 물품에 대해 화학기계적 평탄화를 수행하기 위한 시스템.
  5. 제4항에 있어서, 상기 적어도 하나의 프로세서는 상기 검출된 형광의 세기에 기초하여 상기 폴리싱 헤드를 제어하도록 구성된 것인, 물품에 대해 화학기계적 평탄화를 수행하기 위한 시스템.
  6. 제5항에 있어서, 상기 적어도 하나의 프로세서는, 상기 형광이 상기 제2 세기를 갖는 것으로 검출된 경우에 상기 CMP를 중단하도록 상기 폴리싱 헤드를 제어하는 것인, 물품에 대해 화학기계적 평탄화를 수행하기 위한 시스템.
  7. 제3항에 있어서, 상기 CMP 중단 물질은 티타늄 질화물과 실리콘 질화물 중 적어도 하나를 포함한 것인, 물품에 대해 화학기계적 평탄화를 수행하기 위한 시스템.
  8. 제3항에 있어서, 상기 CMP 중단 물질은 상기 물품 내에서 CMP 중단층을 형성하는 것인, 물품에 대해 화학기계적 평탄화를 수행하기 위한 시스템.
  9. 물품에 대해 화학기계적 평탄화를 수행하기 위한 시스템에 있어서,
    물품에 대해 화학기계적 평탄화(chemical-mechanical planarization; CMP)를 수행하도록 구성된 폴리싱 헤드;
    상기 물품을 지지하도록 구성된 폴리싱 패드;
    입사광을 방출하도록 구성된 광원;
    상기 입사광에 응답하여 형광을 방출할 수 있는 복수의 방출체 입자들을 포함한 폴리싱 유체;
    상기 형광을 검출하도록 구성된 형광 검출기; 및
    상기 검출된 형광에 기초하여 상기 폴리싱 헤드를 제어하도록 구성된 적어도 하나의 프로세서를 포함하고,
    상기 방출체 입자들은 CdS, CdSe, ZnS, ZnSe, ZnTe, InAs, InN, InP, GaN, GaP, GaAs, 아즈나이트(azunite), 및 사파이어 중 적어도 하나를 포함한 것인, 물품에 대해 화학기계적 평탄화를 수행하기 위한 시스템.
  10. 화학기계적 평탄화를 수행하기 위한 방법에 있어서,
    입사광을 제공하는 단계;
    폴리싱 유체를 이용하여 물품에 대해 화학기계적 평탄화(CMP)를 수행하는 단계로서, 상기 폴리싱 유체는 입사광에 응답하여 형광을 방출할 수 있는 복수의 방출체 입자들을 포함한 것인, 상기 화학기계적 평탄화(CMP) 수행 단계;
    상기 형광을 검출하는 단계;
    상기 검출된 형광에 응답하여 상기 CMP의 수행을 조정하는 단계를 포함하고,
    상기 폴리싱 유체는 상기 방출체 입자들에 달라붙는 복수의 계면활성제 입자들을 더 포함하며, 상기 계면활성제 입자들은 상기 방출체 입자들로부터 떨어져 나갈 수 있는 것인, 화학기계적 평탄화(CMP)를 수행하기 위한 방법.
KR1020140122588A 2013-11-19 2014-09-16 형광 검출을 갖는 화학기계적 평탄화를 위한 시스템 및 방법 KR101583154B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/083,818 US9305851B2 (en) 2013-11-19 2013-11-19 Systems and methods for chemical mechanical planarization with fluorescence detection
US14/083,818 2013-11-19

Publications (2)

Publication Number Publication Date
KR20150057961A KR20150057961A (ko) 2015-05-28
KR101583154B1 true KR101583154B1 (ko) 2016-01-07

Family

ID=53173695

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140122588A KR101583154B1 (ko) 2013-11-19 2014-09-16 형광 검출을 갖는 화학기계적 평탄화를 위한 시스템 및 방법

Country Status (3)

Country Link
US (1) US9305851B2 (ko)
KR (1) KR101583154B1 (ko)
CN (1) CN104647194B (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107685288B (zh) * 2017-09-05 2019-05-10 南京航空航天大学 一种游离磨粒轨迹检测方法
US11325221B2 (en) * 2017-11-16 2022-05-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad with multipurpose composite window
US11192215B2 (en) * 2017-11-16 2021-12-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad with pad wear indicator
JP7136904B2 (ja) * 2018-03-07 2022-09-13 アプライド マテリアルズ インコーポレイテッド 研磨流体添加物の濃度を測定する装置、及びかかる装置に関連する方法
US11260495B2 (en) 2018-07-27 2022-03-01 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and methods for chemical mechanical polishing
US11712778B2 (en) 2019-08-23 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical planarization tool

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001342454A (ja) 2000-06-01 2001-12-14 Hitachi Ltd 研磨剤、研磨方法及び半導体装置の製造方法
JP2002346920A (ja) 2001-05-23 2002-12-04 Canon Inc 研磨装置及び終点検出方法
JP2005294365A (ja) 2004-03-31 2005-10-20 Matsushita Electric Ind Co Ltd 研磨終点検出方法および研磨終点検出装置ならびに半導体装置

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6939198B1 (en) * 2001-12-28 2005-09-06 Applied Materials, Inc. Polishing system with in-line and in-situ metrology
EP1466699A1 (en) * 2003-04-09 2004-10-13 JSR Corporation Abrasive pad, method and metal mold for manufacturing the same, and semiconductor wafer polishing method
CN101266915A (zh) * 2007-12-25 2008-09-17 浙江工业大学 一种cmp过程中晶圆下液体薄膜中间变量的测量方法
US20120289131A1 (en) * 2011-05-13 2012-11-15 Li-Chung Liu Cmp apparatus and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001342454A (ja) 2000-06-01 2001-12-14 Hitachi Ltd 研磨剤、研磨方法及び半導体装置の製造方法
JP2002346920A (ja) 2001-05-23 2002-12-04 Canon Inc 研磨装置及び終点検出方法
JP2005294365A (ja) 2004-03-31 2005-10-20 Matsushita Electric Ind Co Ltd 研磨終点検出方法および研磨終点検出装置ならびに半導体装置

Also Published As

Publication number Publication date
US20150140691A1 (en) 2015-05-21
KR20150057961A (ko) 2015-05-28
US9305851B2 (en) 2016-04-05
CN104647194A (zh) 2015-05-27
CN104647194B (zh) 2017-05-24

Similar Documents

Publication Publication Date Title
KR101583154B1 (ko) 형광 검출을 갖는 화학기계적 평탄화를 위한 시스템 및 방법
CN109786212B (zh) 晶片结构及其修整方法
US9666496B2 (en) Systems and methods for chemical mechanical planarization with photoluminescence quenching
US11121100B2 (en) Trap layer substrate stacking technique to improve performance for RF devices
US7951719B2 (en) Self-masking defect removing method
US6613675B2 (en) Methods, apparatuses, and substrate assembly structures for fabricating microelectronic components using mechanical and chemical-mechanical planarization processes
KR102520409B1 (ko) 연마액, 연마액 세트 및 연마 방법
US9806036B2 (en) Semiconductor wafer, semiconductor structure and method of manufacturing the semiconductor wafer
US11227760B2 (en) Wafer thinning method and wafer structure
US9754845B2 (en) Systems and methods for chemical mechanical planarization with photo-current detection
US20140213153A1 (en) Wafer Polishing Tool Using Abrasive Tape
CN103094090A (zh) 一种使晶圆背部平坦的方法
CN110834267B (zh) 化学机械研磨方法及其装置
US10431471B2 (en) Method of planarizing a semiconductor wafer and semiconductor wafer
CN111732074B (zh) 晶圆减薄方法
US20220344150A1 (en) Stacked wafer structure and method for forming the same
KR100570443B1 (ko) 화학 기계적 연마 장비에서의 다이아몬드 그리드에 의한웨이퍼 손상 감지 장치
CN116110799A (zh) 一种晶圆键合结构及其形成方法
CN112017983A (zh) 一种接触孔的检测方法及半导体产品的处理方法
US20140377887A1 (en) Method for planarizing semiconductor devices
Seng The challenge of grinding technology for TSV and BSI device
JP2008186887A (ja) 研磨パッド、研磨装置および研磨装置用保護フィルム
JP2009262300A (ja) 研磨パッドおよび研磨装置
KR20110125030A (ko) 엘이디 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
FPAY Annual fee payment

Payment date: 20181211

Year of fee payment: 4