KR101561013B1 - Substrate processing device - Google Patents

Substrate processing device Download PDF

Info

Publication number
KR101561013B1
KR101561013B1 KR1020090129215A KR20090129215A KR101561013B1 KR 101561013 B1 KR101561013 B1 KR 101561013B1 KR 1020090129215 A KR1020090129215 A KR 1020090129215A KR 20090129215 A KR20090129215 A KR 20090129215A KR 101561013 B1 KR101561013 B1 KR 101561013B1
Authority
KR
South Korea
Prior art keywords
gas
plate
substrate
top lead
region
Prior art date
Application number
KR1020090129215A
Other languages
Korean (ko)
Other versions
KR20110072336A (en
Inventor
한창희
이호영
이우성
Original Assignee
주식회사 원익아이피에스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 원익아이피에스 filed Critical 주식회사 원익아이피에스
Priority to KR1020090129215A priority Critical patent/KR101561013B1/en
Publication of KR20110072336A publication Critical patent/KR20110072336A/en
Application granted granted Critical
Publication of KR101561013B1 publication Critical patent/KR101561013B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 기판처리장치에 관한 것이다. 본 발명에 따른 기판처리장치는 본체와 이 본체를 개폐하는 탑리드를 구비하며 기판에 대한 일정한 처리를 수행하도록 내부에 공간부가 형성되는 챔버, 챔버 내부에 회전 가능하게 설치되며 복수의 기판이 각각 안착되는 기판지지대를 구비한다. The present invention relates to a substrate processing apparatus. The substrate processing apparatus according to the present invention includes a chamber having a main body and a top lead for opening and closing the main body, the chamber having a space formed therein for performing a predetermined process on the substrate, a rotatably installed inside the chamber, And a substrate support.

또한, 본 발명에 따른 기판처리장치는 선택된 영역에만 플라즈마를 발생시킬 수 있도록 구조가 개선된 가스분사체를 구비한다. 이 가스분사체는, 기판을 향해 공정가스를 분사할 수 있도록 탑리드에 원주 방향을 따라 설치되는 복수의 분사플레이트와, 분사플레이트들 중 선택된 분사플레이트에 전기적으로 연결되어 선택된 분사플레이트와 기판지지대 사이에 플라즈마를 형성시키는 전극과, 선택된 분사플레이트를 포함하는 선택영역에만 플라즈마가 형성되도록 선택영역과 비선택영역 사이에 설치되는 격벽부재를 구비하며, 선택영역 내에 배치된 분사플레이트는 비선택영역 내에 배치된 분사플레이트와 전기적으로 절연되도록 구성되어 있는데 특징이 있다. In addition, the substrate processing apparatus according to the present invention includes a gas jetting structure having an improved structure capable of generating plasma only in a selected area. The gas jetting body includes a plurality of jetting plates arranged along a circumferential direction on a top lead so as to jet a process gas toward the substrate and a plurality of jetting plates electrically connected to a selected jetting plate of the jetting plates, And a partition member provided between the selected region and the non-selected region such that the plasma is formed only in the selected region including the selected spray plate. The spray plate disposed in the selected region is disposed in the non-selected region And is electrically insulated from the spray plate.

Description

기판처리장치{Substrate processing device}[0001] Substrate processing device [0002]

본 발명은 기판처리장치에 관한 것으로서, 특히 반도체 기판 상에 박막을 증착하기 위한 원자층 증착장치, 화학적 기상 증착장치 등 다양한 형태의 박막증착장치들 중 플라즈마를 형성하여 증착을 촉진시키는 기판처리장치에 관한 것이다. The present invention relates to a substrate processing apparatus, and more particularly, to a substrate processing apparatus for forming a plasma among various types of thin film deposition apparatuses such as an atomic layer deposition apparatus and a chemical vapor deposition apparatus for depositing a thin film on a semiconductor substrate, .

일반적으로 반도체 소자의 제조 공정은 기판 상에 서로 성질을 달리하는 도전막, 반도체막 및 절연막 등의 박막을 그 적층의 순서 및 패턴의 형상을 조합하여 일정한 기능을 수행하는 전자회로를 실현하는 과정이라고 말할 수 있다. 이에 따라 반도체 소자 제조 공정에서는 여러 가지 박막의 증착과 식각 단위 공정이 반복적으로 행해지며, 이러한 단위 공정을 실시하기 위해 기판은 해당 공정의 진행에 최적의 조건을 제공하는 기판처리장치에 반입되어 처리된다.Generally, a process of manufacturing a semiconductor device is a process of realizing an electronic circuit that performs a certain function by combining the order of lamination and the shape of a pattern, such as a conductive film, a semiconductor film, and an insulating film, I can tell. Accordingly, various thin film deposition and etching unit processes are repeatedly performed in the semiconductor device manufacturing process. In order to perform such a unit process, the substrate is brought into a substrate processing apparatus that provides optimal conditions for the progress of the process, and is processed .

이러한 기판처리장치들 중 기판에 박막을 증착하기 위한 장치로는 스퍼터링 장치, 화학적 기상 증착장치, 원자층 증착장치 등이 있으며, 이하에서는 원자층 증착장치, 특히 복수 매의 기판이 회전되면서 처리되는 형태의 원자층 증착장치를 예로 들어 설명하기로 한다. Among these substrate processing apparatuses, there are a sputtering apparatus, a chemical vapor deposition apparatus, an atomic layer deposition apparatus, and the like in order to deposit a thin film on a substrate. Hereinafter, an atomic layer deposition apparatus, Will be described as an example of an atomic layer deposition apparatus.

종래의 원자층 증착장치가 도 1에 도시되어 있다. A conventional atomic layer deposition apparatus is shown in Fig.

도 1을 참조하면, 종래의 원자층 증착장치(9)는 내부에 공간부가 형성되어 있는 챔버(1)와, 챔버(1) 내부에 회전가능하게 설치되며 복수의 기판(s)이 안착되는 기판지지부(2)를 구비한다. 챔버(1)의 상부에는 기판(s)을 향해 가스를 공급하는 가스분사장치(3)가 설치된다. Referring to FIG. 1, a conventional atomic layer deposition apparatus 9 includes a chamber 1 having a space formed therein, a substrate 1 which is rotatably installed in the chamber 1 and on which a plurality of substrates s are placed, And a support portion (2). On the upper part of the chamber 1, a gas injector 3 for supplying a gas toward the substrate s is provided.

가스분사장치(3)는 복수의 샤워헤드(4)로 이루어지는데, 샤워헤드(4)는 원주방향을 따라 일정 각도 간격으로 배치된다. 즉, 챔버(1) 상부의 탑리드(5)의 하부에 복수의 원호형 샤워헤드(4)가 결합된다. 탑리드(5)에는 중심점을 기준으로 복수의 가스주입공(7)이 형성되어 있어, 각 가스주입공(7)을 통해 각 샤워헤드(4)에 가스를 공급한다. 가스주입공(7)을 통해 주입된 가스는 샤워헤드(4)와 탑리드(5) 사이(c)에서 확산되어, 샤워헤드(4)에 형성된 다수의 가스분사공(8)을 통해 기판(s)으로 공급된다. The gas injection device 3 is composed of a plurality of shower heads 4, which are arranged at regular angular intervals along the circumferential direction. That is, a plurality of arcuate shower heads 4 are coupled to a lower portion of the top lead 5 in the upper part of the chamber 1. A plurality of gas injection holes 7 are formed in the top lead 5 with reference to the center point so that the gas is supplied to each shower head 4 through the gas injection holes 7. The gas injected through the gas injection holes 7 is diffused in the space c between the showerhead 4 and the top lead 5 and is supplied through the plurality of gas injection holes 8 formed in the shower head 4 s.

기판지지부(2)는 챔버(1) 내에서 회전하면서, 각 샤워헤드(4)로부터 공급되는 가스를 순차적으로 공급받아 박막증착이 이루어진다. 예컨대, 공정이 시작되는 시점에 제1원료가스를 공급받고, 순차적으로 퍼지가스, 제2원료가스 및 퍼지가스를 공급받음으로써 박막증착이 이루어지며, 가스들은 펌핑유로(p)를 통해 배출됨으로써 공정이 완료된다. The substrate supporting portion 2 is sequentially supplied with the gas supplied from each shower head 4 while being rotated in the chamber 1, and thin film deposition is performed. For example, the first raw material gas is supplied at the beginning of the process, the purge gas, the second raw material gas, and the purge gas are sequentially supplied to perform thin film deposition, and the gases are discharged through the pumping passage (p) Is completed.

보다 자세하게 설명하면, 제1원료가스가 챔버 내로 공급되면 기판 표면과의 반응을 통해 단원자층이 기판 표면에 화학 흡착된다. 그러나 기판 표면이 제1원료가스로 포화되면 단원자층 이상의 제1원료가스들은 동일한 리간드간의 비반응성으로 인해 화학 흡착 상태를 형성하지 못하고 물리 흡착 상태에 있게 된다. 퍼 지(purge)가스가 공급되면 이 물리 흡착 상태의 제1원료가스들은 퍼지가스에 의해서 제거된다. 첫 번째 단원자층 위에 제2원료가스가 공급되면 제1원료가스와 제2원료가스의 리간드 상호간 치환반응을 통해 두 번째 층이 성장하고, 첫 번째 층과 반응하지 못한 제2원료가스들은 물리 흡착 상태에 있게 되어 퍼지가스에 의해 제거된다. 그리고 이 두 번째 층의 표면은 제1원료가스와 반응할 수 있는 상태에 있게 된다. 상기한 과정이 하나의 사이클을 이루고 여러 사이클의 반복에 의해 박막이 증착되는 것이다.More specifically, when the first source gas is supplied into the chamber, the mono-element layer chemically adsorbs onto the substrate surface through reaction with the substrate surface. However, when the surface of the substrate is saturated with the first source gas, the first source gases above the monolayer can not form the chemisorption state due to the non-reactivity between the same ligands, and are in the state of physically adsorbed. When the purge gas is supplied, the first raw material gases in the physically adsorbed state are removed by the purge gas. When the second raw material gas is supplied onto the first monolayer, the second layer is grown through interstitial reaction between the ligands of the first source gas and the second source gas, and the second source gases, which have not reacted with the first layer, And is removed by the purge gas. And the surface of the second layer is in a state capable of reacting with the first raw material gas. The above process forms one cycle, and the thin film is deposited by repeating several cycles.

한편, 상기한 구성으로 이루어진 종래의 원자층 증착장치(9)에서는 박막증착을 촉진시키기 위하여 기판지지부(2)와 가스분사장치(3) 사이에 플라즈마를 형성한다. 즉, 금속 재질의 탑리드(5) 또는 샤워헤드(4)에 직류전원 또는 RF전원과 연결된 전극을 설치하고, 금속 재질의 기판지지부(2)는 접지시킴으로써, 전원이 인가되면 기판지지부(2)와 샤워헤드(4) 사이에 플라즈마를 형성시킨다. Meanwhile, in the conventional atomic layer deposition apparatus 9 configured as described above, a plasma is formed between the substrate supporter 2 and the gas injector 3 to promote thin film deposition. That is, an electrode connected to a DC power source or an RF power source is provided on the metal top lead 5 or the shower head 4, and the substrate supporting part 2 made of metal is grounded, And the showerhead 4, as shown in Fig.

종래의 원자층 증착장치(9)에서는 기판지지부(2) 위의 전체 영역에서 플라즈마가 형성되는데, 실제로 플라즈마가 필요한 영역은 원료가스(소스가스 및 반응가스)가 분사되는 영역이며, 퍼지가스가 분사되는 영역에서는 오히려 플라즈마에 의한 손상이 발생될 수 있다. In the conventional atomic layer deposition apparatus 9, a plasma is formed in the entire region above the substrate support 2. In a region where plasma is actually required, the source gas (source gas and reaction gas) is injected, The damage caused by the plasma may occur.

또한 불필요한 영역에도 플라즈마를 형성하는 것은 박막증착의 효율을 저하시킬 뿐만 아니라, 챔버 내에 파티클을 발생시킬 수 있어 바람직하지 않다는 문제점이 있었다. In addition, forming plasma in an unnecessary area not only deteriorates the efficiency of thin film deposition but also can generate particles in the chamber, which is not preferable.

본 발명은 상기한 문제점을 해결하기 위한 것으로서, 선택된 영역에 한하여 플라즈마를 형성시킬 수 있어 기판에 대한 처리효율이 향상되도록 구조가 개선된 기판처리장치를 제공하는데 그 목적이 있다. It is an object of the present invention to provide a substrate processing apparatus improved in structure to improve a processing efficiency of a substrate by forming a plasma only in a selected region.

상기 목적을 달성하기 위한 본 발명에 따른 기판처리장치는 본체와 상기 본체를 개폐하는 탑리드를 구비하며, 기판에 대한 일정한 처리를 수행하도록 내부에 공간부가 형성되는 챔버, 상기 챔버 내부에 회전 가능하게 설치되며, 복수의 기판이 각각 안착되도록 복수의 기판안착부가 형성되어 있는 기판지지대 및 상기 기판을 향해 공정가스를 분사할 수 있도록 상기 탑리드에 원주 방향을 따라 설치되는 복수의 분사플레이트와, 상기 분사플레이트들 중 선택된 분사플레이트에 전기적으로 연결되어 상기 선택된 분사플레이트와 상기 기판지지대 사이에 플라즈마를 형성시키는 전극과, 상기 탑리드와 상기 선택된 분사플레이트 사이에 구비되고 상기 선택된 분사플레이트를 포함하는 선택영역에만 상기 플라즈마가 형성되도록 상기 선택영역과 비선택영역 사이에 설치되는 격벽부재를 구비하며, 상기 선택영역 내에 배치된 상기 분사플레이트는 상기 비선택영역 내에 배치된 분사플레이트와 전기적으로 절연되도록 구성된 가스분사체를 포함하여 이루어진 것에 특징이 있다. According to another aspect of the present invention, there is provided a substrate processing apparatus including a main body and a top lead for opening and closing the main body, the chamber having a space formed therein for performing a predetermined process on the substrate, A plurality of injection plates installed along the circumferential direction of the top lid so as to inject a process gas toward the substrate; An electrode electrically connected to a selected one of the plates to form a plasma between the selected spray plate and the substrate support; and an electrode disposed between the top lead and the selected spray plate, The method of claim 1, And comprising a partition member provided between the station and the said jet plate disposed within the selected area is characterized in comprising an gas distribution member configured to be electrically insulated and the jet plate disposed in the non-selection area.

본 발명에 따르면, 상기 격벽부재는 상기 탑리드의 하면으로부터 상기 기판지지대를 향해 하방으로 돌출되게 형성되는 것이 바람직하며, 더욱 바람직하게는 상기 격벽부재와 기판지지대 사이의 간격은 상기 분사플레이트와 기판지지대 사이에 형성되는 플라즈마 쉬스의 두께보다 작다. According to the present invention, it is preferable that the partition member is formed so as to protrude downward from the bottom surface of the top lead toward the substrate support, more preferably, Is smaller than the thickness of the plasma sheath formed therebetween.

또한 본 발명에 따르면, 상기 전극은 상기 탑리드를 관통하여 상기 분사플레이트에 연결되며, 상기 탑리드와 전기적으로 절연되도록 상기 전극을 감싸는 절연부재를 더 구비하는 것이 바람직하다. According to the present invention, it is preferable that the electrode further includes an insulating member which passes through the top lead, is connected to the injection plate, and surrounds the electrode so as to be electrically insulated from the top lead.

또한 본 발명에 따르면, 상기 격벽부재는 전기적 절연체로서, 상기 격벽부재의 상부에는 상기 탑리드와 분사플레이트 사이로 돌출된 삽입부가 형성되어, 상기 분사플레이트와 탑리드는 전기적으로 상호 절연되는 것이 바람직하다. According to the present invention, it is preferable that the partition member is an electrical insulator, and an insertion portion protruding between the top lead and the injection plate is formed on the partition member, and the injection plate and the top lead are electrically insulated from each other.

또한 본 발명에 따르면, 상기 선택영역의 외부 양측에 배치된 분사플레이트에서는 암모니아와 같은 플라즈마 비활성화 가스 또는 불활성가스가 분사되며, 그 분사되는 가스의 압력이 선택영역 내부에 배치된 분사플레이트에서 분사되는 가스의 압력보다 큰 것이 바람직하다. According to the present invention, a plasma deactivation gas or an inert gas such as ammonia is injected in the injection plate disposed on both sides outside the selection region, and the pressure of the gas to be injected is controlled by the gas injected from the injection plate disposed in the selective region .

상기 선택영역에 배치된 분사플레이트와 상기 기판지지대 사이의 간격은 상기 비선택영역에 배치된 분사플레이트와 상기 기판지지대 사이의 간격과 같거나 큰 것이 바람직하다. The gap between the injection plate disposed in the selection region and the substrate support is preferably equal to or greater than the gap between the injection plate disposed in the non-selection region and the substrate support.

본 발명에 따른 기판처리장치는 선택영역과 비선택영역을 상호 분리하여 선택영역에만 국한되게 플라즈마를 형성할 수 있으므로 기판 처리의 쓰루풋을 그대로 유지하면서도, 경제적으로 장치를 운용할 수 있다는 장점이 있다. The substrate processing apparatus according to the present invention has an advantage that the apparatus can be operated economically while maintaining the throughput of the substrate processing as it is because the selective region and the non-selected region can be separated from each other and the plasma can be formed only in the selected region.

또한, 본 발명에서는 플라즈마가 불필요한 영역에서 플라즈마를 억제함으로 써 플라즈마에 의한 손상이나 파티클 발생의 문제를 해결할 수 있다. Further, in the present invention, plasma can be suppressed in a region where plasma is unnecessary, thereby solving the problem of plasma damage or particle generation.

이하, 첨부된 도면을 참조하여, 본 발명의 바람직한 실시예에 따른 기판처리장치에 대하여 더욱 상세히 설명한다. Hereinafter, a substrate processing apparatus according to a preferred embodiment of the present invention will be described in detail with reference to the accompanying drawings.

도 2는 본 발명의 바람직한 실시예에 따른 기판처리장치의 구성을 보여주는 개략적 단면도이며, 도 3은 도 2에 도시된 기판처리장치의 가스분사체의 개략적 분리사시도이고, 도 4는 도 3에 도시된 가스분사체가 결합된 상태의 개략적 사시도이다. FIG. 2 is a schematic cross-sectional view showing a configuration of a substrate processing apparatus according to a preferred embodiment of the present invention, FIG. 3 is a schematic exploded perspective view of a gas spraying body of the substrate processing apparatus shown in FIG. 2, In which the gas injector is joined.

도 2 내지 도 4를 참조하면, 본 발명의 바람직한 실시예에 따른 기판처리장치(100)는 챔버(10), 기판지지대(20) 및 가스분사체(90)를 구비한다. 2 to 4, a substrate processing apparatus 100 according to a preferred embodiment of the present invention includes a chamber 10, a substrate support 20, and a gas jet 90.

챔버(10)는 본체(18)와 이 본체(18)의 상부에 회동가능하게 설치되어 본체(18)의 내부를 개폐하는 탑리드(19)를 구비한다. 탑리드(19)가 본체(18)의 내부를 폐쇄하면, 챔버(10)의 내부에는 예컨대 증착공정 등 기판(s)에 대한 일정한 처리가 행해지는 공간부(11)가 형성된다. The chamber 10 includes a main body 18 and a top lead 19 which is rotatably installed on the main body 18 and opens and closes the inside of the main body 18. [ When the top lead 19 closes the inside of the main body 18, a space 11 in which a certain treatment with respect to the substrate s, such as a deposition process, is performed is formed inside the chamber 10.

챔버(10) 내측의 공간부(11)는 일반적으로 진공 분위기로 형성되어야 하므로 가스의 배기를 위한 배기시스템이 마련된다. 즉, 챔버(10)의 하부에는 고리형의 홈부(14)가 형성되며 홈부(14)의 상부에는 베플(12)이 씌워짐으로써, 홈부(14)와 베플(12)에 의하여 둘러 싸인 배기유로가 형성된다. 이 배기유로의 양측에는 각각 외부의 펌프(미도시)와 연결되는 펌핑유로(p)가 마련된다. 베플(12)에는 흡입구(13)가 형성되어 있어 공간부(11)의 가스들은 흡입구(13)를 통해 배기유로로 유 입된 후, 펌핑유로(p)를 통해 배기된다. Since the space portion 11 inside the chamber 10 is generally formed in a vacuum atmosphere, an exhaust system for exhausting gas is provided. That is, the annular groove 14 is formed in the lower part of the chamber 10 and the baffle 12 is placed on the upper part of the groove part 14, so that the exhaust part 14 surrounded by the groove part 14 and the baffle 12, . On both sides of the exhaust passage, a pumping passage p connected to an external pump (not shown) is provided. The baffle 12 is formed with a suction port 13 so that the gases in the space 11 flow into the exhaust passage through the suction port 13 and then exhausted through the pumping passage p.

또한, 챔버(10)의 바닥면에는 후술할 기판지지대(20)의 회전축(22)이 삽입되는 관통공(15)이 형성되어 있다. 기판(s)은 챔버(10)의 측벽에 마련된 게이트밸브(미도시)를 통해 챔버(10)의 내외부로 유입 및 유출된다.A through hole 15 is formed in the bottom surface of the chamber 10 to receive the rotation shaft 22 of the substrate support 20 described later. The substrate s flows into and out of the chamber 10 through a gate valve (not shown) provided on the side wall of the chamber 10.

기판지지대(20)는 기판(s)을 지지하기 위한 것으로서, 지지플레이트(21)와 회전축(22)을 구비한다. 지지플레이트(21)은 원판 형상으로 평평하게 형성되어 챔버(10) 내에 평행하게 배치되며, 회전축(22)은 수직하게 배치되어 지지플레이트(21)의 하부에 마련된다. 회전축(22)은 챔버(10)의 관통공(15)을 통해 외부로 연장되어 모터(미도시) 등의 구동수단과 연결되어, 지지플레이트(21)를 회전 및 승강시킨다. 회전축(22)과 관통공(13) 사이를 통해 챔버(10) 내부의 진공이 해제되는 것을 방지하고자, 회전축(22)은 벨로우즈(미도시)에 의하여 감싸져 있다.The substrate support 20 is for supporting the substrate s and has a support plate 21 and a rotation axis 22. The support plate 21 is formed in a flat plate shape and disposed in parallel in the chamber 10, and the rotation axis 22 is vertically arranged and provided at the lower portion of the support plate 21. The rotary shaft 22 extends outwardly through the through hole 15 of the chamber 10 and is connected to a driving means such as a motor (not shown) to rotate and elevate the support plate 21. The rotation shaft 22 is enclosed by a bellows (not shown) to prevent the vacuum inside the chamber 10 from being released through the space between the rotation shaft 22 and the through hole 13.

지지플레이트(21)의 상부에는 원주방향을 따라 복수의 기판안착부(23)가 형성된다. 이 기판안착부(23)는 오목하게 형성되어 지지플레이트(21)가 회전되더라도 기판(s)이 이탈되지 않고 지지플레이트(21) 상부에 지지될 수 있게 하는 역할을 한다. 또한 지지플레이트(21)의 하측에는 히터(미도시)가 매설되어 기판(s)을 일정한 공정온도로 가열한다.A plurality of substrate seating portions 23 are formed in the upper portion of the support plate 21 along the circumferential direction. The substrate seating part 23 is formed in a concave shape so that the substrate s can be supported on the support plate 21 without being detached even if the supporting plate 21 is rotated. A heater (not shown) is embedded below the support plate 21 to heat the substrate s to a predetermined process temperature.

가스분사체(90)는 기판지지대(20)에 안착된 기판(s)을 향해 원료가스, 반응가스, 퍼지가스 등의 공정가스를 분사하기 위한 것으로서, 복수의 분사플레이트(50)를 구비한다. 각 분사플레이트(50)는 대략 원호 형상으로 형성되어 탑리드(19)의 중심점을 기준으로 원주방향을 따라 배치된다. The gas spraying body 90 is for spraying a process gas such as a raw material gas, a reactive gas and a purge gas toward the substrate s placed on the substrate support 20 and has a plurality of spraying plates 50. Each injection plate 50 is formed in a substantially circular arc shape and is disposed along the circumferential direction with respect to the center point of the top lead 19. [

분사플레이트(50)가 탑리드(19)에 설치되면 분사플레이트(50)와 탑리드(19) 사이에는 가스확산공간(c)이 형성된다. 각 가스확산공간(c)은 탑리드(19)에 형성된 가스주입공(55)과 연통된다. 가스주입공(55)을 통해 각각의 공정가스가 가스확산공간(c)으로 유입된다. 분사플레이트(50)에는 다수의 가스분사공(51)이 형성되어 가스확산공간(c)에서 확산된 공정가스가 기판(s) 전체에 고르게 공급될 수 있도록 한다. A gas diffusion space c is formed between the injection plate 50 and the top lead 19 when the injection plate 50 is installed in the top lead 19. [ Each gas diffusion space (c) communicates with a gas injection hole (55) formed in the top lead (19). The respective process gases are introduced into the gas diffusion space c through the gas injection holes 55. A plurality of gas injection holes 51 are formed in the injection plate 50 so that the process gas diffused in the gas diffusion space c can be uniformly supplied to the entire surface of the substrate s.

그리고 탑리드(19)와 분사플레이트(50) 사이에 중간플레이트(60)가 개재되는데, 본 실시예에서는 가스가 가스확산공간(c) 내에서 고르게 확산될 수 있도록 하는 기능을 한다. 즉, 가스주입공(55)을 통해 유입된 공정가스는 가스확산공간(c)에서 완전히 확산된 후 기판(s)으로 공급되어야만이 공정가스가 기판(s)의 전체 영역에 걸쳐 고르게 공급될 수 있다. 이에 중간플레이트(60)를 개재함으로써, 중간플레이트(60)와 탑리드(19) 사이에서 공정가스를 1차적으로 확산시킨 후 중간플레이트(60)에 형성된 분사공(66)을 통해 배출되게 하고, 다시 중간플레이트(60)와 분사플레이트(50) 사이에서 2차적으로 확산시킨 후 기판(s)으로 공급되게 한다. 공정가스는 2번의 확산과정을 통해 가스확산공간(c)에서 완전히 확산됨으로써 기판(s) 전체 영역에 고르게 분사된다. An intermediate plate 60 is interposed between the top lead 19 and the spray plate 50. In this embodiment, the gas diffuses uniformly in the gas diffusion space c. That is, the process gas introduced through the gas injection hole 55 must be completely diffused in the gas diffusion space c and then supplied to the substrate s, so that the process gas can be uniformly supplied over the entire area of the substrate s have. The process gas is primarily diffused between the intermediate plate 60 and the top lead 19 by interposing the intermediate plate 60 so that the process gas is discharged through the spray holes 66 formed in the intermediate plate 60, Is diffused secondarily between the intermediate plate (60) and the spray plate (50), and then supplied to the substrate (s). The process gas is completely diffused in the gas diffusion space (c) through two diffusion processes to uniformly spray the entire area of the substrate (s).

한편, 도시하지는 않았지만, 다른 실시예에서는 이종의 가스를 공급할 수 있도록 중간플레이트를 사용할 수도 있다. 즉, 중간플레이트를 개재한 후, 본 실시예와 달리, 탑리드와 중간플레이트 사이의 상부공간과 중간플레이트와 분사플레이트 사이의 하부공간을 상호 격리시킨 후, 각 공간으로 이종의 가스를 주입할 수 있 다. 분사플레이트에 형성된 다수의 분사공 중 일부는 상부공간하고만 연통되며, 다른 일부는 하부공간하고만 연통되게 구성되어 이종의 가스를 기판에 공급할 수도 있다. Although not shown, in other embodiments, an intermediate plate may be used to supply different kinds of gas. That is, after interposing the intermediate plate, the upper space between the top lead and the intermediate plate and the lower space between the intermediate plate and the injection plate are isolated from each other, have. Some of the plurality of injection holes formed in the injection plate are communicated only with the upper space and the other part is configured to be communicated only with the lower space so that the different gases can be supplied to the substrate.

또한, 가스분사체(90)는 전극(81)을 구비한다. 전극(81)은 가스분사체(90)와 기판지지대(20) 사이에 플라즈마를 형성하기 위한 것으로서, 선택된 분사플레이트(50)에 연결된다. 즉, 전극(81)의 일단은 챔버(10) 외부에 마련된 직류전원 또는 고주파전원과 연결되며 타단은 도체 소재의 분사플레이트(50)에 연결되며, 마찬가지로 도체 소재의 기판지지대(20)는 접지되어 있어, 전원이 인가되면 선택된 분사플레이트(50)와 기판지지대(20) 사이에 플라즈마가 형성된다. 플라즈마가 형성되면 박막증착이 촉진되어 공정을 증착공정을 효율적으로 수행할 수 있다. In addition, the gas jetting body 90 has the electrode 81. The electrode 81 is for forming a plasma between the gas jet 90 and the substrate support 20 and is connected to the selected injection plate 50. That is, one end of the electrode 81 is connected to a DC power source or a high-frequency power source provided outside the chamber 10 and the other end is connected to a spraying plate 50 of a conductive material. Similarly, the substrate support 20 of the conductive material is grounded When power is applied, a plasma is formed between the selected spray plate 50 and the substrate support 20. When the plasma is formed, the thin film deposition is promoted, and the deposition process can be efficiently performed.

그러나 종래기술에서는 플라즈마의 형성이 필요한 영역, 즉 공정가스나 반응가스가 분사되는 영역에서만 형성되는 것이 아니라, 퍼지가스가 분사되는 영역을 포함하여 기판지지대 상부의 전체 영역에 형성되었다. 이에 퍼지가스가 분사되는 영역 등에서는 오히려 플라즈마에 의한 손상이 발생하거나 파티클 발생에 의한 챔버 오염등의 문제가 발생하였다. However, in the prior art, the plasma is not formed only in the region requiring the formation of the plasma, that is, the region where the process gas or the reactive gas is injected, but is formed in the entire region of the upper portion of the substrate support including the region where the purge gas is injected. Therefore, in the region where the purge gas is injected, damage due to the plasma occurs, or the chamber is contaminated due to the generation of particles.

이에 본 발명에서는 공정가스나 반응가스가 분사되는 영역 등 사용자의 필요에 의하여 정해진 선택된 영역에서만 플라즈마가 형성될 수 있도록 하였다. Therefore, in the present invention, plasma can be formed only in a selected region determined according to a user's need, such as a region where a process gas or a reactive gas is injected.

이를 위하여, 전극(81)이 선택된 분사플레이트(50)에만 연결되도록 하고 다른 분사플레이트 및 탑리드(19)에는 연결되지 않도록 하였으며, 선택된 분사플레이트(50)도 다른 분사플레이트나 탑리드(19)와는 전기적으로 절연되도록 하였다. For this purpose, the electrode 81 is connected only to the selected spray plate 50 and is not connected to the other spray plate and the top lead 19. The selected spray plate 50 is also connected to the other spray plate or top lead 19 So as to be electrically insulated.

즉, 탑리드(19)를 통해 선택된 분사플레이트(50)와 연결되는 전극(81)은 절연체 소재의 절연부재(82)로 피복하여 탑리드(19), 중간플레이트(60, 세라믹 소재) 등과 절연되도록 하였다. 그리고 도체 소재의 탑리드(19)와 선택된 분사플레이트(50) 사이에는 후술할 절연 소재의 격벽부재(70)를 개재함으로써 전기적으로 절연시켰다. That is, the electrode 81 connected to the injection plate 50 selected through the top lead 19 is covered with the insulating member 82 made of an insulator and is insulated from the top lead 19, the intermediate plate 60 (ceramic material) Respectively. Then, a barrier member 70 of an insulating material, which will be described later, is interposed between the top lead 19 of the conductor material and the selected spray plate 50 so as to be electrically insulated.

그러나, 상기한 바와 같이 전극(81)과 선택된 분사플레이트(50)를 다른 분사플레이트나 탑리드(19) 등과 절연시켰다고 하여도, 선택된 분사플레이트(50)에 전원이 인가되면, 선택된 분사플레이트(50)와 기판지지대(20) 사이에만 플라즈마가 형성되는 것이 아니랄 기판지지대(20)의 전체 영역에서 플라즈마가 형성되게 된다. However, even if the electrodes 81 and the selected injection plate 50 are insulated from other injection plates or the top leads 19 and the like, when power is applied to the selected injection plate 50, the selected injection plate 50 The plasma is formed in the entire region of the substrate support 20, which is not formed between the substrate support 20 and the substrate support 20.

이에 선택된 분사플레이트(50)를 포함하는 선택영역에서만 플라즈마를 형성하기 위해서는 선택영역과 비선택영역을 상호 분리할 필요가 있다. 여기서, 선택영역이란 공정상의 필요에 의하여 사용자가 선택한 분사플레이트(50, 전극이 연결된 분사플레이트)를 반드시 포함하는 영역으로서, 도 4에 도시된 바와 같이, 오로지 선택된 분사플레이트(50)만을 포함하는 영역이 될 수 있지만, 다른 실시예에서는 선택된 분사플레이트(50)를 포함하여 선택된 분사플레이트(50)의 옆에 배치된 분사플레이트들을 포함할 수 도 있다. 즉, 선택된 분사플레이트(50)를 포함하여 2개 이상의 분사플레이트를 포함하는 영역이 될 수 있다. In order to form the plasma only in the selective region including the spray plate 50 selected in this way, it is necessary to separate the selective region from the non-selected region. Here, the selection region is an area that necessarily includes the injection plate (50, the injection plate to which the electrode is connected) selected by the user in accordance with the process needs, and as shown in FIG. 4, the region including only the selected injection plate But in other embodiments may include spray plates disposed next to the selected spray plate 50 including the selected spray plate 50. [ That is, it may be an area including two or more ejection plates including the selected ejection plate 50.

일반적으로 플라즈마의 형성이 필요한 영역은 원료가스 및 반응가스를 분사하는 분사플레이트를 포함하는 영역이 될 것이다. 도 2 내지 도 4에 도시된 원자층 증착장치의 경우, 원료가스와 반응가스를 분사하는 분사플레이트는 서로 마주보 고 정 반대에 배치되어 있는 것이 일반적이며, 이러한 경우 선택된 분사플레이트는 2개 이상일 수 있다. 즉, 선택된 분사플레이트는 반드시 하나일 필요는 없으며, 가스분사체(90) 내의 분사플레이트들 중 복수 개가 될 수 있다. 또한, 복수의 선택된 분사플레이트들은 각각 전극이 연결되므로 상호 인접해 있을 필요도 없다. In general, a region where plasma is required to be formed will be a region including a raw material gas and a spray plate for spraying the reactive gas. In the case of the atomic layer deposition apparatuses shown in FIGS. 2 to 4, it is general that the injection plates for injecting the raw material gas and the reaction gas are arranged opposite to each other in the opposite direction to each other. In this case, have. That is, the selected spray plate need not necessarily be one, but may be a plurality of the spray plates in the gas spraying body 90. In addition, the plurality of selected ejection plates do not need to be adjacent to each other because the electrodes are connected to each other.

상기한 바와 같이, 선택영역이 결정되면 격벽부재(70)로 선택영역과 비선택영역을 상호 분리한다. 격벽부재(70)는 절연체 소재로 이루어지는데, 선택영역과 비선택영역 사이에 배치되어 탑리드(19)의 하면으로부터 기판지지대(20)를 향하여 돌출되게 형성됨으로써, 선택영역과 비선택영역을 격리시킨다. As described above, when the selection region is determined, the selection region and the non-selection region are separated from each other by the partition member 70. The partition member 70 is made of an insulator material and is disposed between the selective region and the non-selected region and protrudes from the lower surface of the top lead 19 toward the substrate support 20. Thus, .

여기서 중요한 점은 격벽부재(70)와 기판지지대(20) 사이의 간격(d)이 플라즈마 쉬스(sheath)의 두께보다 작게 형성되어야 한다는 것이다. 즉, 기판지지대(20)와 선택된 분사플레이트(50) 사이에 플라즈마가 형성되면, 기판지지대(20)의 바로 상측에는 플라즈마가 형성되지 않는 쉬스 영역이 생기게 되며, 이 플라즈마 쉬스의 두께는 200~300μm인 것이 일반적이다. 격벽부재(70)와 기판지지대(20) 사이의 간격이 플라즈마 쉬스의 두께보다 작으면, 선택된 분사플레이트(50)와 기판지지대(20) 사이의 선택된 영역에서 발생된 플라즈마가 격벽부재(70)와 기판지지대(20) 사이의 간격을 통해 비선택영역으로 확산될 수 없게 된다. 이에 선택영역에서만 플라즈마를 형성할 수 있고 비선택영역에는 플라즈마의 발생을 억제할 수 있게 된다. The important point here is that the distance d between the partition member 70 and the substrate support 20 should be smaller than the thickness of the plasma sheath. That is, when a plasma is formed between the substrate support 20 and the selected spray plate 50, a sheath area where no plasma is formed is formed just above the substrate support 20, and the thickness of the plasma sheath is 200 to 300 μm . The plasma generated in the selected region between the selected ejection plate 50 and the substrate support 20 is applied to the partition wall member 70 and the substrate support 20 when the gap between the partition member 70 and the substrate support 20 is smaller than the thickness of the plasma sheath. And can not be diffused into the non-selected region through the gap between the substrate supports 20. [ Thus, the plasma can be formed only in the selective region and the plasma can be suppressed in the non-selected region.

그리고 격벽부재(70)의 상부에는 탑리드(19)와 분사플레이트(50) 사이로 돌출된 삽입부(72)가 마련되며, 이 삽입부(72)에 의하여 탑리드(19)와 선택된 분사플 레이트(50)는 상호 전기적으로 절연될 수 있다. An insertion portion 72 protruding between the top lead 19 and the injection plate 50 is provided at an upper portion of the partition member 70. The insertion portion 72 is provided with a top lead 19, (50) can be electrically insulated from each other.

한편, 본 실시예에서는 선택영역에서만 플라즈마가 형성될 수 있도록 선택영역의 양측에 배치된 분사플레이트(50)에서 플라즈마 비활성가스를 분사할 수 있다. 즉, 암모니아와 같은 가스는 플라즈마의 활성을 억제시키는 작용을 하므로, 공정에 있어 문제가 되지 않는 경우, 선택영역의 외부 양측에 배치된 분사플레이트에서 암모니아와 같은 플라즈마 비활성가스를 분사하여 플라즈마가 비선택영역으로 확산되는 것을 추가적으로 방지할 수 있다.On the other hand, in this embodiment, plasma inert gas can be injected from the injection plate 50 disposed on both sides of the selected region so that plasma can be formed only in the selected region. That is, since the gas such as ammonia acts to inhibit the activity of the plasma, if there is no problem in the process, a plasma inert gas such as ammonia is sprayed from an injection plate disposed on both sides outside the selected region, It is possible to further prevent diffusion into the region.

또한 선택영역의 양측에 배치된 분사플레이트에서는 공정가스를 분사하지 않게 함으로써, 즉 더미(dummy)의 분사플레이트로 활용함으로써 플라즈마가 비선택영역으로 확산되는 것을 억제할 수 있다. In addition, it is possible to prevent the plasma from diffusing into the non-selected regions by preventing the process gas from being injected at the injection plates disposed on both sides of the selected region, that is, by using it as a dummy injection plate.

또한, 선택영역의 양측에 배치된 분사플레이트(예컨대 퍼지가스 분사플레이트)에서는 질소가스와 같은 불활성가스를 분사하면서, 가스의 압력을 선택영역에서 분사되는 가스의 압력보다 높게 하여 플라즈마가 비선택영역으로 확산되는 것을 방지할 수도 있다. In addition, in the injection plate (for example, the purge gas injection plate) disposed on both sides of the selected region, while the inert gas such as nitrogen gas is being injected, the pressure of the gas is made higher than the pressure of the gas injected in the selective region, It may be prevented from spreading.

상기한 구성으로 이루어진 기판처리장치(100)에서는 원료가스나 반응가스가 분사되는 분사플레이트를 포함하는 선택영역에서만 플라즈마가 형성되므로, 박막증착의 쓰루풋을 그대로 유지하면서도 플라즈마의 형성이 불필요한 영역에서의 플라즈마에 의한 손상이나 파티클 발생 등의 문제가 해결될 수 있다. Since the plasma is formed only in the selective region including the raw material gas or the injection plate through which the reactive gas is injected in the substrate processing apparatus 100 having the above-described configuration, plasma in the region where the formation of the plasma is unnecessary, And problems such as particle generation can be solved.

한편, 도 2 내지 도 4에 도시된 기판처리장치(100)에서는 전극이 연결되는 분사플레이트와 다른 분사플레이트가 서로 동일한 높이에 배치되는 것으로 도시하 였으나, 도 5에 도시된 실시예와 같이 분사플레이트의 높이가 상호 다르게 배치될 수도 있다.In the substrate processing apparatus 100 shown in FIGS. 2 to 4, the injection plate to which the electrodes are connected and the other injection plate are arranged at the same height. However, in the embodiment shown in FIG. 5, May be arranged differently from one another.

즉, 플라즈마가 형성되기 위해서는 일정 높이 이상이 보장되어야 하지만, 플라즈마가 필요없는 영역에서는 분사플레이트와 기판(s)이 상호 근접하게 배치되는 것이 바람직하다. 이에, 도 5에 도시된 실시예에서는 선택된 분사플레이트(50)와 선택되지 않은 분사플레이트 보다 높은 위치에 배치될 수 있다. 도 5에서 다른 구성요소는 도 2에 도시된 실시예와 완전히 동일하므로 별도의 설명은 생략하기로 한다. That is, in order to form a plasma, a certain height or more must be ensured, but in the region where plasma is not required, it is preferable that the injection plate and the substrate s are arranged close to each other. Thus, in the embodiment shown in FIG. 5, it can be disposed at a position higher than the selected injection plate 50 and the unselected injection plate. The other components in FIG. 5 are completely the same as those in the embodiment shown in FIG. 2, and a detailed description thereof will be omitted.

지금까지, 챔버의 탑리드는 플레이트 형태인 것으로 설명 및 도시하였으나, 탑리드의 형태는 다양할 수 있다. Up to now, the top lead of the chamber has been described and shown as a plate type, but the shape of the top lead may vary.

즉, 탑리드는 프레임만 갖추고 있으며, 원호 모양의 탑플레이트가 이 프레임에 각각 끼워지고, 각 탑플레이트의 하측으로 일정 거리 이격되게 분사플레이트가 끼워짐으로써, 탑플레이트와 분사플레이트 사이에 가스확산공간을 형성할 수 있다. 즉, 탑리드에는 원호 모양으로 형성된 복수의 끼움부가 설치되도록 프레임으로 형성되고, 탑플레이트와 분사플레이트가 상하방향으로 이격되어 끼워지는 형태이다. That is, the top lead has a frame only, and an arc-shaped top plate is fitted into the frame, and a spray plate is fitted so as to be spaced apart from the bottom of each top plate by a certain distance, . That is, the top lead is formed into a frame so as to have a plurality of fitting portions formed in an arc shape, and the top plate and the injection plate are spaced apart from each other in the vertical direction.

또한 탑리드 중 다른 부분들은 본 실시예와 같이 플레이트 형태로 형성되고, 선택된 분사플레이트(플라즈마를 형성하는 선택영역으로서 주로 원료가스 분사플레이트임)의 영역만 프레임으로 형성될 수도 있다. 이 경우, 탑리드에는 원료가스 분사플레이트가 배치되는 영역에는 프레임이 형성되고 이 프레임의 상부에는 탑플레이트가 끼워지고 하부에는 원료가스 분사플레이트가 끼워져 탑플레이트와 원료가 스 분사플레이트 사이에 가스확산공간을 형성할 수 있다. Further, other portions of the top leads may be formed in the form of a plate as in the present embodiment, and only a region of the selected injection plate (mainly a material gas injection plate as a selective region for forming plasma) may be formed as a frame. In this case, a frame is formed in a region where the material gas injection plate is disposed in the top lead, a top plate is fitted in the upper portion of the frame, and a raw material gas injection plate is inserted in the lower portion. Can be formed.

본 발명은 첨부된 도면에 도시된 일 실시예를 참고로 설명되었으나 이는 예시적인 것에 불과하며, 당해 기술분야에서 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 수 있을 것이다. 따라서, 본 발명의 진정한 보호 범위는 첨부된 청구 범위에 의해서만 정해져야 한다.While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it is clearly understood that the same is by way of illustration and example only and is not to be taken by way of limitation and that those skilled in the art will recognize that various modifications and equivalent arrangements may be made therein. It will be possible. Accordingly, the true scope of protection of the present invention should be determined only by the appended claims.

도 1은 종래의 기판처리장치의 개략적 구성도이다. 1 is a schematic configuration diagram of a conventional substrate processing apparatus.

도 2는 본 발명의 바람직한 실시예에 따른 기판처리장치의 구성을 보여주는 개략적 단면도이다. 2 is a schematic cross-sectional view showing a configuration of a substrate processing apparatus according to a preferred embodiment of the present invention.

도 3은 도 2에 도시된 기판처리장치의 가스분사체의 개략적 분리사시도이다. 3 is a schematic exploded perspective view of the gas jetting body of the substrate processing apparatus shown in Fig.

도 4는 도 3에 도시된 가스분사체가 결합된 상태의 개략적 사시도이다. 4 is a schematic perspective view of the gas injector shown in Fig. 3 coupled thereto.

도 5는 본 발명의 다른 실시예에 따른 기판처리장치의 구성을 보여주는 개략적 단면도이다. 5 is a schematic cross-sectional view showing a configuration of a substrate processing apparatus according to another embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명>Description of the Related Art

100 ... 기판처리장치 10 ... 챔버100 ... substrate processing apparatus 10 ... chamber

20 ... 기판지지대 50 ... 분사플레이트20 ... substrate support 50 ... injection plate

60 ... 중간플레이트 70 ... 격벽부재60 ... intermediate plate 70 ... partition wall member

81 ... 전극 90 ... 가스분사체81 ... Electrode 90 ... Gas Dispenser

s ... 기판s ... substrate

Claims (9)

본체와 상기 본체를 개폐하는 탑리드를 구비하며, 기판에 대한 일정한 처리를 수행하도록 내부에 공간부가 형성되는 챔버;A chamber having a main body and a top lead for opening and closing the main body, the chamber having a space portion formed therein to perform a predetermined treatment with respect to the substrate; 상기 챔버 내부에 회전 가능하게 설치되며, 복수의 기판이 안착되는 기판지지대; 및 A substrate support rotatably installed in the chamber and on which a plurality of substrates are mounted; And 상기 기판을 향해 공정가스를 분사할 수 있도록 상기 탑리드에 원주 방향을 따라 설치되는 복수의 분사플레이트와, 상기 분사플레이트들 중 선택된 분사플레이트에 전기적으로 연결되어 상기 선택된 분사플레이트와 상기 기판지지대 사이에 플라즈마를 형성시키는 전극과, 상기 탑리드와 상기 선택된 분사플레이트 사이에 구비되고 상기 선택된 분사플레이트를 포함하는 선택영역에만 상기 플라즈마가 형성되도록 상기 선택영역과 비선택영역 사이에 설치되는 격벽부재를 구비하며, 상기 선택영역 내에 배치된 상기 분사플레이트는 상기 비선택영역 내에 배치된 분사플레이트와 전기적으로 절연되도록 구성된 가스분사체;를 포함하여 이루어진 것을 특징으로 하는 기판처리장치.A plurality of ejection plates disposed along the circumferential direction of the top lead so as to eject a process gas toward the substrate; and a plurality of ejection plates electrically connected to the selected ejection plates, between the selected ejection plate and the substrate support And a partition member provided between the selected region and the non-selected region so as to form the plasma only in a selected region provided between the top lead and the selected spray plate and including the selected spray plate, And the gas ejection plate disposed in the selected region is electrically insulated from the ejection plate disposed in the non-selected region. 제1항에 있어서, The method according to claim 1, 상기 격벽부재는 상기 탑리드의 하면으로부터 상기 기판지지대를 향해 하방으로 돌출되게 형성되는 것을 특징으로 하는 기판처리장치. Wherein the partition member is formed to protrude downward from the bottom surface of the top lead toward the substrate support. 제2항에 있어서,3. The method of claim 2, 상기 격벽부재와 기판지지대 사이의 간격은 상기 분사플레이트와 기판지지대 사이에 형성되는 플라즈마 쉬스의 두께보다 작은 것을 특징으로 하는 기판처리장치. Wherein the gap between the partition member and the substrate support is smaller than the thickness of the plasma sheath formed between the ejection plate and the substrate support. 제1항에 있어서,The method according to claim 1, 상기 전극은 상기 탑리드를 관통하여 상기 분사플레이트에 연결되며, The electrode is connected to the injection plate through the top lead, 상기 탑리드와 전기적으로 절연되도록 상기 전극을 감싸는 절연부재를 더 구비하는 것을 특징으로 하는 기판처리장치. Further comprising an insulating member surrounding the electrode so as to be electrically insulated from the top lead. 제1항에 있어서, The method according to claim 1, 상기 격벽부재는 전기적 절연체로서, 상기 격벽부재의 상부에는 상기 탑리드와 분사플레이트 사이로 돌출된 삽입부가 형성되어, Wherein the partition member is an electrical insulator, and an insertion portion protruding between the top lead and the ejection plate is formed on an upper portion of the partition member, 상기 분사플레이트와 탑리드는 전기적으로 상호 절연되는 것을 특징으로 하는 기판처리장치. Wherein the spray plate and the top lead are electrically insulated from each other. 제1항에 있어서, The method according to claim 1, 상기 선택영역의 외부 양측에 배치된 분사플레이트에서는 플라즈마 비활성화 가스를 분사하는 것을 특징으로 하는 기판처리장치. And a plasma inactivating gas is injected from an ejection plate disposed on both outer sides of the selected region. 제1항에 있어서,The method according to claim 1, 상기 선택영역의 외부 양측에 배치된 분사플레이트에서 분사되는 가스의 압력은 상기 선택영역 내부에 배치된 분사플레이트에서 분사되는 가스의 압력보다 높은 것을 특징으로 기판처리장치. Wherein the pressure of the gas ejected from the ejection plate disposed on both outer sides of the selection region is higher than the pressure of the gas ejected from the ejection plate disposed inside the selection region. 제1항에 있어서, The method according to claim 1, 상기 선택영역의 외부 양측에 배치된 분사플레이트에서는 공정가스를 분사하지 않는 것을 특징으로 기판처리장치. Wherein the injection plate does not inject the process gas at the injection plates disposed on both outer sides of the selection region. 제1항에 있어서,The method according to claim 1, 상기 선택영역에 배치된 분사플레이트와 상기 기판지지대 사이의 간격은 상기 비선택영역에 배치된 분사플레이트와 상기 기판지지대 사이의 간격과 같거나 큰 것을 특징으로 하는 기판처리장치. Wherein an interval between the ejection plate disposed in the selection region and the substrate support is equal to or greater than an interval between the ejection plate disposed in the non-selection region and the substrate support.
KR1020090129215A 2009-12-22 2009-12-22 Substrate processing device KR101561013B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090129215A KR101561013B1 (en) 2009-12-22 2009-12-22 Substrate processing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090129215A KR101561013B1 (en) 2009-12-22 2009-12-22 Substrate processing device

Publications (2)

Publication Number Publication Date
KR20110072336A KR20110072336A (en) 2011-06-29
KR101561013B1 true KR101561013B1 (en) 2015-10-14

Family

ID=44403267

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090129215A KR101561013B1 (en) 2009-12-22 2009-12-22 Substrate processing device

Country Status (1)

Country Link
KR (1) KR101561013B1 (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101954758B1 (en) * 2012-01-20 2019-03-06 주성엔지니어링(주) Substrate processing apparatus and substrate processing method
KR102002042B1 (en) * 2012-05-29 2019-07-19 주성엔지니어링(주) Substrate processing apparatus and substrate processing method
CN108277478B (en) 2012-05-29 2020-03-20 周星工程股份有限公司 Substrate processing apparatus and substrate processing method
KR102029952B1 (en) * 2012-05-29 2019-10-08 주성엔지니어링(주) Apparatus and Method of processing substrate
KR101863652B1 (en) * 2012-05-30 2018-06-04 주성엔지니어링(주) Apparatus and method of processing substrate
KR101887072B1 (en) * 2012-06-07 2018-08-09 주성엔지니어링(주) Apparatus and method of processing substrate
KR102014279B1 (en) * 2014-02-27 2019-08-26 주식회사 원익아이피에스 Substrate process apparatus
KR102510489B1 (en) * 2015-12-03 2023-03-14 주성엔지니어링(주) Substrate treatment apparatus
KR101929405B1 (en) * 2017-11-08 2019-03-14 주성엔지니어링(주) Substrate processing apparatus and substrate processing method
KR101977917B1 (en) * 2018-05-28 2019-05-13 주성엔지니어링(주) Apparatus and method of processing substrate
KR102076512B1 (en) * 2019-02-27 2020-02-13 주성엔지니어링(주) Substrate processing method
KR102254808B1 (en) * 2019-12-26 2021-05-24 주성엔지니어링(주) Apparatus for processing substrate
CN113755822B (en) * 2020-06-04 2024-03-01 中国科学院微电子研究所 Flat plate type discharge device for atomic layer deposition system
KR102361069B1 (en) * 2020-08-04 2022-02-14 주성엔지니어링(주) Apparatus for processing substrate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008524842A (en) 2004-12-16 2008-07-10 株式会社フュージョンエード Thin film deposition apparatus and method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008524842A (en) 2004-12-16 2008-07-10 株式会社フュージョンエード Thin film deposition apparatus and method

Also Published As

Publication number Publication date
KR20110072336A (en) 2011-06-29

Similar Documents

Publication Publication Date Title
KR101561013B1 (en) Substrate processing device
KR20100132779A (en) Method for manufacturing thin film and apparatus for the same
US20050241580A1 (en) Method for depositing thin film and thin film deposition system having separate jet orifices for spraying purge gas
JP2001262352A (en) Shower head device for radical vapor deposition
KR20060086375A (en) Shower head and film-forming device using the same
KR20130073777A (en) Substrate processing apparatus and substrate processing method
KR101835755B1 (en) Manufacturing method for thin film and substrate process apparatus
KR101239109B1 (en) Chamber for uniform layer deposition
KR20130142972A (en) Apparatus and method of processing substrate
KR20170136280A (en) Substrate processing apparatus
KR101493250B1 (en) Atomic layer deposition apparatus
KR20180027205A (en) Apparatus for Distributing Gas and Apparatus for Processing Substrate
KR101351399B1 (en) Apparatus and method of processing substrate
KR101493254B1 (en) Atomic layer deposition apparatus
KR101907973B1 (en) Gas injecting device and Substrate processing apparatus having the same
KR20140134246A (en) Substrate processing apparatus and substrate processing method
KR20220167017A (en) Method for forming a barrier layer
KR20140134879A (en) Atomic layer deposition apparatus
KR101255719B1 (en) Gas injection device of which injector is integrated with plasma electrode and substrate processing apparatus comprising the same
KR101957368B1 (en) Apparatus for Processing Substrate
KR20040048618A (en) Atomic layer deposition apparatus
KR20130141409A (en) Substrate processing apparatus and substrate processing method
KR101687904B1 (en) Atmospheric Pressure Plasma Chemical Vapor Deposition Apparatus with Intermediate Reaction Chamber
KR100957456B1 (en) Thin film layer deposition apparatus using atomic layer deposition method
KR20040102600A (en) Deposition apparatus for manufacturing semiconductor devices

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190909

Year of fee payment: 5