KR101488802B1 - 기판 상의 구조물의 측정 - Google Patents

기판 상의 구조물의 측정 Download PDF

Info

Publication number
KR101488802B1
KR101488802B1 KR1020137000278A KR20137000278A KR101488802B1 KR 101488802 B1 KR101488802 B1 KR 101488802B1 KR 1020137000278 A KR1020137000278 A KR 1020137000278A KR 20137000278 A KR20137000278 A KR 20137000278A KR 101488802 B1 KR101488802 B1 KR 101488802B1
Authority
KR
South Korea
Prior art keywords
model
parameters
candidate
recipe
parameter
Prior art date
Application number
KR1020137000278A
Other languages
English (en)
Other versions
KR20130025941A (ko
Inventor
마르얀 아벤
휴고 크라머
노엘 라이트
루벤 알바르스-산체스
마르티즌 슬롭
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20130025941A publication Critical patent/KR20130025941A/ko
Application granted granted Critical
Publication of KR101488802B1 publication Critical patent/KR101488802B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

기판 상의 미세 구조물(30)의 모델을 재구축하기 위해 회절 모델 및 스캐터로메트리가 이용된다. 복수의 후ㅗ 구조가 정의되며, 각각의 후보 구조는 복수의 파라미터(p1, p2 등)에 의해 표현된다. 복수의 모델 회절 신호는 각각의 후보 구조의 조명을 시뮬레이션함으로써 계산된다. 구조는 모델 회절 신호 중의 하나 이상을 구조물(30)로부터 거물된 신호에 피팅(fitting)함으로써 재구축된다. 후보 구조의 생성에서, 모델 레시피가 이용되며, 이 모델 레시피에서는 파라미터가 고정되는지 아니면 변화 가능한 것으로 되는지가 지정된다. 변화 가능한 파라미터 중에서, 특정 파라미터는 선형 제약과 같은 특정 제약(A)에 따라 함께 변화하도록 제약된다. 최적화된 세트의 제약(990) 및 그에 따라 최적화된 모델 레시피(94)가 측정을 위해 대상으로 되는 하나 이상의 파라미터를 지정하는 사용자 입력(988)에 대한 참조에 의해 그리고 재구축 프로세스를 시뮬레이션함으로써 결정된다. 최적화된 모델 레시피는 복수의 후보 모델 레시피를 이용하여 기준 구조의 세트(984)의 재구축을 시뮬레이션하는 "파라미터 어드바이저" 프로세스(986)에 의해 자동으로 결정될 수 있다. 기준 구조의 생성에서, "비현실적인" 파라미터 조합을 제외하기 위해 제한이 적용될 수 있다(985).

Description

기판 상의 구조물의 측정{MEASUREMENT OF A STRUCTURE ON A SUBSTRATE}
본 발명은 기판 상의 구조물을 측정하는 방법 및 장치에 관한 것이다. 본 발명은 예컨대 리소그래피 장치의 크리티컬 디멘전(CD) 또는 오버레이 성능을 평가하기 위해 예컨대 미세 구조물의 모델 기반 계측(model based metrology)에 적용할 수 있다.
리소그래피 장치는 기판 상에 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)로도 지칭되는 패터닝 장치가 집적회로의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 타겟 영역(예컨대, 다이(die)의 일부분, 하나의 다이, 또는 여러 개의 다이를 포함하는) 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)의 층 위에의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟 영역들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는, 타겟 영역 상에 패턴 전체를 한번에 노광함으로써 각각의 타겟 영역을 조사(照射)하는 소위 스테퍼(stepper), 및 소정의 방향("스캐닝"-방향)의 방사선 빔을 통해 패턴을 스캐닝하는 동시에, 이 방향과 평행한 방향(동일 방향으로 평행한 방향) 또는 역-평행 방향(반대 방향으로 평행한 방향)으로 기판을 스캐닝함으로써 각각의 타겟 영역을 조사하는 소위 스캐너를 포함한다. 또한, 기판 상에 패턴을 임프린트(imprint)함으로써 패터닝 장치로부터 기판으로 패턴을 전사할 수 있다.
리소그래피 공정을 모니터하기 위해서는 패터닝된 기판의 파라미터를 측정한다. 이러한 파라미터에는 예컨대 패터닝된 기판 내에 형성되거나 또는 패터닝된 기판 상에 형성된 연속층 간의 오버레이 오차 및 현상된 감광성 레지스트의 임계 라인폭(critical linewidth, CD)이 포함될 수 있다. 이러한 측정은 제품 기판 및/또는 전용의 계측 타겟 상에서 수행될 수 있다. 리소그래피 공정으로 형성된 미세 구조물의 측정을 행하기 위한 다양한 기술이 있으며, 이들 기술에는 주사 전자 현미경(scanning electron microscope) 및 다양한 특수 기기를 사용하는 것이 포함된다. 신속하고 비침투식 형태의 특수 검사 기기인 스캐터로미터(scatterometer)는 방사선의 빔을 기판의 표면 상의 타겟으로 향하게 하여 산란 또는 반사된 빔의 특성을 측정한다. 2가지 주요 유형의 스캐터로미터가 알려져 있다. 분광 스캐터로미터(spectroscopic scatterometer)는 광대역 방사선 빔을 기판 상으로 지향시키고 특정한 좁은 각도 범위로 산란된 방사선의 스펙트럼(예컨대, 파장을 함수로 하는 세기)을 측정한다. 각도 분해 스캐터로미터(angularly resolved scatterometer)는 단색성 방사선 빔(monochromatic radiation beam)을 이용하고, 산란된 방사선의 세기를 각도를 함수로 하여 측정한다.
빔이 기판에 의해 반사되거나 산란되기 전과 후의 빔의 특성을 비교함으로써, 기판의 특성을 결정할 수 있다. 이것은 예컨대 반사 빔 또는 산란 빔의 측정으로부터 얻어진 데이터를 파라미터화 모델(parameterized model)로부터 계산된 모델 (시뮬레이션된) 회절 신호와 비교함으로써 행해질 수 있다. 계산된 신호는 사전에 계산되어 라이브러리에 저장될 수 있어서, 이 라이브러리가 파라미터화 모델의 파라미터 공간에 분포된 복수의 후보 기판 구조를 나타낸다. 이와 달리 또는 이에 추가하여, 파라미터는 계산된 회절 신호가 측정된 신호와 부합할 때까지 반복 탐색 프로세스 동안 변경될 수 있다. 예컨대, 미국 특허 제7,522,293호(Wu에게 허여된)에는 이들 2개의 기술이 예컨대 각각 "라이브러리 기반" 프로세스와 "회귀 기반(regression based)" 프로세스로서 설명되어 있다.
특히 복잡한 구조물 또는 특정한 재료를 포함하는 구조물에 대해, 산란된 빔을 정확하게 모델링하기 위해서는 많은 개수의 파라미터가 요구된다. "모델 레시피(model recipe)"가 정의되며, 이 모델 레시피에서는 파라미터가 소정의("고정의") 것 또는 가변의("유동의") 것 중의 하나로서 정의된다. 유동 파라미터(floating parameter)에 대해서는, 변동(variation)의 허용 범위는 절대항(absolute term)으로 정해지거나 또는 공칭값(nominal value)으로부터의 편차에 대한 기준에 의해 정해진다. 레시피에서의 각각의 유동 파라미터는, 모델에서의 또 다른 "자유도(degree of freedom)"와, 최상의 매칭 후보 구조가 발견될 다차원 파라미터 공간에서의 또 다른 차원을 나타낸다. 소수의 파라미터로도, 연산 태스크의 크기는 예컨대 라이브러리 샘플의 개수를 수용 가능하지 않은 정도로 증가시킴으로써 신속하게 매우 커지게 된다. 측정된 기판에 대응하지 않는 파라미터 세트를 잘못 매칭할 위험 또한 커지게 된다. 불행히도, 파라미터를 측정된 구조물에 있는 것과 동일하지 않은 값으로 고정하는 것은 매칭 프로세스를 왜곡시켜, 가장 관심이 되는 파라미터일 유동 파라미터에서 부정확성이 상승하게 될 것이다. 따라서, 레시피는 연산의 정확도와 실현 가능성 간의 미묘한 타협(compromise)이 된다.
본 발명의 목적은 전술한 타입의 재구축 방법에 의한 구조물의 측정을 위한 더 우수한 툴을 제공하는 것이다. 구체적으로, 본 발명은, 정확도를 위한 더 많은 변화 가능한 파라미터(variable parameter)에 대한 요구와, 모델이 너무 많은 자유도를 갖는 곳에서 발생하는 불이익(penalty) 간에, 더 우수한 타협이 도달될 수 있도록 하는 것을 목적으로 한다. 본 발명의 발명자는 파라미터를 공칭값으로 고정함에 의해서만이 아니라 유동 파라미터들 간에 관계를 부여함으로써 모델에서의 자유도의 개수가 감소될 수 있다는 것을 인지하였다.
본 발명의 특징에 따라, 기판 상의 구조물의 하나 이상의 파라미터를 측정하는 방법이 제공되며, 상기 방법은,
(a) 사전에 정해진 조명 하에서 상기 구조물과 방사선의 상호작용으로 발생하는 검출된 신호를 수신하는 단계;
(b) 모델 레시피(model recipe)에 따라 상기 구조물의 수학적 모델의 파라미터를 변화시킴으로써 복수의 후보 구조를 생성하는 단계;
(c) 상기 사전에 정해진 방사선과 각각의 상기 후보 구조의 상호작용을 모델링함으로써 복수의 후보 모델 신호를 계산하는 단계;
(d) 상기 검출된 신호와 상기 복수의 후보 모델 신호를 비교하여 최상의 매칭 모델 신호를 식별하는 단계; 및
(e) 상기 (d) 단계에서의 비교 결과에 기초하여, 최상의 매칭 모델 신호에 대응하는 후보 구조의 파라미터를 기반으로 하는 상기 구조물의 하나 이상의 파라미터의 측정치를 보고하는 단계를 포함하며,
상기 모델 레시피는 상기 파라미터의 서브세트 간의 관계를 정의하는 하나 이상의 제약(constraint)을 포함하며, 상기 (b) 단계에서, 상기 후보 구조를 생성할 때에, 상기 제약이 적용되어, 파라미터의 상기 서브세트가 상기 제약에 따라 함께 변화하도록 제약되며, 이에 의해 상기 서브세트의 파라미터를 고정된 파라미터로서 취급하지 않고서도 모델의 자유도의 개수를 감소시키는 것을 특징으로 한다.
본 발명은 재구축의 정확도를 공지의 기술과 동일한 정도로 타협하지 않고서도 자유도를 감소시킬 수 있다. 공지의 시스템에서의 모델은 통상적으로 존재하는 자유도보다 더 많은 변화 가능한 파라미터를 갖는다. 예컨대, 모델링된 구조의 2개의 특징부에 대해서는 형상 및 재료의 2개의 파라미터를 갖는 것이 일반적이다. 이들 파라미터가 모델에서 개별적으로 명명되지만, 대상으로 하는 특징부가 동일한 공정 단계에 의해 동일한 재료층에 형성되면, 이들은 자연적으로 동일하게 되는 것으로 제약될 것이다. 본 발명은 이들 자연적인 제약에 대해 부가적인 제약을 식별하고 적용하는 것과 관련되며, 자유도의 개수를 모델 자체에 의해 정해지는 것보다 아래로 감소시키는 것을 목적으로 한다.
본 발명은 위에서 설명한 바와 같은 측정 방법에 이용하기 위한 모델 레시피를 생성하는 방법을 제공하며, 상기 방법은,
(b1) 모델 구조 및 각각의 모델 신호의 기준 집합체(reference collection)를 제공하는 단계로서, 상기 기준 집합체가 모델 레시피에 대해 요구되는 것보다 많은 자유도로 발생되는, 단계;
(b2) 각각의 후보 모델 레시피가 상이한 세트의 하나 이상의 제약을 포함하고, 각각의 제약이 변화 가능한 파라미터의 서브세트 간의 관계를 정의함으로써 모델의 자유도의 개수를 감소시켜, 상기 서브세트의 파라미터를 고정된 파라미터로서 취급하지 않고서도 모델의 자유도의 개수를 감소시키게 되는, 복수의 후보 모델 레시피를 발생하는 단계;
(b3) 각각의 후보 모델 레시피를 이용하고, 상기 검출된 신호 대신에 상기 기준 집합체로부터의 복수의 모델 신호로 대체하여, 상기한 측정 방법의 (c) 단계 내지 (e) 단계를 수행하는 단계; 및
(b4) 각각의 후보 모델 레시피를 이용하여 획득된 측정된 파라미터 값을, 상기 (b3) 단계에서 이용된 모델 신호에 대응하는 모델 구조의 알려진 파라미터 값과 비교함으로써, 최상의 모델 레시피를 선택하는 단계를 포함하는 것을 특징으로 한다.
본 발명은 기판 상의 구조물의 하나 이상의 파라미터를 측정하는 검사 장치를 제공하며, 상기 검사 장치는,
- 하나 이상의 방사선 빔으로 상기 구조물을 조명하는 조명 시스템;
- 상기 방사선과 상기 구조물 간의 상호작용으로 발생하는 신호를 검출하는 검출 시스템; 및
- 검출된 신호를 복수의 모델 신호와 비교하여, 최상의 매칭 모델 신호를 식별하는 프로세서
를 포함하며,
상기 프로세서는, 형상 및 재료 특성이 수학적 모델의 복수의 파라미터에 의해 표현되는 각각의 후보 구조에 대한 참조에 의해 각각의 상기 모델 신호를 생성하도록 구성되며, 각각의 후보 구조는 상기 파라미터 중의 하나 이상이 다른 후보 구조와는 상이하며, 상기 프로세서는 상기 변화 가능한 파라미터의 서브세트 간의 관계를 정의하는 하나 이상의 제약을 포함하는 모델 레시피에 대한 참조에 의해 각각의 상기 후보 구조를 생성하도록 구성되며, 상기 프로세서는 상기 후보 구조의 생성에 상기 제약을 적용하여, 파라미터의 상기 서브세트가 상기 제약에 따라 함께 변화하도록 제약되게 하며, 이에 의해 상기 서브세트의 파라미터를 고정된 파라미터로서 취급하지 않고서도 모델의 자유도의 개수를 감소시키는 것을 특징으로 한다.
일실시예에서의 본 발명은 기판 상의 구조물의 하나 이상의 파라미터를 측정하는 방법을 제공하며, 상기 측정 방법은,
(m) 형상 및 재료 특성이 복수의 자유도와 함께 변화할 수 있는 복수의 파라미터에 의해 표현되는, 상기 구조물의 수학적 모델을 정의하는 단계;
(n) 상기 파라미터 중의 어느 것이 고정된 파라미터로서 취급될지와 상기 파라미터 중의 어느 것이 변화 가능한 파라미터로서 취급될지를 지정함으로써 모델 레시피를 정의하는 단계;
(o) 상기 구조물을 하나 이상의 방사선 빔으로 조명하고, 상기 방사선과 상기 구조물 간의 상호작용으로 발생하는 신호를 검출하는 단계;
(p) 모델 레시피에 대한 참조에 의해 복수의 후보 모델 구조를 생성하는 단계로서, 각각의 후보 구조가, 다른 후보 구조와는, 상기 변화 가능한 파라미터 중의 하나 이상이 상이하게 되는, 단계;
(r) 상기 방사선과 각각의 상기 후보 구조 간의 상호작용을 시뮬레이션함으로써 복수의 모델 신호를 계산하는 단계;
(s) 검출된 신호를 상기 모델 신호 중의 적어도 일부와 비교하는 단계; 및
(t) 상기 (s) 단계에서의 비교 결과에 기초하여, 최상의 매칭 모델 신호를 식별하고, 상기 최상의 매칭 모델 신호에 대응하는 후보 구조의 파라미터에 기초하여 상기 구조물의 하나 이상의 파라미터의 측정치를 보고하는 단계를 포함하며,
상기 (n) 단계에서 생성된 상기 모델 레시피는 또한 상기 변화 가능한 파라미터의 서브세트 간의 관계를 정의하는 하나 이상의 제약을 포함하여, 상기 서브세트의 파라미터를 고정된 파라미터로서 취급하지 않고서도 모델의 자유도의 개수를 감소시키게 하며, 상기 (p) 단계에서, 상기 후보 구조를 생성할 때에, 상기 제약이 적용되어, 파라미터의 상기 서브세트가 상기 제약에 따라 함께 변화하도록 제약되는 것을 특징으로 한다.
본 발명은 또한 프로세서로 하여금 이러한 방법 및 장치를 실시하게 하고 및/또는 이러한 장치 및 방법에 사용하기 위한 모델 레시피를 생성하게 하기 위한 컴퓨터 프로그램 제품을 제공한다.
도 1은 리소그래피 장치의 개략도를 도시하고 있다.
도 2는 리소그래피 셀 또는 클러스터의 개략도를 도시하고 있다.
도 3은 제1 스캐터로미터의 작동 원리를 예시하고 있다.
도 4는 제2 스캐터로미터의 작동 원리를 예시하고 있다.
도 5는 스캐터로미터 측정치로부터 구조의 재구축을 위해 본 발명을 이용하는 프로세스의 제1 예를 도시한다.
도 6은 스캐터로미터 측정치로부터 구조의 재구축을 위해 본 발명을 이용하는 프로세스의 제2 예를 도시한다.
도 7은 도 5 또는 도 6의 프로세스에 의해 측정될 제1 예의 구조의 횡단면을 관련 모델 파라미터와 함께 도시한다.
도 8은 도 5 또는 도 6의 프로세스에 의해 측정될 제2 예의 구조의 횡단면을 관련 모델 파라미터와 함께 도시한다.
도 9a는 제1 예의 어플리케이션에서의 재구축 프로세스를 이해하기 위한 원리를 예시한다.
도 9b는 상기 제1 예의 어플리케이션에서의 최근 기술에 따른 유동(floating) 및 고정 파라미터를 이용한 결과를, 본 발명의 실시예에 따라 하나의 선형 제약을 이용하는 결과와 대비하고 있다.
도 10은 제2 예의 어플리케이션에서의 선형 제약의 작동을 도시하고 있다.
도 11은 선형 제약을 갖는 재구축 프로세스와 선형 제약을 갖지 않는 재구축 프로세스의 성능의 비교를 위한 복잡한 구조를 도시하고 있다.
도 12a 및 도 12b는 본 발명의 실시예에 따라 선형 제약을 포함하는 최적화된 모델 레시피를 획득하는 방법을 도시하고 있다.
도 13은 타겟 구조물에서의 특징부의 단순 모델 (a)와 복잡한 모델 (b)을 도시하고 있다.
도 14는 도 7의 구조물의 현실적 변동 (a), (b)와 비현실적 또는 가능성이 별로 없는 변동 (c)를 비교하는 도면이다.
도 15 및 도 16은 일례의 구조물의 특정한 쌍의 파라미터에 대한 현실적인 파라미터 세트와 비현실적인 파라미터 세트의 분포와, 도 5, 도 6 및/또는 도 12의 프로세스에서의 현실적인 샘플링의 적용을 도시한다.
이하에서는, 본 발명의 실시예를 대응하는 참조 부호가 대응하는 부분을 나타내고 있는 첨부한 개략 도면을 참조하여 예로서 설명될 것이다.
도 1은 리소그래피 장치를 개략적으로 도시하고 있다. 본 리소그래피 장치는 이하의 구성요소를 포함한다:
- 방사선 빔(B)(예컨대, UV 방사선 또는 DUV 방사선)을 조절하도록 구성된 조명 시스템(조명기)(IL),
- 패터닝 장치(예컨대, 마스크)(MA)를 지지하도록 구성되고, 또한 특정 파라미터에 따라 패터닝 장치(MA)를 정확히 위치시키도록 구성된 제1 위치 설정기(PM)에 연결된 지지 구조체(예컨대, 마스크 테이블)(MT),
- 기판(예컨대, 레지스트가 코팅된 기판)(W)을 유지하도록 구성되고, 특정 파라미터에 따라 기판(W)을 정확히 위치시키도록 구성된 제2 위치 설정기(PW)에 연결된 기판 테이블(예컨대, 웨이퍼 테이블)(WT), 및
- 패터닝 장치(MA)에 의해 방사선 빔(B)에 부여한 패턴을, 기판(W)의 타겟 영역(C)(예컨대, 하나 이상의 다이를 포함하는) 상에 투영하도록 구성된 투영 시스템(예컨대, 굴절형 투영 렌즈 시스템)(PL).
조명 시스템은 방사선을 지향, 성형 또는 제어하기 위한 굴절형, 반사형, 자기형, 전자기형, 정전형, 또는 다른 유형의 광학 요소들 또는 이들의 임의의 조합과 같은 다양한 유형의 광학 요소들을 포함할 수 있다.
지지 구조체는 패터닝 장치를 지지한다. 즉, 지지 구조체는 패터닝 장치의 무게를 지탱한다. 지지 구조체는 패터닝 장치의 배향, 리소그래피 장치의 디자인, 및 예컨대 패터닝 장치가 진공 분위기에서 유지되는지의 여부와 같은 기타 조건들에 좌우되는 방식으로 패터닝 장치를 유지한다. 지지 구조체는 패터닝 장치를 유지하기 위해 기계식, 진공식, 정전식, 또는 기타 클램핑 기술들을 이용할 수 있다. 지지 구조체는 예컨대 필요에 따라 고정되거나 이동시킬 수 있는 프레임(frame) 또는 테이블일 수도 있다. 지지 구조체는 패터닝 장치가 예컨대 투영 시스템에 대하여 요구된 위치에 있도록 할 수 있다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 장치"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 장치"라는 용어는, 기판의 타겟 영역에 패턴을 생성하기 위하여 방사선 빔의 단면에 패턴을 부여하기 위해 사용될 수 있는 모든 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예컨대 그 패턴이 위상 반전 피처(phase-shifting feature) 또는 이른바 어시스트 피처(assist feature)를 포함하는 경우, 기판의 타겟 영역 내의 요구된 패턴과 정확히 일치하지 않을 수도 있다는 것에 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적회로와 같은 타겟 영역 내에 생성되는 디바이스에서의 특정 기능층에 대응할 것이다.
패터닝 장치는 투과형 또는 반사형 모두 가능하다. 패터닝 장치의 예로는 마스크, 프로그래머블 미러 어레이, 및 프로그래머블 LCD 패널을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리형, 교번 위상 반전형 및 감쇠 위상 반전형과 같은 마스크 타입뿐만 아니라 다양한 하이브리드 마스크 타입들을 포함한다. 프로그래머블 미러 어레이의 예는 소형 미러들의 매트릭스 배열을 채용하며, 그 각각의 미러들은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 경사지는 것이 가능하다. 경사진 미러들은 미러 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사선에 대하여 적합하거나 또는 액침액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대하여 적합하다면, 굴절형, 반사형, 반사 굴절형(catadioptric), 자기형, 전자기형, 및 정전형 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로서 폭넓게 해석되어야 한다. 본 명세서에서 사용되는 "투영 렌즈"라는 용어는 "투영 시스템"이라는 좀더 일반적인 용어의 동의어로 간주할 수 있다.
본 명세서에서 설명한 바와 같이, 리소그래피 장치는 투과형의 것(예컨대, 투과형 마스크를 채용함)이다. 이와 달리, 리소그래피 장치는 반사형의 것(예컨대, 전술한 바와 같은 유형의 프로그래머블 미러 어레이를 채용하거나, 또는 반사형 마스크를 채용함)이어도 된다.
리소그래피 장치는 2개(듀얼 스테이지) 이상의 기판 테이블(및/또는 2개 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "복수 스테이지" 기기에서는, 추가의 테이블을 병행하여 사용하거나, 또는 하나 이상의 테이블 상에서 예비 공정을 수행하면서 다른 하나 이상의 테이블을 노광용으로 사용하는 것이 가능하다.
리소그래피 장치는 기판의 적어도 일부가 예컨대 물과 같은 비교적 굴절률이 높은 액체로 덮여지도록 하여 투영 시스템과 기판 사이의 공간을 채우도록 하는 타입의 것일 수도 있다. 액침액은 예컨대 마스크와 투영 시스템 사이와 같이 리소그래피 장치 내의 다른 공간에도 가해질 수 있다. 액침 기술은 투영 시스템의 개구도를 증가시키기 위해 본 기술 분야에 널리 공지되어 있다. 본 명세서에 사용되는 바와 같은 "액침"이라는 표현은 기판과 같은 구조체가 액침액에 잠겨져야 하는 것을 의미하는 것이 아니라, 노광 동안에 투영 시스템과 기판 사이에 액침액이 위치된다는 것을 의미한다.
도 1을 참조하면, 조명기(IL)는 방사선 소스(SO)로부터 방사선 빔을 수광한다. 예컨대, 방사선 소스(SO)가 엑시머 레이저인 경우, 방사선 소스(SO)와 리소그래피 장치는 별도의 개체일 수도 있다. 이러한 경우, 방사선 소스(SO)는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않으며, 방사선 빔은 예컨대 적합한 지향 미러 및/또는 빔 확장기(beam expander)를 포함하는 빔 전달 시스템(BD)을 이용하여 방사선 소스(SO)로부터 조명기(IL)에 전달된다. 다른 경우에, 예컨대 방사선 소스(SO)가 수은 램프인 경우에, 이 방사선 소스(SO)는 리소그래피 장치에 통합된 부품일 수도 있다. 방사선 소스(SO) 및 조명기(IL)는 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템으로 지칭될 수도 있다.
조명기(IL)는 방사선 빔의 각도 세기 분포(angular intensity distribution)를 조정하는 조정기(AD)를 포함할 수 있다. 일반적으로, 조명기의 퓨필 평면(pupil plane)에서의 세기 분포의 적어도 외측 반경 및/또는 내측 반경 범위(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 조명기(IL)는 집속기(IN)(integrator) 및 집광기(CO)(condenser)와 같은 다양한 다른 구성요소들을 포함할 수 있다. 조명기(IL)는 방사선 빔의 단면에서 요구된 균일성 및 세기 분포를 갖도록 방사선 빔을 조절하는데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예컨대, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 장치(예컨대, 마스크)(MA) 상에 입사되며, 패터닝 장치에 의해 패터닝된다. 마스크(MA)를 종단한 후, 방사선 빔(B)은 투영 시스템(PL)을 통과하고, 투영 시스템(PL)은 방사선 빔을 기판(W)의 타겟 영역(C) 상에 집속시킨다. 제2 위치 설정기(PW) 및 위치 센서(IF)(예컨대, 간섭계 디바이스, 선형 인코더, 2-D 인코더, 또는 용량형 센서)를 이용하여, 예컨대 상이한 타겟 영역(C)을 방사선 빔(B)의 경로 내에 위치시키기 위해 기판 테이블(WT)을 정확하게 이동시킬 수 있다. 마찬가지로, 제1 위치 설정기(PM) 및 다른 위치 센서(도 1에 명시되어 도시되어 있지는 않음)를 이용하여, 예컨대 마스크 라이브러리(mask library)로부터의 기계적 인출 후에 또는 스캔하는 동안에, 방사선 빔(B)의 경로에 대하여 마스크(MA)를 정확히 위치시키는 것이 가능하다. 일반적으로, 마스크 테이블(MT)의 이동은, 제1 위치 설정기(PM)의 일부를 형성하는 롱-스트로크 모듈(long-stroke module; 개략적 위치 설정) 및 숏-스트로크 모듈(short-stroke module; 미세 위치 설정)을 이용하여 실현될 것이다. 마찬가지로, 기판 테이블(WT)의 이동은 제2 위치 설정기(PW)의 일부를 형성하는 롱-스트로크 모듈 및 숏-스트로크 모듈을 이용하여 실현될 수 있다. 스테퍼의 경우(스캐너와 반대되는 것으로서의), 마스크 테이블(MT)은 숏-스트로크 액추에이터에만 연결될 수도 있고, 또는 고정될 수도 있다. 마스크(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 도시된 바와 같이 기판 정렬 마크들이 전용의 타겟 영역에 위치하고 있지만, 이들 마크들은 타겟 영역 사이의 공간 내에 위치될 수도 있다(이들은 스크라이브-레인(scribe-lane) 정렬 마크로 알려져 있다). 마찬가지로, 마스크(MA)에 하나보다 많은 다이가 제공되는 상황에서는, 마스크 정렬 마크들은 다이들 사이에 위치될 수 있다.
도시된 장치는 다음 모드들 중 하나 이상의 모드로 사용될 수 있다:
1. 스텝 모드에서는, 마스크 테이블(MT) 및 기판 테이블(WT)을 기본적으로 정지 상태로 유지한 채로, 방사선 빔(B)에 부여한 패턴 전체를 한 번에 타겟 영역(C) 상에 투영한다(즉, 단일 정지 노광). 그리고나서, 상이한 타겟 영역(C)이 노광될 수 있도록 기판 테이블(WT)을 X 방향 및/또는 Y 방향으로 이동시킨다. 스텝 모드에서는, 노광 필드의 최대 크기에 의해, 단일 정지 노광시에 이미징되는 타겟 영역(C)의 크기가 한정된다.
2. 스캔 모드에서는, 마스크 테이블(MT) 및 기판 테이블(WT)을 동기적으로 스캐닝하면서, 방사선 빔에 부여한 패턴을 타겟 영역(C) 상에 투영한다(즉, 단일 동적 노광). 마스크 테이블(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PL)의 확대율(축소율) 및 상 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서는, 노광 필드의 최대 크기에 의해, 단일 동적 노광시의 타겟 영역의 폭(스캐닝되지 않는 방향에서의 폭)이 한정되는 한편, 스캐닝 동작의 길이에 의해 타겟 영역(C)의 높이(스캐닝 방향에서의 높이)가 결정된다.
3. 또 다른 모드에서는, 프로그래머블 패터닝 장치를 유지한 채로 마스크 테이블(MT)을 기본적으로 정지 상태로 하고, 또한 기판 테이블(WT)을 이동시키거나 스캐닝하면서, 방사선 빔에 부여한 패턴을 타겟 영역(C) 상에 투영한다. 이 모드에서는, 일반적으로 펄스 방사선 소스가 채용되며, 프로그래머블 패터닝 장치는 기판 테이블(WT)의 각각의 이동 후에 또는 스캔 동안의 연속적인 방사 펄스의 사이에서 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급한 바와 같은 타입의 프로그래머블 미러 어레이와 같은 프로그래머블 패터닝 장치를 이용하는 마스크 없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 전술한 사용 모드들의 조합 및/또는 변형, 또는 전혀 다른 사용 모드들이 채용될 수도 있다.
도 2에 도시된 바와 같이, 리소그래피 장치(LA)는 리소셀(lithocell) 또는 클러스터로도 지칭되는 리소그래픽 셀(LC)의 일부를 형성하며, 리소셀은 또한 기판에 대해 노광 전 공정(pre-exposure process) 및 노광 후 공정(post-exposure process)을 수행하기 위한 장치를 포함한다. 통상적으로, 이러한 장치는 레지스트층을 증착하는 스핀 코터(spin coater)(SC), 노광된 레지스트를 현상하는 현상기(DE), 칠 플레이트(chill plate, CH), 및 베이크 플레이트(bake plate, BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판을 픽업하여, 이들을 상이한 공정 장치 간에 이동시키며, 그 후 리소그래피 장치의 로딩 베이(loading bay, LB)에 전달한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system, SCS)에 의해 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 노광된 기판을 검사하여 후속 층들 간의 오버레이 오차, 라인 두께, 크리티컬 디멘전(CD) 등과 같은 특성을 측정하는 것이 바람직할 수 있다. 오차가 검출되는 경우, 특히 동일 배치(batch)의 다른 기판이 여전히 노광되기에 충분한 정도로 검사가 곧바로 신속하게 행해질 수 있으면, 후속 기판의 노광에 대한 조정이 이루어질 수 있다. 또한, 이미 노광된 기판은 수율을 향상시키기 위해 벗겨지거나 재작업될 수도 있고, 또는 폐기될 수도 있으며, 이러한 폐기에 의해 오류가 있는 것으로 알려진 기판에 대한 노광을 수행하는 것을 방지한다. 기판의 일부 타겟 영역에만 오류가 있는 경우, 양호한 것으로 간주되는 타겟 영역에만 추가의 노광이 수행될 수 있다.
기판의 특성, 및 구체적으로 상이한 기판의 특성 또는 동일 기판의 상이한 층의 특성이 층에 따라 어떻게 변화하는지를 결정하기 위해 검사 장치가 사용된다. 검사 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수도 있고, 또는 독립형 장치일 수도 있다. 가장 신속한 측정을 가능하게 하기 위해, 검사 장치는 노광 직후에 노광된 레지스트층에서의 특성을 측정하는 것이 바람직하다. 그러나, 레지스트 내의 잠상(latent image)이 매우 낮은 컨트래스트를 가지며, 이 경우 방사선에 노광된 레지스트의 부분과 방사선에 노광되지 않은 부분 간에 단지 매우 작은 굴절률차가 있음, 모든 검사 장치가 잠상의 유용한 측정을 행하기에 충분한 감도를 갖지는 않는다. 따라서, 통상적으로 노광된 기판에 대해 수행되는 첫 번째 단계이고, 레지스트의 노광된 부분과 노광되지 않은 부분 간의 컨트래스트를 증가시키는 단계인, 노광 후 베이크 단계(post-exposure bake step, PEB) 후에 측정이 이루어질 수 있다. 이 스테이지에서, 레지스트 내의 이미지는 반잠상(semi-latent)으로 지칭될 수 있다. 또한, 에칭과 같은 패턴 전사 단계 후에, 현상된 레지스트 이미지의 측정을 행하는 것도 가능하며, 그 시점에서 레지스트의 노광된 부분 또는 노광되지 않은 부분 중의 하나가 제거된다. 후자의 가능성은 오류가 있는 기판의 재작업에 대한 가능성은 제한하지만, 여전히 유용한 정보를 제공할 수 있다.
도 3은 본 발명의 실시예에 사용될 수 있는 스캐터로미터를 도시한다. 스캐터로미터는 방사선을 기판(W) 상에 투영하는 광대역(백색광) 방사선 투영기(2)를 포함한다. 반사된 방사선은 분광계 검출기(4)에 통과되며, 분광계 검출기(4)는 거울 반사(specular reflected) 방사선의 스펙트럼(10)(파장을 함수로 하는 세기)을 측정한다. 이 데이터로부터, 도 3의 하단에 도시된 바와 같이, 검출된 스펙트럼 I(λ)을 발생하는 구조 또는 프로파일 z(x)이 처리 유닛(PU)에 의해 재구성될 수 있다. 이것은 통상적으로 RCWA(Rigorous Coupled Wave Analysis) 및 비선형 회귀(non-linear regression)에 의해 행해질 수 있다. 이것은 또한 시뮬레이션된 스펙트럼의 라이브러리와의 비교에 의해 행해질 수도 있다. 일반적으로, 재구성을 위해서는, 구조의 전반적인 형태가 알려져 있으며, 일부 파라미터는 이 구조를 구성하는 공정에 대한 정보로부터 가정되어, 이 구조의 소수의 파라미터만이 스캐터로메트리 데이터(scatterometry data)로부터 결정되게 된다. 이러한 스캐터로미터는 수직 입사 스캐터로미터 또는 경사 입사 스캐터로미터로서 구성될 수 있다.
본 발명의 실시예에서 사용될 수 있는 또 다른 스캐터로미터가 도 4에 도시되어 있다. 이 장치에서, 방사선 소스(2)에 의해 방출된 방사선은 렌즈 시스템(12)을 이용하여 시준되고, 간섭 필터(13) 및 편광기(polarizer)(17)를 투과하게 되며, 부분 반사 표면(16)에 의해 반사되고, 예컨대 바람직하게는 적어도 약 0.9, 더욱 바람직하게는 적어도 약 0.95의 높은 개구도(NA)를 갖는 마이크로스코프 대물 렌즈(15)를 통해 기판(W) 상에 포커스된다. 액침 스캐터로미터는 심지어는 1이 넘는 개구도를 갖는 렌즈를 포함할 수도 있다. 반사된 방사선은 그 후 부분 반사 표면(16)에서 검출기(18)로 투과되어 산란 스펙트럼(scatter spectrum)이 검출된다. 검출기는 렌즈 시스템(15)의 초점 거리(focal length)에 있는 배면 투영 퓨필 평면(back-projected pupil plane)(11)에 위치될 수 있지만, 퓨필 평면은 보조 광학장치(도시하지 않음)를 이용하여 검출기 상에 재이미징(re-image)될 수 있다. 퓨필 평면은, 방사선의 방사 위치(radial position)가 입사각을 정하고, 각도 위치가 방사선의 방위각을 정하는 평면이다. 검출기는 기판 타겟(30)의 2차원 각도 산란 스펙트럼이 측정될 수 있도록 2차원 검출기인 것이 바람직하다. 검출기(18)는 예컨대 CCD 또는 CMOS 센서의 어레이일 수 있으며, 예컨대 프레임당 40 ms의 노출 시간(integration time)을 이용할 수 있다.
예컨대 입사 방사선의 세기를 측정하기 위해 기준 빔이 이용되는 경우가 있다. 이를 위해, 방사선 빔이 빔 스플리터(16)에 입사될 때, 방사선 빔의 일부가 빔 스플리터를 투과하여 기준 빔으로서 기준 미러(14)를 향하게 된다. 기준 빔은 그 후 동일한 검출기(18)의 상이한 부분 상에 투영되거나 또는 이와 달리 상이한 검출기(도시하지 않음) 상으로 투영된다.
일례를 들면 405∼790 nm 또는 그보다 낮은 200∼300 nm와 같은 범위의 대상 파장을 선택하기 위해 간섭 필터(13)의 세트가 이용될 수 있다. 간섭 필터는 상이한 필터의 세트를 포함하기보다는 조정될 수 있다. 간섭 필터 대신 격자(grating)가 이용될 수 있다. 이하의 설명에서, "광"이라는 표현은 스캐터로메트리 기술에서 이용된 방사선을 지칭하기 위해 이용될 것이다. 스캐터로메트리 또는 임의의 기타 계측 기술에서 이용된 방사선에 관련하여 "광"이라는 표현을 사용하는 것은 방사선을 스펙트럼의 가시부(visible part)에 있는 것으로의 어떠한 한정을 암시하려는 것은 아니다.
검출기(18)는 단일 파장(또는 좁은 파장 범위)에서의 산란 광의 세기를 측정할 수도 있고, 여러 파장에서의 세기를 별도로 측정할 수도 있으며, 또는 일정 파장 범위에 걸쳐 통합된 세기를 측정할 수도 있다. 또한, 검출기는 횡자기 편광광(transverse magnetic-polarized light) 및 횡전기 편광광(transverse electric-polarized light)의 세기, 및/또는 횡자기 편광광과 횡전기 편광광 간의 위상차를 별도로 측정할 수 있다.
광대역 광원(즉, 넓은 범위의 광 주파수 또는 파장을 갖고 또한 그에 따라 넓은 범위의 컬러를 갖는 광원)을 이용하는 것이 가능하며, 이것은 커다란 에텐듀(large etendue)를 제공함으로써 복수의 파장들의 혼합(mixing)을 가능하게 한다. 광대역 혼합물에서의 성분이 예컨대 Δλ의 대역폭을 갖는 경우에는, 이러한 성분들 간에 적어도 2ㆍΔλ(즉, 대역폭의 2배)의 간격을 제공하는 것이 이로울 수 있다. 복수의 방사선의 "소스"는 섬유 다발(fiber bundle)을 이용하여 분할된 연장된 방사선 소스의 상이한 부분들일 수 있다. 이러한 방식으로, 각도 분해 산란 스펙트럼이 복수의 파장에서 병렬로 측정될 수 있다. 3-D 스펙트럼(파장과 2개의 상이한 각도)이 측정될 수 있으며, 이 3-D 스펙트럼은 2-D 스펙트럼보다 더 많은 정보를 담고 있다. 이것은 더 많은 정보가 측정될 수 있도록 하여, 계측 공정 정확성(metrology process robustness)을 증가시킨다. 이것은 유럽 특허 번호 1,628,164A에 더욱 상세하게 설명되어 있다.
기판(W) 상의 타겟(30)은 1-D 격자일 수도 있으며, 이 1-D 격자는, 현상 후에 바(bar)가 고상의 레지스트 라인(solid resist line)으로 형성되도록 프린트된다. 타겟(30)은 2-D 격자일 수도 있으며, 이 2-D 격자는, 현상 후에 격자가 고상의 레지스트 필러(solid resist pillar) 또는 레지스트 내의 비아(via)로 형성되도록 프린트된다. 바, 필러 또는 비아는 이와 달리 기판 내로 에칭될 수도 있다. 이 패턴은 리소그래피 투영 장치, 구체적으로 투영 시스템(PL)에서의 색수차(chromatic aberration)에 민감하며, 이러한 수차의 존재 및 조명 대칭성은 프린트된 격자에서의 불균일(variation)을 실제로 나타날 것이다. 이에 따라, 프린트된 격자의 스캐터로메트리 데이터가 격자를 재구성하는데 이용된다. 인쇄 단계 및/또는 다른 스캐터로메트리 공정에 대한 정보로부터, 라인 폭 및 라인 형상과 같은 1-D 격자의 파라미터 또는 필러 또는 비아의 폭, 길이 또는 형상과 같은 2-D 격자의 파라미터가 처리 유닛(PU)에 의해 수행되는 재구성 공정에 입력될 수 있다.
타겟(30)과 같은 타겟 구조물 및 그 회절 특성의 모델링과 조합하여 전술한 스캐터로미터 중의 하나를 이용하여, 구조물의 형상 및 기타 파라미터의 측정이 다수의 방식으로 수행될 수 있다. 도 5에 나타낸 제1 타입의 프로세스에서, 타겟 형상(제1 후보 구조물)의 제1 추정치에 기초한 회절 패턴이 계산되고, 관측된 회절 패턴과 비교된다. 모델의 파라미터는 그 후 체계적으로(systematically) 변경되고, 새로운 후보 구조를 발생하고 최상의 피트(best fit)에 도달하기 위해 회절이 일련의 반복으로 재계산된다. 도 6에 나타낸 제2 타입의 프로세스에서, 다수의 상이한 후보 구조에 대한 회절 스펙트럼은 회절 스펙트럼의 "라이브러리"를 생성하기 위해 미리 계산된다. 그 후, 측정 타겟으로부터 관측된 회절 패턴이 최상의 피트를 찾기 위해 계산된 스펙트럼의 라이브러리와 비교된다. 이 양자의 방법이 함께 이용될 수 있으며, 먼저 라이브러리로부터 개략적 피트(coarse fit)가 획득되고, 그 뒤에 최상의 피트를 찾기 위해 반복 프로세스가 뒤따른다.
도 5를 보다 구체적으로 참조하여, 타겟 형상 및/또는 재료 특성에 대한 측정을 수행하는 방식의 개요를 설명할 것이다. 타겟은 이 설명에서는 1차원(1-D) 구조물인 것으로 가정될 것이다. 타겟은 실제로는 2차원일 수도 있으며, 그 처리가 그에 따라 적합하게 될 것이다.
502 : 전술한 바와 같은 스캐터로미터를 이용하여 기판 상의 실제 타겟의 회절 패턴을 측정한다. 이 측정된 회절 패턴을 컴퓨터와 같은 계산 시스템에 포워딩한다. 계산 시스템은 위에서 언급한 처리 유닛(PU)일 수도 있고, 또는 별도의 장치일 수도 있다.
503 : 다수의 파라미터 pi(p1, p2, p3 등)의 면에서 타겟 구조물의 파라미터화된 모델을 정의하는 "모델 레시피"를 구축한다. 이들 파라미터는 예컨대 1D 주기적 구조물, 측벽의 각도, 특징부의 높이 또는 깊이, 특징부의 폭을 나타낼 수 있다. 타겟 재료 및 하부의 층의 특성 또한 굴절률(스캐터로메트리 방사선 빔에서 제공된 특정 파장에서)과 같은 파라미터에 의해 표현된다. 구체적인 예가 아래에 제공될 것이다. 중요하게는, 타겟 구조물이 그 형상 및 재료 특성을 묘사하는 수십 개의 파라미터에 의해 정의될 수 있지만, 모델 레시피는 이들 중의 다수를 고정된 값을 갖도록 정의하는 한편, 다른 것들은 이하의 공정 단계의 목적을 위해 변화 가능한 또는 "유동" 파라미터가 될 것이다. 또한, 이하에서는, 고정된 파라미터와 유동 파라미터 간의 선택이 이루어지는 프로세스를 설명한다. 더욱이, 파라미터가 완전히 독립적인 유동 파라미터가 되지 않고서도 변화하도록 허용될 수 있는 방식을 소개할 것이다. 도 5를 설명하기 위해, 변화 가능한 파라미터만이 파라미터 pi로서 고려된다.
504 : 유동 파라미터에 대한 초기값 pi (0)(즉, p1 (0), p2 (0), p3 (0) 등)을 설정함으로써 모델 타겟 형상을 구축한다. 각각의 유동 파라미터는 레시피에서 정의된 바와 같이 어떠한 소정의 범위 내에서 발생될 것이다.
506 : 예컨대 RCWA 또는 맥스웰 방정식의 임의의 기타 해법(any other solver of Maxwell equation)과 같은 엄격한 광학 회절 방법을 이용하여 산란 특성을 계산하기 위해, 모델의 상이한 요소의 광학 특성과 함께 추정된 형상을 표현하는 파라미터를 이용한다. 이것은 추정된 타겟 형상의 추정된 또는 모델 회절 패턴을 제공한다.
508, 510 : 그리고나서, 측정된 회절 패턴과 모델 회절 패턴을 비교하며, 이들의 유사성과 차이를 이용하여 모델 타겟 형상에 대한 "메리트 함수(merit function)"를 계산한다.
512 : 모델이 실제 타겟 형상을 정확하게 표현하기 전에 이 모델이 개선될 필요가 있는 것으로 메리트 함수가 나타내는 것으로 가정하여, 새로운 파라미터 p1 (1), p2 (1), p3 (1) 등이 추정되고, 단계 506에 반복적으로 피드백된다. 단계 506 내지 512가 반복된다.
탐색을 보조하기 위해, 단계 506에서의 계산은 파라미터 공간의 특정 영역에서의 메리트 함수의 편도함수(partial derivative)를 추가로 발생할 수 있으며, 이 편도함수는 파라미터를 증가시키거나 감소시키는 것이 메리트 함수를 증가시키거나 감소시키는 민감도(sensitivity)를 나타낸다. 메리트 함수의 계산 및 편도함수의 이용은 당해 기술 분야에 전반적으로 공지되어 있으므로 여기에서는 상세하게 설명하지 않을 것이다.
514 : 이 반복 프로세스가 요구된 정확도를 갖는 솔루션으로 집중되는 것으로 메리트 함수가 나타내는 때에, 현재 추정된 파라미터는 실제 타겟 구조물의 측정치로서 보고된다.
이 반복 프로세스의 연산 시간(computation time)은 대체로 사용된 포워드 회절 모델, 즉 추정된 타겟 구조로부터의 엄격한 광학 회절 이론을 이용한 추정된 모델 회절 패턴의 계산에 의해 결정된다. 더 많은 파라미터가 요구되면, 더 많은 자유도가 존재하게 된다. 연산 시간은 원칙적으로 자유도의 개수의 파워와 함께 증가한다. 단계 506에서 계산된 추정된 또는 모델 회절 패턴은 다양한 형태로 표현될 수 있다. 계산된 패턴이 단계 510에서 발생된 측정된 패턴과 동일한 형태로 표현되면, 비교가 간략화된다. 예컨대, 모델링된 스펙트럼은 도 3의 장치에 의해 측정된 스펙트럼과 용이하게 비교될 수 있으며, 모델링된 퓨필 패턴은 도 4의 장치에 의해 측정된 퓨필 패턴과 용이하게 비교될 수 있다.
도 5로부터의 이러한 설명에 걸쳐, "회절 패턴"이라는 표현은 도 4의 스캐터로미터가 이용된다는 가정 하에 사용될 것이다. 당업자는 이러한 교시를 상이한 타입의 스캐터로미터 또는 다른 타입의 측정 장치에 용이하게 적합화할 수 있다.
도 6은 상이한 추정된 타겟 형상(후보 구조물)을 위한 복수의 모델 회절 패턴이 미리 계산되어 실제 측정치와의 비교를 위해 라이브러리에 저장되는 다른 예의 프로세스를 도시하고 있다. 기본적 원칙 및 용어는 도 5의 프로세스에 대한 것과 동일하다. 도 6의 프로세스의 단계는 다음과 같다:
602 : 라이브러리를 생성하는 프로세스를 개시한다. 각각의 타입의 타겟 구조물에 대해 별도의 라이브러리가 생성될 수 있다. 라이브러리는 필요에 따라 측정 장치의 사용자에 의해 생성될 수도 있고, 또는 장치의 공급자에 의해 사전에 생성될 수도 있다.
603 : 다수의 파라미터 pi(p1, p2, p3 등)의 면에서 타겟 구조물의 파라미터화된 모델을 정의하는 "모델 레시피"를 구축한다. 이에 대한 고려사항은 반복 프로세스의 단계 503에서의 것과 유사하다.
604 : 예컨대 예상된 범위의 값 내에 있는 모든 파라미터의 랜덤한 값을 발생함으로써 제1 세트의 파라미터 p1 (0), p2 (0), p3 (0) 등을 생성한다.
606 :파라미터에 의해 표현된 타겟 형상으로부터 예상된 회절 패턴을 표현하는 모델 회절 패턴을 계산하고, 라이브러리에 저장한다.
608 : 새로운 세트의 형상 파라미터 p1 (1), p2 (1), p3 (1) 등을 생성한다. 저장된 모델링된 회절 패턴 모두를 포함하는 라이브러리가 충분히 완성되었다고 판단될 때까지 단계 606 내지 608을 수 십회, 수 백회, 또는 수 천회 반복한다. 각각의 저장된 패턴은 다차원 파라미터 공간에서의 샘플 포인트를 표현한다. 라이브러리 내의 샘플은 임의의 실제 회절 패턴이 충분히 근접하게 표현될 충분한 밀도를 갖는 샘플 공간으로 되어야 한다.
610 : 라이브러리가 생성된 후(라이브러리가 생성되기 전에도 가능하지만), 실제 타겟(30)이 스캐터로미터에 위치되고, 그 회절 패턴이 측정된다.
612 : 측정된 패턴을 라이브러리에 저장된 모델링된 패턴과 비교하여 최상의 매칭 패턴을 찾아낸다. 이 비교는 라이브러리 내의 모든 샘플과 이루어질 수도 있거나, 또는 연산 부하(computational burden)를 감소시키기 위해 더욱 체계적인 탐색 방안이 채용될 수도 있다.
614 : 매치가 발견되면, 매칭 라이브러리 패턴을 생성하기 위해 이용된 추정된 타겟 형상이 적합한 대상 구조인 것으로 결정될 수 있다. 매칭 샘플에 대응하는 형상 파라미터가 측정된 형상 파라미터로서 출력된다. 매칭 프로세스는 모델 회절 신호에 대해 직접 수행될 수도 있고, 또는 고속 평가를 위해 최적화된 대체 모델(substitute model)에 대해 수행될 수도 있다.
616 : 필요한 경우, 가장 가까운 매칭 샘플이 스타팅 포인트로서 이용되며, 보고를 위한 최종 파라미터를 획득하기 위해 세분 프로세스(refinement process)가 이용된다. 이 세분 프로세스는 예컨대 도 5에 도시된 것과 매우 유사한 반복 프로세스를 포함할 수도 있다.
세분 단계 616이 요구되는지의 여부는 실시자의 선택의 문제이다. 라이브러리가 매우 조밀하게 샘플링되면, 우수한 매치가 항상 발견될 것이기 때문에, 반복 세분은 요구되지 않을 수도 있다. 한편, 이러한 라이브러리는 실질적인 사용에는 너무 클 수도 있다. 그러므로, 실질적인 솔루션은 요구된 정확도로 타겟 기판의 파라미터를 보고하기 위해 더욱 정확한 세트의 파라미터를 결정하기 위해 메리트 함수를 이용하는 하나 이상의 반복이 후속되는 조밀하지 않은 세트(coarse set)의 파라미터에 대한 라이브러리 탐색을 이용하는 것이다. 추가의 반복이 수행되는 곳에서, 계산된 회절 패턴 및 관련된 세분된 파라미터 세트를 라이브러리에 새로운 엔트리로서 추가하기 위한 옵션이 있을 것이다. 이러한 방식으로, 라이브러리는 초기에는 비교적 작은 양의 연산량(computational effort)을 기반으로 하여 이용될 수 있지만, 세분 단계 616의 연산량을 이용하는 더 큰 라이브러리로 커지게 된다. 어느 쪽의 방식이 이용되든, 하나 이상의 보고된 변화 가능한 파라미터의 값의 추가의 세분은 또한 복수의 후보 구조의 매치의 우수함(goodness)에 기초하여 획득될 수 있다. 예컨대, 최종적으로 보고된 파라미터 값은 2개 이상의 후보 구조의 전부가 높은 매칭 점수를 갖는다는 가정 하에서 이들 후보 구조의 파라미터 값들 사이의 보간에 의해 발생될 수 있다.
도 7은 매우 단순한 형태의 타겟(30)과 이 타겟의 형상을 정의하는 파라미터 중의 몇몇을 도시하고 있다. 예컨대 실리콘 웨이퍼와 같은 기판(700)은 레지스트 재료의 층을 노광하고 현상함으로써 형성된 다수의 병렬 바(bar)에 의해 형성된 회절 격자를 갖고 있다. 타겟 격자는 돌출된 바를 포함할 필요는 없으며, 이 바는 단지 예로서 도시되고 언급된 것이다. 적합한 특징부는 리소그래피에 의해 형성되거나 또는 에칭, 침적 및 기타 프로세스 단계가 후속된 리소그래피에 의해 형성된 돌출된 바, 컨택 홀 등을 포함한다. 여기에서는 간략성을 위해 바가 선택된다.
특징부(702)는 격자를 구성하는 구조물 중의 하나의 구조물의 횡단면을 나타낸다. 특징부 아래에서, 레지스트는 층(704)으로 되어 있으며, 일반적인 예에서 단순히 예컨대 1 내지 3 ㎚의 두께를 갖는 실리콘 웨이퍼 상의 "네이티브" 산화물층일 것이다. 실제 제품에서는, 타겟(30) 아래에 상이한 특성의 다수의 층이 있을 것이다. 기판을 레지스트로 코팅하고 노광하기 전에, 인쇄된 패턴의 품질을 향상시키기 위해 반사 방지 BARC 층(706)이 공지의 방식으로 기판 위에 코팅된다.
도 5 또는 도 6에 도시된 바와 같은 프로세스에 의해 측정될 특징부(702)의 파라미터는 특징부 높이(H1), 중간 높이 크리티컬 디멘전(중간-CD 또는 MCD), 및 측벽 각도(SWA)를 포함한다. 필요한 경우 기타 파라미터가 정의될 수 있다. SWA는 비대칭성이 측정되는 경우에는 좌측벽과 우측벽에 대해 별도로 정의될 수 있다. 모델에 라인 에지 거칠기(LER : Line Edge Roughness)를 설명하는 코팅 부등변 사각형(coating trapezoid), 푸팅(footing) 또는 탑 라운딩(top rounding)과 같은 임의의 기타 특징부가 정확도를 증가시키기 위해 추가될 수 있다.
이들 파라미터 H1, MCD, SWA는 이 타겟(30)이 스캐터로메트리에 의해 측정될 때에 관측될 회절 패턴에 상이한 방식으로 기여할 것이다. 회절 패턴에 영향을 줄 기타 형상 파라미터는 각각 H2와 H3로 표시된 하부층(706, 704)의 회절 패턴 및 높이(두께)에 영향을 줄 것이다. 기하학적 파라미터에 추가하여, 광학 파라미터 또한 모델에 포함될 수 있다. 타겟을 모델링하고, 그러므로 모델링된 회절 패턴의 계산을 허용하기 위해, 이들 파라미터에 대한 추정된 값이 단계 506 및/또는 606의 계산에서 이용된다. 층의 개수, 특징부(702)의 형상 파라미터 및 가능하게는 또한 하부 특징부와 층을 고려할 때, 최상의 피팅 파라미터에 대한 탐색이 수행될 파라미터 공간은 높은 다차원인 것이 명백하게 된다. 타겟 격자 패턴 자체는 2차원일 수 있다. 모델링을 위해 요구된 추가의 파라미터는 상이한 재료의 굴절률 및 흡광 계수(extinction coefficient)와 같은 상이한 재료 전부의 특성이다. 이들은 매우 잘 정의되어서, 고정된 파라미터로서 간주될 수 있거나 또는 이들 자체가 불확실성(uncertainty)에 놓이게 될 수 있다. 이들은 인입 방사선의 파장 및 편광에 따라 추가로 세부 분할될 필요가 있을 수도 있다.
도 5 및 도 6의 프로세스는 지금까지 설명한 바와 같이 당업자에 의해 알려져 있고 이용되고 있다. 라이브러리의 생성 및 반복 모델링을 위한 공지의 프로세스에서, 그러나 파라미터들 간의 관계가 고려되지 않는다. 샘플 파라미터 세트의 생성에서, 각각의 유동 파라미터는 허용된 최소-최대 범위 내에서 랜덤하게 변화하도록 허용된다. 마찬가지로, 도 5의 반복 프로세스에서, 파라미터는 각각의 파라미터가 사전에 정해진 최소-최대 범위에 있는 한 모든 종류의 조합으로 변화하도록 허용된다. 실제 리소그래피 공정에 의해 발생된 제품에서는, 그러나 상이한 파라미터들 간에 다수의 관계가 존재하며, 이로써 2개의 파라미터가 실제로는 서로 완전히 독립적으로 변화되지 않는다. 예컨대, 크리티컬 디멘전 및 측벽(MCD 대 SWA)은 함께 변화되는 경향이 있다.
본 출원에서, 도 5 및 도 6의 측정 프로세스는 샘플 파라미터 세트를 발생하기 위해 수정된 처리를 이용한다. 한 가지 수정은 고려되는 파라미터 세트를 "현실적" 대 "비현실적" 후보 구조의 정의에 따라 제한하는 것이다. 하나의 파라미터의 허용된 범위는 파라미터들 중의 또 다른 파라미터의 값에 따라 제한되는 것이 효과적이다. 공동 계류 중인 본 출원인의 특허 출원(대리인 관리 번호 P-3663.000-US)에서 발명의 요지로 개시되어 있는 이 수정은 "현실적인 샘플링"이라는 머리말로 도 14 내지 도 16을 참조하여 추후에 설명될 것이다. "레시피 최적화"로서 지칭될 또 다른 수정은 도 8 내지 도 13을 참조하여 설명될 것이다. 이들 수정은 독립적으로 적용되거나 또는 함께 적용될 수 있다. 이들 머리말은 설명의 편의를 위해 이용되며, 교시의 범위를 한정하려는 것은 아니다.
모델 레시피 최적화 - 배경
광학 프로파일 계측은 스캐터로메트리 측정 신호로부터 타겟 구조물의 파라미터를 추출하기 위해 모델 피트 접근(model fit approach)을 이용한다. 전형적인 피팅 기술은 직접 회귀(direct regression)(도 5) 또는 라이브러리 기반 합성 신호-모델(library based synthetic signal-model)(도 6)을 이용한다. 전술한 바와 같이 여러 개의 유동 파라미터가 있다. 이들 유동 파라미터 중의 몇몇은 모델에 대해 내부적으로만 관련되고, 다른 몇몇은 시스템 사용자가 측정 프로세스를 통해 결정하고자 하는 대상으로 하는 진짜 파라미터이다. 모델 레시피는 2가지 측면에 대해 최적화되어야 한다:
1. 정확도: 평균 제곱근 오차 또는 RMSE에 의해 측정됨. 정확도는 잡음 민감도와 바이어스(체계적 오차)로 분할될 수 있다.
2. 전형적으로 3개의 목표를 갖는 모델 최적화:
1. 대상으로 하는 파라미터의 실제 변동에 대한 최적의 측정 응답을 획득
2. 기타 파라미터의 잡음, 교정 오차, 모델 근사, 및 변동에 대한 대상으로 하는 파라미터의 측정의 민감도를 획득
3. 피팅 프로세스의 준비 또는 실행의 속도를 달성.
최근 기술의 접근 방법은 측정될 실제 구조물의 모든 가능한 변동을 묘사하기에 충분한 유동 파라미터로 일반적인 모델 레시피를 구축하는 것이다. 조작자는 전술한 목표를 획득하기 위해 이들 파라미터의 서브세트를 각각의 공칭값으로 고정할 것이다.
어플리케이션에서 발생하는 특정 파라미터의 전형적인 변동이 측정 신호에 대해 거의 또는 전혀 충격을 갖지 않는다면, 그 파라미터를 구체적으로 2번째 및 3번째 목표를 위해 고정하는 것이 실제로 이롭다. 두 번째 목표를 위해, 매칭 프로세스에서의 자유도는 파라미터가 고정될 때에 감소되며, 이것은 통상적으로 피팅 프로세스의 안정성(조건수(condition number)) 및 잡음 견고성을 향상시킨다. 3번째 목표에 대해, 피팅 프로세스의 속도는 파라미터가 고정되는 때에는 증가하여야 한다. 직접 회귀에서, 예컨대 가우스-뉴튼(GN) 반복 방법이 종종 이용된다. GN 스텝을 위해 사용된 시간은 계산되어야 하는 도함수의 개수와 함께 선형적으로 증가하며, 그러므로 자유도에 비례한다. 라이브러리 기반 매칭에서, 라이브러리의 엔트리의 개수 및 그에 따라 준비 시간이 자유도의 파워로 스케일(scale)된다.
수학적으로, 최근 기술은 측정된 신호와 모델링된 신호 간의 최상의 피트를 결정할 것이며, 여기서 모델링된 신호는 파라미터 pi에 좌우된다. 이 최상의 피트는 수식 (1)의 형태로 표현될 수 있다:
Figure 112013001061781-pct00001
(1)
여기서 Pfit는 전술한 유동 파라미터 pi의 최상의 피팅 세트이며, Smeans는 측정된 회절 신호를 나타내고, Smod(Pcand)는 후보 파라미터 세트 pcand에 대한 모델링된 회절 신호를 나타낸다. 이들 신호는 벡터의 성분이 예컨대 회절 퓨필 패턴의 개별 픽셀 값인 벡터로서 간주될 수 있다. 수식 (1)에서의 놈(norm) ∥...∥은 측정된 신호와 각각의 모델링된 신호 간의 "간격" 또는 오차를 나타낸다. 피팅 프로세스는 이 오차가 최소화되는 파라미터 세트 P를 찾기 위해 시행된다.
최근 기술에 따라, 모델 레시피는 파라미터 세트의 하나 이상의 파라미터 pi를 전술한 3개의 목표에 더 근접하게 되도록 고정함으로써 최적화된다. 파라미터가 고정되는 곳에서, 고정되는 값은 그 공칭값으로서 지칭된다. 이 공칭값은 리소그래피 공정이 목표로 하는 것일 수도 있고, 또는 이 모델 레시피의 목적을 위해 단순히 고정될 수도 있다. 수학적으로, 최적화된 레시피는 다음과 같이 나타내진다:
Figure 112013001061781-pct00002
(2)
여기서, pi , nom은 파라미터 pi의 공칭값을 나타낸다.
레시피 최적화는 경험이 있는 과학자 또는 기술자가 고정된/유동의 파라미터의 우수한 세트를 찾기 위해 시도하는 시행착오(trial-and-error)의 문제인 경우가 있다. "기술"은 위에서 언급한 역효과와 긍적적인 효과 간의 최적의 균형을 갖는 파라미터의 고정/유동 세트를 결정하는 것이다. 다양한 경우에, 그 최적의 균형은 여전히 타협이며, 다소 만족스럽지 못하다. 예컨대 파라미터 중의 하나가 측정된 신호에 대해 작지만 측정할 수 있는 충격을 갖고, 그 충격의 시그니처(signature)가 대상으로 하는 파라미터의 충격과 크게 상관되면, 특정한 문제가 발생한다. 이러한 경우, 제1 파라미터를 고정하는 것은 두 번째 목표에 대해 역효과를 가지며, 그 이유는 그 제1 파라미터의 실제 변동이 대상으로 하는 파라미터에서의 뚜렷한 변동으로서 잘못 해석되기 때문이다. 최근 기술에서 정확도를 향상시키기 위한 유일한 방법은 실제로 대상으로 하는 것보다 더 많은 파라미터를 유동 상태의 것으로 하는 것을 허용하는 것이다.
본 발명의 발명자는 최근 기술의 레시피 최적화의 성공을 제한하는 이하의 요인을 인식하였다:
- 조작자는 각각의 파라미터가 공칭값으로 고정되거나 또는 독립적으로 유동 상태의 것으로 되어야 하기 때문에 레시피를 조정하기 위해 단지 제한된 자유만을 갖는다;
- 민감도가 측정될 수 있는 반면, 오차의 요소가 파라미터들 간의 상관에 의해 야기되는 체계적 바이어스(systematic bias)라는 것을 알지 못하기 때문에, RMSE가 용이하게 결정되지 않는다. 따라서, 파라미터를 고정하는 충격은 알려져 있지 않다;
- 이전의 아이템과 관련되어, 체계적인 방식으로 또한 과도한 작동 시간(machine time)을 소비하지 않고서도, 고정된 파라미터 및 유동 파라미터의 최적의 선택을 어떻게 결정할지가 명백하지 않다.
자유도를 감소시키기 위한 공지의 방법은 파라미터의 일부를 별도로 측정하고, 이들을 레시피에 피드 포워딩하는 것이다. 이것은 효과적인 방안이지만, 추가의 측정을 요구하므로, 처리량을 저하시킨다. 공지의 방안은 대상으로 하는 파라미터에 대한 측정 성능을 추가로 향상시키기 위해 본 명세서에서 설명된 신규의 방안과 조합될 수 있다.
감소된 자유도를 갖는 모델 레시피 최적화
각각 도 5와 도 6의 프로세스에서의 단계 503 및/또는 603에서 적용된 모델 레시피 최적화는 더 많은 모델 파라미터를 단순히 고정하는 단점 없이 피팅 프로세스에서의 자유도를 감소시키기 위해 수행된다. 더욱이, 모델의 파라미터 및 대상으로 하는 파라미터에 따라 모델 레시피를 설정하거나 적어도 제시할 자동화된 툴이 제공된다.
특정 파라미터를 소정의 값으로 고정하고, 다른 파라미터를 서로에 대해 독립적으로 유동하도록 허용하는 대신, 신규의 장치는 제약(constraints)을 포함한 더욱 포괄적인 세트의 조건 하에서 최적화를 수행한다. 본 실시예는 파라미터의 선형 조합을 고정하는 형태의 제약을 이용하지만, 이것은 단지 일례에 불과하다. 수학적 형태에서, 다음과 같이 된다;
Figure 112013001061781-pct00003
(3)
여기서, A는 더 적은 타협으로 전술한 목표에 도달하도록 선택될 수 있는 제약의 세트의 행렬 표현이다. 구체적으로, 모델 레시피에서의 이들 제약을 허용하는 것은 파라미터를 필수적으로 고정하지 않고서도 모델의 자유도가 감소될 수 있도록 한다.
수식 (3)에서의 제약 (A(Pcand-Pnom)=0의 행렬 표현을 확장하는 것은 다음과 같이 정의된다:
Figure 112013001061781-pct00004
(4)
여기서, 행렬 A의 하나의 행(row)으로부터의 계수 αj의 세트가 파라미터 Pj의 공칭값이다. 다수의 제약은 정의될 수 있으며, 각각이 행렬 A에서의 행에 의해 표현된다. 파라미터의 값이 제약을 표현하기 위해 이용되지 않지만, 공칭의 (p-pnom)로부터의 이들의 편차가 이용된다는 것에 유의하기 바란다. 각각의 제약은 αj가 제로가 아닌 파라미터의 선형 조합을 정의한다. 고정된 파라미터가 수식 (2)에서와 같이 이용될 수 있고, 통상적으로 이용될 것이다. 고정된 파라미터에 대해, p-pnom=0 이다. 파라미터 pj를 고정하는 것은 수식 (3)에서 표현될 수 있는 보다 일반적인 제약의 특별한 경우이며, 여기에서는 관련 계수 αj 만이 제로가 아니다.
고정된 파라미터가 명백히 자유도의 개수를 하나 감소시키지만, 수식 (4)의 더욱 일반적인 제약 또한 자유도를 감소시킬 수 있다. 이것은 제약에 의해 관련된 2개 이상의 파라미터가 더 이상 독립적이지 않고, 함께 변화하여야 하기 때문이다. 그 결과, 피팅 프로세스에서, 이들 파라미터 중의 단지 하나를 공칭 방식으로 유동시키는 것을 허용하고, 종속성 관계(dependency relation)를 통해 그 나머지를 계산하기에 충분하다. 일례로서, 종속성 관계는 하나의 파라미터를 수식 (4)에서의 등호(equal sign)의 다른 쪽으로 이동시킴으로써 제약으로부터 생성될 수 있으며, 그 결과는 다음과 같다:
Figure 112013001061781-pct00005
(5)
따라서, 도 5 및 도 6의 방법에서, 단계 503 또는 603에서 정의된 레시피는 제약의 세트를 포함하며, 이로써 고정되지 않은 하나 이상의 파라미터가 자유도가 아니지만, 다른 파라미터로부터의 종속성 관계를 통해 계산될 수 있다.
또한, 포괄적인 조건의 결과로서 RMSE 오차를 자동으로 결정하기 위해 "파라미터 어드바이저"로서 아래에 지칭되는 툴이 생성된다. 이 툴은 최적의 구성을 결정하는데 도움을 준다. 조작자는 제약을 명시적으로 정의할 필요가 없으며, 이들 제약은 프로세스 시뮬레이션을 기반으로 하여 툴에 의해 발견될 수 있다. 그러나, 이 툴을 설명하기 전에, 제약을 이용하는 원리 및 이점이 어느 정도 설명될 것이다.
본 발명은 소프트웨어가 속도 및 정확도에 대해 최적화되는 것을 더욱 자유롭게 한다. 피트 동안의 조건의 적용은 여전히 잡음 민감도 및 자유도를 감소시킨다. 더욱 포괄적인 조건 쪽으로의 확장은 제1 목표를 향상시킨다. 몇몇의 실질적인 결과가 후속되는 제안된 접근 방법의 이점을 보여주기 위한 2개의 예가 있다.
제1 예의 선형 제약( Linear Constraint )
이들 보다 포괄적인 조건의 원리 및 이점을 예시하기 위한 제1 예는 모델이 2개의 상관 파라미터를 포함하는 상황이다. 도 8은 타겟(30)의 구조가 제1 층(802)과 제2 층(804)이 위에 형성되어 있는 기판(800)을 포함하는 예를 도시하고 있다. 제1 층(802)은 두께 또는 높이 d1와 굴절률 n1을 갖는다. 제2 층(804)은 높이 d2와 굴절률 n2를 갖는다. 구조의 또 다른 특성은 dtot로 표시된 2개의 층의 합계 높이이다. 2개의 파라미터의 합계 dtot는 파라미터가 될 수 있으며, 우수한 확실성(certainty)을 갖는 임의의 합리적으로 정확한 모델에 의해 결정될 수 있다. 그러나, 굴절률은 이 예를 위해 값이 매우 근접해 있다. 따라서, 어떠한 광학적 측정 기술은 파라미터 d1 및 d2를 개별적으로 푸는데 어려움을 가질 것이다.
도 9a를 참조하면, 각각의 파라미터(d1, d2)는 각각 각각의 구간 [d1] 및 [d2]으로 경계가 정해진다. 단지 이들 파라미터를 2차원 파라미터 공간으로서 플로팅하는 것은 그 결과가 측정된 구조의 실제 차원에 직사각형(900)이 놓여져야 하는 결과를 보여준다. 편차의 범위는 이 예에서는 이들의 각각의 파라미터의 공칭값에 대칭적으로 센터링된다. 점으로 표시된 "Act"는 샘플의 파라미터 공간에서의 위치를 나타내며, 정확히 공칭값에 있게 된다. 공칭값은 "Nom"으로 표시된 속이 빈 도트로 표시되며, 도 9a에서는 속이 채워진 도트에 의해 가려져 있다. 이들의 상관에 의해, 측정된 신호에서의 정보는 2개의 파라미터의 각각을 사용자에 의해 공급된 파라미터 범위 아래의 불확실성으로 결정하기에는 충분하지 않다.
이 문맥에서 피팅 프로세스에 의해 발견되는 파라미터가 파라미터 공간의 특정 부분에 놓여 있을 가능성을 나타내는 가설의 확률 밀도 함수(PDF : Probability Density Function)를 고려하는 것이 유용하다. 이 예에서의 타원형(902)은 두 파라미터 d1 및 d2가 유동하는 95% 확률의 윤곽을 나타내기 위한 것이다. 타원형은 직사각형의 하강 대각선과 좁은 폭으로 정렬된다. 이것은 합계 높이 dtot=d1+d2가 다른 계산으로부터 잘 알 수 있다는 사실로부터 이해될 수 있다. 명백히 확률 함수는 알려진 파라미터 범위를 지나 연장하며, 모델은 측정된 샘플이 그 대각선 상에 놓여 있는 곳을 결정하는데 어려움을 갖는다. 실질적인 면에서, 이것은, 이들 2개의 파라미터의 다수의 조합에 대한 모델 회절 패턴이 매우 유사하여, 측정된 퓨필 패턴 내의 잡음이 측정된 패턴을 d1 또는 d2의 특정값에 피팅하려는 어떠한 시도를 무력화시킨다는 것을 나타낸다.
도 9b는 합계 높이 dtot가 공칭값에 있지 않을 때의 최근 기술의 방안(파라미터를 고정)과 본 출원에서 제안된 신규 방법(선형 제약)의 성능을 도시하고 비교한다. 실제 타겟 구조물은 파라미터 공간에서 속이 채워진 도트에 놓여진다. 타원형(902)은 또한 두 파라미터 d1 및 d2가 유동하는 95% PDF를 나타낸다. 종래의 접근 방법을 채택하여 d1을 그 공칭값으로 고정하면, 탐색의 영역은 수직 점선으로 제한된다. 그러므로, d1이 고정되는 확률 밀도 함수는 더 작은 점선 타원형(904)으로 변경된다.
상승 대각 일점 쇄선은 선형 제약을 나타내며, 그에 따라 αd(d1-d2)=0로 되며, 여기서 αd는 2개의 높이 파라미터를 관련시키는 선형 제약의 함수이다(그리고, d1 및 d2는 각각의 파라미터의 절대값이 아닌 각각의 파라미터의 공칭값으로부터의 편차를 나타낸다). 일점 쇄선 타원형(906)으로 나타낸 결과 PDF는 3개의 타원형 중의 가장 작으며, 이들 파라미터가 측정치에서 더 적은 잡음을 갖는 것으로 발견되지 않는다는 것을 나타낸다. 확률 밀도 함수(PDF) 906의 무게 중심은 또한 d1 및 d2의 범위에 의해 경계가 정해지는 원래의 PDF 902의 무게 중심과 동일하다.
도 9b로부터, 당업자는, 선형 제약이 더 우수한 잡음 레벨 및 더 작은 오프셋을 갖고, 파라미터 고정 방법(d1 - d1 nom = 0)보다 더 큰 범위의 실제 측정치를 허용한다는 것을 알 것이다.
제2 예의 선형 제약
도 10은 향상된 모델 레시피를 위한 선형 제약의 적용의 제2 예를 도시하고 있다. 이 경우, 2개의 포괄적인 파라미터 p1 및 p2가 수반된다. 수평축과 수직축은 이들 파라미터의 절대값이 아닌 이들 파라미터의 편차에 대응한다. 이 예에서의 불확실성(잡음)은 허용된 파라미터 범위 아래에 있으며, 이로써 이 다이아그램 내에서는 직사각형 경계의 박스가 보이지 않게 된다. 여기서, 레시피 최적화를 위한 정보의 유용한 부분은 단지 파라미터 중의 하나 p1를 측정하는데 관심이 있다는 것이다. 이전과 같이, p1과 p2는 상관되며, 이로써 이들 2개의 파라미터를 유동시키는 것은 커다란 불확실성을 제공할 것인 한편, p2를 고정시키는 것은 p1에서 불필요하게 커다란 오차를 도립할 것이다. 본 발명의 발명자는, 예컨대 선형 제약(910)과 같은 제약이 다수의 이러한 상황에서 여전히 가능하며, 이것은 모델에서의 자유도를 감소시키고 동시에 정확도를 향상시킬 것이라는 것을 인지하였다. 메리트 함수의 2차 편도함수(the second order partial derivative)를 나타내는, 모델의 헤시안(Hessian)이 파라미터 공간에 걸쳐 균일하면, 이 제약은 파라미터 공간의 모든 샘플링된 지점에 대해 유효하게 될 것이고, 따라서 레시피 정의의 일부분으로서 될 수 있다. 이 상황은 타이트하게 제어된 생산 공정을 파라미터에 대한 좁은 허용 범위로 모델링할 때에 간혹 적용할 것이며, 모델 최적화 프로세스에서 테스트될 수 있다. 아래에 설명된 파라미터 어드바이저 모듈에서, 이러한 가정의 타당성은 계산된 도함수를 검사함으로써 테스트될 수 있다.
다이아그램에서, 파라미터 p1 및 p2의 실제값은 알려져 있지 않고, 나타내어져 있지 않다. 윤곽(912)이 관측된 회절 패턴을 기반으로 하는 모델의 "비용 함수(cost function)"를 나타내는 것으로 가정한다. 제약 없이 수식 (1)을 적용하면, 피팅 프로세스는 914 지점에 도달할 것이다. 선형 제약을 적용하는 것은 p1 및 p2가 특정 경사를 갖고 공칭 위치 Nom을 통과하는 라인(910)을 따라 놓이게 한다. 비용 함수 윤곽의 중심에 놓여지는 대신, 파라미터의 보고된(측정된) 값은 비용 함수 윤곽에 접선을 이루는 곳에서 제약 라인 상의 점에 있는 점 916에 놓이게 된다(평행 라인 910'과 비교). 점 916을 점 914 대신 측정된 파라미터로서 보고함으로써, 보고되는 p2의 값은 실제값으로부터 떨어져 있을 수도 있거나 또는 실제값으로부터 떨어져 있지 않을 수도 있다. 그러나, 파라미터 p2는 대상으로 하는 파라미터가 아니며, 대상으로 되는 파라미터 p1이 보고되는 확실성이 그 결과 크게 향상될 수 있다.
회절 모델 계산에서의 자유도의 개수는 적용될 수 이는 이 유형의 각각의 제약에 대해서는 하나씩 감소된다. 위의 제1 예에서, 제약(d1+d2=dtot 또는 dtot-d1-d2=0)은 실제로 있는 자유도보다 더 많은 변화 가능한 파라미터를 모델에 의해 야기되는 "자연적인(natural)" 제약으로서 간주될 수 있다. 제약 αd(d1-d2)=0, 및 제2 예에서의 파라미터 p1 및 p2에 대한 제약은 실제로 상관되는 것으로 밝혀지는 파라미터들 간의 관계를 나타내는 한편, 이 상관은 그 의미 면에서 필연적이거나 명백하거나 또는 "물리적인" 것은 아니다. 신규의 기술 및 아래에 추가로 설명된 툴은 소위 "자연적인" 제약의 명백한 지식에 상관없이 이러한 추가의 제약을 식별할 수 있다. 신규의 기술 및 툴은 또한 3개 이상의 파라미터를 수반하는 추가의 제약을 식별할 수 있으며, 여기서 알려진 자연적인 제약은 단지 한 쌍의 파라미터를 한 번에 상관시키는 경향이 있다.
소위 자연적인 제약이 모델의 일부분으로서 고려는지 아니면 모델 레시피의 일부분으로서 고려되는 지의 여부는 설계 선택의 문제이다. 이들이 어떠한 방식으로 분류되든, 이들 자연적인 제약을 합리적인 구현(sensible implementation)에 포함시키는 것은 자유도의 개수를 감소시키는 것으로서 간주되지 않을 것이며, 그 이유는 모델의 설계자가 결코 최초의 장소에 그 자유도를 제공하지 않기 때문이다. 또한, 자연적인 제약은 최적화된 모델 레시피에 포함될 수도 있거나 또는 포함되지 않을 수도 있는 제약으로서 평가되기 보다는 주어진다.
결과의 예
도 11은 실험을 위해 포커스-노광 매트릭스(FEM : focus-exposure matrix) 프로세스에서 300 mm 기판 위에서 75개의 별도의 필드에 형성된 복잡한 타겟 구조물(30)을 도시하고 있다. 널리 알려진 바와 같이, 랜덤하지 않은(non-random) FEM 프로세스는 실리콘 웨이퍼와 같은 기판의 필드에 걸쳐 체계적이고 공지된 방식으로 리소그래피 단계의 파라미터를 변화시키도록 설계된다. 이 타겟 구조물에서, 층 A 내지 층 G는 기판(960) 상의 스택으로서 형성된다. 상단층 G는 리소그래피 장치를 이용하여 패터닝되며, 도 7에서의 특징부 702와 유사한 형상 파라미터 H_G, MCD_G 및 SWA_G를 갖는다. 이들 3개가 대상으로 하는 파라미터로서 정해진다. 각각의 하부층 A 내지 F는 자기 자신의 높이(H_A 등) 및 재료 특성을 갖는다. 실험을 위해, 모든 높이에 대한 공칭값은 알려져 있지만, 층 A 만이 고정된다. 굴절률과 같은 재료 특성 또한 고정된다. 이것은 10개의 유동 파라미터 및 10의 자유도가 되게 하며, 이 자유도는 최근 기술의 방법에 대해서는 비정상적으로 높은 자유도의 개수이다. 수렴 결과(converging result)로 되게 하기 위해서는 다수의 반복이 요구된다. 상업적인 계측 툴에 대해서는 통상적으로 3 내지 7개의 반복이 수용 가능한 것으로 고려된다. 이들 10개의 유동 파라미터로는, 필드당 6과 39 사이의 반복이 요구되며, 평균적으로 필드당 13을 넘는 반복이 요구된다.
파라미터 어드바이저 툴(아래에 설명된)의 사용으로, 3개의 제약을 갖는 모델 레시피를 결정하였다. 이 예에서의 3개의 제약은 H_D, H_B 및 H_E의 각각을 다른 유동 파라미터의 선형 조합으로 제약하였다. 방금 언급한 3-제약 레시피로는, 측정된 구조물의 재구축이 더 적은 반복(평균 7.52)을 요구하고, FEM 웨이퍼에 걸쳐 요구된 반복의 개수가 더욱 일정하게 된다(불과 6 내지 10의 범위). 대상으로 하는 파라미터(MCD_G, SWA_A 및 H_G)에 대한 측정된(재구축된) 값, 측벽 각도 및 레지스트 높이는 모든 파라미터가 유동하고 있는 원본 레시피와 매우 유사하다. 이 모델은 원본 레시피에 대한 개량이라는 결론이 얻어진다.
파라미터 어드바이저 툴을 이용한 레시피 최적화 프로세스
도 12a는 위에서 설명한 기술 및 원리를 이용하여 최적화된 모델 레시피에 도달하는 프로세스를 도시하고 있다. 이 프로세스는 처리 유닛 PU(도 3 또는 도 4)과 같은 컴퓨터의 적합한 프로그래밍에 의해 실질적으로 자동화될 수 있다. 프로세스의 단계는 다음과 같다:
단계 980에서, 형상 및 재료 특성의 모든 파라미터와, 각각의 파라미터에 대한 공칭값 및 허용된 범위의 편차를 갖는 타겟 구조물의 완전한 모델이 저장된다. 단계 982에서는, 전체 파라미터 공간을 샘플링하고, 모델링된 회절 신호를 계산함으로써, 데이터세트(984)가 발생된다. 예컨대, 전체적인 다차원 파라미터 범위에 걸쳐 "라틴 하이퍼 큐브 샘플링(Latin hyper cube sampling)"이 적용될 수 있다. 계산된 회절 신호는 픽셀의 어레이에 의해 표현된 퓨필 패턴을 포함할 수 있다. 퓨필 패턴에서의 각각의 픽셀의 세기를 정하는 것에 추가하여, 모델 최적화 프로세스에 도움을 주기 위해, 다양한 파라미터에 대한 각각의 픽셀 세기의 편도함수 또한 계산된다.
필요한 경우, 파라미터들 간의 알려진 상호관계에 기초한 현실적인 샘플링을 위한 특정한 제한을 정의하는 룰 베이스(rule base)(985)를 참조하여 데이터 발생 단계(984)가 수행된다. 공동 계류 중인 본 출원인의 특허 출원(대리인 관리 번호 P-3663.000-US)의 기술 요지인 이 기술은 도 15 및 도 16을 참조하여 아래에 더욱 상세하게 설명될 것이다. 데이터 세트(984) 내의 샘플의 개수 및 그에 따라 요구되는 연산 부하 및 저장 공간은 이 기술에 의해 크게 감소될 수 있다.
데이터 세트(984)는 "파라미터 어드바이저"로 지칭되는 툴을 형성하는 모듈(986)에 의해 이용되는 라이브러리를 형성한다. 모듈(986)은 포톤 노이즈(photon noise)(스캐터로미터 이미징에서의)의 파라미터에 대한 영향 및 파라미터들 간의 상관을 결정한다. 어떠한 대상 파라미터(POI : parameters of interest)는 사용자 입력(988)에 의해 지정된다. 파라미터 어드바이저 모듈(986)은, 대상 파라미터에 부정적으로 영향을 주지 않고서도, 모델의 파라미터가 고정될 수 있는지, 또는 파라미터들 간의 선형 관계(또는 다른 포괄적인 제약)가 도입될 수 있는지를 판단한다. 파라미터 어드바이저 모듈에 대한 더 많은 설명은 도 12b를 참조하여 아래에 제공되어 있다.
"최상의"(권장된) 제약 및 픽스의 세트(990)가 출력된다. 단계 992에서, 모델은 파라미터 어드바이저 모듈(986)에 의해 식별된 제약 및 픽스를 참조하여 적합화되며, 최적화된 모델 레시피(994)가 출력된다. 단계 992는 전체적으로 자동으로 수행될 수도 있고, 또는 모듈(986)에 의해 식별된 픽스 및/또는 포괄적인 제약의 각각이 적용되어야 하는지의 여부를 확인하기 위해 사용자 개입을 허용할 수도 있다. 특정 실시예에서, 제약은 자동으로 적용되는 한편, 사용자 개입은 파라미터가 고정되기 전에 요구된다.
파라미터 어드바이저 구현
도 12b는 파라미터 어드바이저 모듈(986)을 더 깊이 있게 고려하는 작업을 상세하게 도시하며, 우수한 모델 레시피가 다음의 요건을 이행한다는 것에 유의하기 바란다:
- 모델 레시피를 이용하여 측정된 회절 신호로부터 재구축된 파라미터가 정확하다;
- 재구축 프로세스를 위한 계산이 신속하다; 그리고,
- 재구축이 견고하여, 장애 또는 "물리적이지 않은"(비현실적인) 결과가 없음.
너무 많은 자유도를 갖는 것은 단순히 잡음으로 인해 재구축 프로세스에서의 높은 변화 가능성을 야기한다. 이 성분은 표준 편차를 나타내는 곳에서는 3σ(3-시그마) 오차로 지칭된다. 너무 많은 자유도를 갖는 것은 또한 사전 계산된 퓨필 패턴의 임의의 라이브러리에 대한 더 큰 저장 공간 및 더 많은 계산 시간을 야기한다. 너무 적은 유동 파라미터를 이용하는 것은 모델과 현실 간의 커다란 커다란 오차를 발생한다. 이 후자의 오차는 정적인, 즉 노이즈에 관련되지 않은, 바이어스이다. 평균 제곱근 오차(RMSE)는 바이어스와 노이즈의 조합 오차를 정량화한다. 따라서, 낮은 RMSE에 대응하는 레시피를 찾는 것은 바이어스와 노이즈-민감도 간에 균형을 이루는 모델 레시피를 찾기 위한 우수한 방법이다.
이를 유념하면, 모듈(986)의 기본 원리는 도 5 및/또는 도 6의 재구축 프로세스를 여러 번 시뮬레이션하여, 상이한 후보 모델 레시피 및 상이한 샘플을 시도하고, 후보 모델 레시피 중에서 최상의 모델 레시피를 식별하기 위해 그 결과의 RMSE 값을 비교한다. 구체적으로, 프로세스(1000)는 후보 제약을 발생하거나 또는 제약의 후보 세트를 발생하도록 수행된다. 이 문맥에서의 "제약"은 파라미터의 고정 및 전술한 선형 제약과 같은 더욱 포괄적인 제약을 포함한다. 제약의 각각의 후보 세트는 자유도를 감소시키기 위해 모델(980)을 상이한 방식으로 수정한다.
후보 제약은 요구되는 경우 전체적으로 랜덤하게 발생될 수 있다. 필요한 경우, 파선 화살표로 나타낸 바와 같이, 이 프로세스는 데이터세트(984) 내의 정보, 구체적으로 예컨대 파라미터당의 회절 신호 픽셀의 편도함수에 의해 안내될 수 있다. 각각의 세트의 후보 제약(이러한 용도의 후보 픽스(fix)를 포함)은 감소된 자유도를 갖는 별도의 후보 모델 레시피를 형성하며, 이들 후보 모델의 세트가 도면부호 1002로 나타내어져 있다. 1004에서, 데이터세트(984)로부터의 다수의 샘플이 선택된다. 그 후, 시뮬레이션된 재구축 프로세스(1006)가 여러 번 실행된다. 이 시뮬레이션된 재구축 프로세스는 도 5 및/또는 도 6을 참조하여 전술한 것과 동일한 프로세스이지만, 스캐터로미터에서 실제 타겟 구조물의 조명에 의해 검출된 신호 대신에 데이터세트(984)로부터의 샘플의 모델 회절 신호를 이용한다. 더욱이, 시뮬레이션된 재구축 프로세스는 모두가 자신의 자유도를 갖는 모델(980) 대신에 각각의 후보 모델 레시피(1002)로 각각의 샘플에 대해 실행된다.
다수의 재구축 프로세스(1006)의 출력은 사실상 시뮬레이션된 측정치의 세트(1008)이며, 스캐터로메트리 및 재구축 프로세스가 각각의 특정 후보 모델 레시피를 이용하여 어떻게 각각의 샘플의 측정치를 보고할지를 보여준다. 대상 파라미터(POI)의 정보를 이용하여, 프로세스(1010)는 다양한 후보 모델 레시피의 성능을 평가하기 위해 평균 제곱근 오차(RMSE)들의 비교를 행한다. 이 비교로부터, 제약과 픽스의 "최상의 세트"가 990에서 출력된다. 이 최상의 세트(물론 필요한 경우에는 택일적인 최상의 세트를 포함할 수 있는)는 실제 타겟 구조물(30)의 실제 측정에 사용하기 위한 최적화된 모델 레시피(994)를 정의하기 위해 단계 992에서 이용될 수 있다. 수학적 구현을 위해, 파라미터는 pj, p=1,2,3...n로서 표기되며, 여기서 n은 유동 파라미터의 개수이다. 재구축된 값이
Figure 112013001061781-pct00006
로서 표기될 수 있고, 정확한 값이
Figure 112013001061781-pct00007
로서 표기되면, 적용될 기준은 다음과 같이 정의되는 "예상(Expected) RMSE"이다:
Figure 112013001061781-pct00008
(6)
예상 RMSE는 기준 집합체(reference collection)로서의 데이터 세트(984)를 이용하여 이론적으로 계산될 수 있으며, 이로써 실제 타겟 구조물의 측정치가 요구되지 않는다. 예상은 스캐터로미터 이미징 노이즈의 적합한 확률 밀도 함수에 기초하여 계산된다. RMSE는 노이즈와 바이어스의 2차 합계(quadratic sum)이다:
Figure 112013001061781-pct00009
(7)
일실시예에서의 파라미터 어드바이저 알고리즘은 후보 모델 레시피를 취하고, 데이터 세트(984) 중에서 랜덤하게 선택된 가설 격자(hypothetical grating)의 샘플 세트에 대한 대상 파라미터에서의 예상 RMSE를 평가한다. 후보 모델 레시피의 정확도를 정량화하는 기준은, 대상 파라미터에 걸쳐, RSME가 또 다른 후보 레시피보다 좋은지 아니면 나쁜지의 여부를 기초로 할 수 있다. 예컨대, 기준은 "예상 RMSE" 값이 샘플 세트에서의 샘플에 대해 25%가 더 높은 경우에도 이 샘플의 적어도 75%에 대해서는 더 낮은지를 확인하기 위해 적용될 수 있다.
최상의 파라미터 세트를 관측된 회절 패턴에 맞추기 위한 다른 탐색 방안을 도시하는 도 5 및 도 6과 같이, 최적화된 모델 레시피에 대한 탐색은 라이브러리를 기반으로 하거나, 반복적이거나(회귀 분석을 기반으로 하거나), 또는 이 양자의 혼성(hybrid)으로 될 수 있다. 후보 모델 레시피(1002)의 세트는 이러한 탐색의 목적을 위해 "라이브러리"를 구성한다. "개략적" 모델 레시피 최적화가 도 12b에 도시된 바와 같이 수행된 후, 후보 모델 레시피의 제1 세트(1002)로부터 출발하여, 프로세스를 다시 반복함으로써 "미세" 레시피 최적화가 수행될 수 있지만, 이러한 프로세스의 반복은 개략적 프로세스에서 "최상의 것"으로서 식별된 후보 모델 레시피 중의 하나 이상으로부터 시작된다. 미세 레시피 최적화는 방금 설명한 개략적 프로세스와 동일하게 라이브러리를 기반으로 할 수 있다. 이와 달리, 대상 파리미터에 대한 RSME 값과 제약 간에 적합한 수학적 연결이 구축될 수 있다면, 회귀 분석을 기반으로 할 수도 있다.
파라미터 어드바이저 모듈(986)은 새로운 파라미터를 고려할 수 없고, 단지 기존의 파라미터를 제거하거나 제한할 수 있다. 따라서, 충분한 파라미터(더 많은 파라미터)를 갖는 모델로 개시하는 것이 중요하다. 도 13의 (a)를 참조하면, 예컨대, 모델의 격자를 모델링하는 가장 단순한 방법은 단일 부등변 사각형이라는 것을 알 수 있다. 그러나, 이것은 특징부의 실제 물리적 형상에 대한 근사(approximation)에 불과할 것이다. 일부 어플리케이션의 경우, 이러한 단순한 근사(도 13의 (a))를 이용하는 것은 부정확한 재구축 파라미터 또는 심지어는 물리적이지 않은 결과물을 야기할 것이다. 이들 경우에, 예컨대 도 13의 (b)에 도시된 바와 같은 3개의 부등변 사각형으로 더욱 정확한 근사가 이용될 수 있다.
이와 같이 파라미터의 개수를 감소시키기보다는 자유도의 개수를 감소시키는 것은 계산 시간을 감소시키고, 노이즈 민감도를 감소시킨다. 한 쌍의 파라미터 간의 제약 및 관련 종속성을 적용하는 것은 자유도를 하나씩 감소시키지만, 파라미터의 개수는 동일하게 유지된다. 이와 동시에, 모델(980)의 설계자는 초기에는 파라미터의 개수 및 자유도에 대해 비교적 "관대한" 것일 수 있지만, 파라미터 어드바이저 모듈은 적용된 모델 레시피(994)에서 자유도를 감소시키기 위해 제약이 적용될 수 있다는 것을 나타낼 것이다. 레시피 최적화 프로세스 자체(도 12a, 도 12b)가 다수의 추가의 자유도 및 다차원성(multi-dimensionality)을 포함한다는 것을 이해할 것이다. 그러나, 레시피 최적화 프로세스는 단지 1회만 작동될 수 있지만, 최적화된 레시피를 측정된 타겟 구조물의 재구축에 실제로 적용하는 재구축 프로세스는 아주 여러 번 작동될 수 있다. 최적화 프로세스의 결과가 알려진 레시피보다 더 적은 자유도를 갖는 효율적인 모델 레시피이면, 그 이점은 추가의 복잡도보다 더 클 것이다. 다수의 상업적인 어플리케이션에서, 셋업 시간이 훨씬 길기는 하지만, 매 수 초마다 측정을 반복할 수 있는 것이 중요할 것이다.
결론적으로, 파라미터 어드바이저 모듈(986)은 스캐터로메트리 재구축 프로세스의 자유도의 개수의 자동 또는 반자동 감소를 가능하게 하는 툴로서 보여질 수 있다. 자유도는 구체적으로, 어떠한 파라미터가 어떠한 값으로 고정될 수 있거나, 또는 또 다른 파라미터 또는 파라미터의 조합에 대해 어떠한 방식으로 종속될 수 있도록, 강제(impose)하거나 제안(suggest)함으로써 감소될 수 있다. 다수의 상이한 형태의 알고리즘이 가능하며, 위에서 설명한 것은 단지 하나의 예에 불과하다. 가장 낮은 가능한 자유도의 개수를 획득하기 위해, 이 예에서의 모듈(986)은 다음의 아이디어를 이용한다:
- 대상으로 하는 파라미터(POI)에 집중(concentrate)
- 대상으로 하는 범위에 집중
o 레시피의 범위로부터
o 적용 프로세스의 지식으로부터
이들 아이디어는 아래에 추가로 설명된다:
대상으로 하는 파라미터( POI )에 대한 집중 : 파라미터가 많다는 것은 일반적으로 모델과 현실성 간의 더 우수한 매칭을 의미한다. 그러나, 이들 파라미터 모두가 조작자에게 대상으로 될 필요는 없다. 조작자는 파라미터 p5가 정확하게 측정(재구축)되길 원하고, 파라미터 p3가 정확하게 알려지는지에 대해서는 신경을 쓰지 않을 수도 있다. 대상으로 하는 파라미터에 대해서만 낮은 RMSE가 요구되면, 자유도의 개수는 모든 파라미터가 낮은 RMSE 값을 갖도록 요구되는 경우에서보다 추가로 감소될 수 있다.
POI는 또한 파라미터의 조합으로서 정의될 수도 있다. 예컨대, 도 13의 (b)에서, 총높이는 3개의 부등변 사각형의 높이의 합계로서 정의된 POI가 될 수 있다.
대상으로 하는 범위에 집중 : 모든 레시피는 각각의 파라미터에 대한 범위의 사양을 포함한다. 모듈(986)은 이들 범위 내에 있는 설정치에 대해서만 POI에 대한 예상 RMSE를 계산한다. 범위가 작을수록, 정밀도의 저하 없이 더 많은 자유도가 적용될 수 있다.
추가의 향상은 특정 파라미터들이 실제로 어떻게 상호 관련되는지에 대한 지식을 기반으로 한다. "현실적인 샘플링"으로 이미 설명되고 언급된 이 특징은 아래에 상세하게 설명된다.
위에서 언급한 바와 같이, 여기에서 식별되고 적용된 제약은 모델의 정의에 내재하는 "자연적인" 제약으로 간주될 수도 있는 제약이 아니다. 예컨대, 모델이 2개의 층의 개별 높이와는 별도로 2개의 층의 적층체의 총높이를 파라미터로서 식별할 수 있지만, 이러한 3개의 파라미터는 단지 모델의 2개의 자유도를 표현할 것이다. 그 경우에는 여기에서 제공된 형태의 추가의 제약을 식별하는 프로세스가 없다. 도 9의 예에서, 예컨대, 상승 대각선에 의해 표현된 제약은, 직교의 의미에서, 확률 분포 함수(902)의 하강 대각 방향에 의해 표현된 "자연적인" 제약에 대해 부수적인 것이라는 것을 명백히 알 수 있다. 이러한 자연적인 제약의 또 다른 예로는, 동일한 재료의 층과 공정 단계로 이루어진 2개의 특징부의 높이 간의 동일성을 들 수 있다. 이러한 자연적인 제약의 또 다른 예로는, 동일한 재료(이 재료가 "정상적인" 동작을 보이는 것으로 가정)로 구성되는 2개의 특징부의 굴절률 간의 동일성을 들 수 있다.
파라미터 어드바이저의 임의의 새로운 구현은 상이한 모델에 대한 리소그래피 공정의 시뮬레이션에 크게 좌우될 것이다. 이 접근 방법은 모델이 현실성을 묘사하기에 충분한 정도로 정확한 것으로 가정하지만, 이 가정은 생성된 데이터로는 검증될 수 없다. 따라서, 데이터가 이용가능하게 될 때에, 이 데이터는 모델의 정성화(qualification)를 위해 이용될 수 있다. 이러한 정성화 프로세스에 사용하기 위한 정보의 일례의 소스는 다음을 포함할 수 있다:
- 기준 계측 툴과의 상관
- 피팅된 측정치(전형적으로 FEM 측정치)의 나머지(residue)를 이용
- 재구축을 통해 툴에 의해 제시된 바와 같은 파라미터 감소의 검증
현실적인 샘플링
전술한 도 6의 측정 프로세스의 제2 수정에 대하여, "현실적인" 대 "비현실적" 후보 구조의 개념을 도입하였고, 이것은 공동 계류 중인 본 출원인의 특허 출원(대리인 관리 번호 P-3663.000-US)에 설명되어 있으며, 이 특허 출원의 전체 내용이 본 명세서에 원용에 의해 참조되어 있다.
도 14는 예컨대 도 7에 도시된 단순한 타겟 구조물에 대한 크리티컬 디멘전(CD)과 SWA 간의 상호 관계를 개략적인 방식으로 도시하고 있다. 특징부(702)에 대한 3개의 상이한 형상이 (a), (b) 및 (c)에 도시되어 있다. (a)에서는, 파라미터 H1, MCD 및 SWA(도 14에 표기되지 않음)가 모두 낮은 값이다. (b)에서는, 동일한 3개의 파라미터가 모두 높은 값이다. (c)에서는, 파라미터 H는 높지만, MCD 및 SWA는 둘 다 낮다. 파라미터를 서로에 대해 독립적으로 랜덤화함으로써 추정된 파라미터 세트가 생성되는 경우에, (a), (b) 및 (c)의 3가지 예는 모두 동일하게 발생할 가능성이 많다. 그러나, 실제 타겟(30)에서, 노광 및 에칭의 공정에 의하면, (c)에 도시한 상황은 비현실적인 것이 된다. 즉, 이 상황은 발생할 수 없거나, 또는 매우 낮은 확률로 발생할 것이다. 이러한 상황이 도 6 또는 도 12의 라이브러리 내에 계산된 샘플로서 포함될 때, 또는 이러한 상황이 도 5의 반복 프로세스 내에 추정치로서 허용될 때, 매칭을 위해 고려되는 샘플의 다수의 추정치는, 측정되고 있는 리소그래피 공정에서 나타날 수 없거나 또는 나타나기가 쉽지 않은 형상에 대응할 것이다.
타겟 형상과 회절 패턴 간에는 일대일 관계가 없으며, 이로써 동일한 퓨필 패턴 또는 다른 히절 패턴이 상이한 형상으로 생성될 수 있다. 이들 "비현실적인" 형상이 라이브러리에서 표현되도록 허용하면, 스캐터로메트리 툴의 측정 결과를 제공하는 매칭 프로세스에서 잘못된 결과를 야기할 수도 있다. 또한, 라이브러리에 더 많은 후보가 있게 되면, 탐색 시간이 길어지게 되고 또한 라이브러리 크기가 더 커지게 된다.
단계 514 또는 614에서 및/또는 모델 레시피 최적화에서 보고된 측정치의 품질 및 신뢰성을 향상시키기 위해, 본 발명의 발명자는 반복 모델링(단계 504/512) 동안의 추정된 파라미터의 생성 및/또는 라이브러리 생성(단계 604/608, 982)에서 필터를 적용하는 효과가 있는 것으로 제안하였다. 리소그래피 공정의 공지의 파라미터(예컨대, 포커스 범위, 주사량 범위, 및 조명 설정)가 주어지면, 제한의 집합체가 생성된다. 예컨대 모델의 파라미터의 선형 조합을 기반으로 할 수 있는 이들 제한은, 리소그래피 공정의 예상 결과와 부합하는 "대상 영역"에서 샘플이 발생되도록 한다.
도 15는 특징부(702)의 부등변 사각형 횡단면을 표현하는 3개의 파라미터 H1, MCD 및 SWA 간의 관계가 회절 패턴의 계산을 위해 고려되는 파라미터의 조합을 제한하기 위해 어떻게 이용될 수 있는지를 도시하고 있다. 도 15a에서의 그래프는 일례의 타겟에서 측벽 각도(SWA)(수직축)와 크리티컬 디멘전(MCD)(수평축)에 의해 취해질 수 있는 값의 범위를 나타낸다. 도 15b에서의 그래프는 동일한 범위의 SWA(수평축)에 대한 레지스트 높이 H1(수직축)을 도시한다. 따라서, 도 15a의 그래프 상의 지점은 SWA와 MCD 파라미터 값의 특정한 조합을 나타낸다. 형상이 오목하게 들어가는(re-entrant) 형태인 곳에서는, SWA가 실제로 90도를 초과할 수 있다는 것에 유의하기 바란다. 부등변 사각형 특징부(702)는 이러한 경우에는 반대로 된 형태로 보일 것이다.
또한, 에칭 공정에 의해 바닥 부등변 사각형과 상단 부등변 사각형이 각각 자신의 높이, 폭 및 SWA를 갖는 더욱 복잡한 프로파일을 발생할 수 있는 곳에서는, 예컨대 부등변 사각형의 적층체와 같이 더 많은 복잡성을 갖는 특징부의 형상을 모델링할 수도 있다. 특징부를 자기 자신의 파라미터를 갖는 특징부의 적층체로 세분하는 것은 다차원의 본질적인 문제점을 증가시킨다는 것은 명백하다. 따라서, 여기에서 설명된 방식으로 파라미터의 현실적이거나 또는 현실적일 것 같은 세트를 제한하기 위해 이들 파라미터 간의 상호 관계를 이용하는 것은, 구조와 공정 및 그에 따라 이들의 모델이 더욱 복잡해질 때에, 연산 요구량을 제어하기 위한 매력적인 툴이 된다. 특징부의 적층의 경우에, 적층체의 총높이는 스택 내의 개개의 요소들의 높이와의 알려진 상호 관계를 갖는 파라미터이다. 개개의 요소의 높이들을 합하여 적층체의 총높이가 되지 못하는 후보 구조는 비현실적인 것으로서 간주될 수 있다. 이 개개의 요소는 별도의 재료로 될 수도 있고, 또는 하나의 복잡한 특징부를 모델링하는 단순히 분리된 요소일 수도 있다.
적층된 특징부 또는 적층체로서 모델링된 특징부를 고려하기는 하지만, 여기에서 설명된 기술은 다층 박막 구조물과 같은 "특징부가 없는(featureless)" 구조물의 측정에도 동일하게 적용할 수 있다. 이러한 경우에, 관측된 및 모델링된 퓨필 패턴 또는 스펙트럼이 정확히 "회절 패턴"인지에 대한 여부는 이론의 여지가 있을 수 있으며, 그 이유는 이 패턴 또는 스펙트럼이 0차 성분(zero order component)을 포함하기 때문이다. 하나의 층에서 중심에서 가장자리까지의 차이가 큰 곳의 샘플에서는, 이 구조물의 층들 간의 계면에서 반사된 광선(ray)들 간의 간섭에 의해 야기되는 링형 패턴(ring-like pattern)이 관측된다. 그럼에도 불구하고, 전술한 스캐터로미터는, 이러한 구조를 관측하고, 격자에 대해 설명한 것과 같이 모델 회절 패턴과의 비교에 의해 이러한 구조의 파라미터를 측정하도록 완벽하게 적합화된다. "회절 패턴"과 "모델링된 회절 패턴"은 본 명세서에서는 추가의 구분 없이도 이러한 0차 패턴을 포함하는 것으로 이용된다.
각각의 그래프 상의 작은 정사각형은 공지의 재구축 공정에서 생성될 수 있는 랜덤화된 샘플을 나타낸다. 더 큰 정사각형은 실제 타겟에서 관측된 SWA 및 MCD 파라미터의 조합을 나타낸다. 이 도면을 통해 알 수 있는 바와 같이, 실제 샘플은 프로세스에 좌우되는 특징적인 형상을 갖는 제한된 영역에 분포된다. 여기에서 설명된 수정된 프로세스 단계에서, 단계 504/512, 604/608 및 982에서 생성된 파라미터 세트(파라미터 공간에서의 샘플)는 곡선 R1에 의해 표시된 제1 규칙(rule)에 의해 제한된다. SWA 및 MCD 값이 이 곡선 외측에 있는 파라미터 세트는 관측된 회절 패턴을 매칭하기 위한 후보로서의 고려에서 제외된다. 제외된 샘플 중의 2개가 도면에 X로 표시되어 있다. 유사하게, 도 15b의 그래프에는, 실제 타겟 샘플에서 관측될 수 있는 H1과 SWA 쌍의 상이한 파라미터 분포의 특징이 도시되어 있다. 곡선 R2에 의해 표현된 규칙은 곡선 외측에 있는 X와 같은 샘플을 제외시킨다.
규칙 R1 및 R2를 적용함으로써, 도 14의 (c)에 대응하는 것과 같은 비현실적인 세트가 관측된 타겟 형상을 재구축하는 프로세스에서의 후보로서 고려로부터 제외될 것이다. 제한 또는 규칙은 동일한 효과를 달성하기 위해 상이한 방식으로 적용될 수도 있다. 추정된 파라미터 세트는 이전과 같이 랜덤하게 발생될 수 있으며, 규칙에 대하여 테스트된다. 규칙 중의 하나 이상을 위배하는 세트는 폐기되고, 라이브러리에서의 매칭 또는 라이브러리에의 저장을 위한 샘플 패턴을 계산하는데 이용되지 않는다. 이와 달리, 추정된 파라미터 세트는, 제한치가 그 안에 포함되어 있는 수정된 랜덤화 프로세스에 의해 발생될 수 있다. 규칙의 표현은 선택의 문제이다. 각각의 쌍에서의 파라미터들 간의 단순한 수학적 관계(1차 방정식 또는 다항식)가 정의될 수 있다. 이와 달리, 파라미터 공간(즉, 도 15a 및 도 15b의 그래프 등의 영역)은, 블록의 어레이와, 특정 블록이 규칙을 충족하는지를 나타내기 위해 저장되는 플래그의 어레이로 분할될 수 있다. 어레이가 3차원이면, 규칙 R1 및 R2는 동일한 어레이로 구현될 수 있다.
적합한 세트의 규칙을 정의하기 위해서는 파라미터 공간에서의 현실적인 샘플의 특정 분포에 대한 일부 정보를 필요로 한다는 것은 자명하다. 이 정보는 다수의 샘플의 측정에 의해 실험적으로 획득될 수 있다. 이와 달리 또는 이에 추가하여, 이것은 노광 또는 기타 리소그래피 공정 단계의 시뮬레이션에 의해 구해질 수도 있으며, 이 시뮬레이션에서는 특정 분포를 발생하는 상환 관계가 표현된다. 분포를 예시하는 커다란 정사각형에 의해 표시된 샘플은 따라서 실제 측정된 샘플 또는 리소그래피 공정을 모델링함으로써 시뮬레이션된 샘플로서 간주될 수 있다.
SWA, H1 및 MCD 간의 상호 관계는 예컨대 상이한 침적층의 두께들 간의 관계와 같은 샘플 파라미터 세트의 생성에서의 제한을 부과하기 위해 식별되고 이용될 수 있는 유일한 상호 관계가 결코 아니다. 파라미터들 간의 관계는 공정에 따라서는 존재할 수도 있고 존재하지 않을 수도 있다. 이것의 일례는 당업자에게 알려져 있고 "실제" 기판 상의 디바이스의 생산을 위해서가 아닌 리소그래피 공정의 교정 및 특성 결정(characterization)을 위해 특별히 이용되는 랜덤하지 않은 FEM(포커스-노광 매트릭스) 프로세스에서 발생한다.
도 16a는 격자가 특정한 램덤하지 않은 FEM(포커스-노광 매트릭스) 프로세스를 이용하여 노광될 때의 반사방지(BARC)층의 두께 H2(수직축)와 크리티컬 디멘전 MCD(수평축) 간의 관계를 도시하고 있다. 곡선 R3에 의해 표시된 규칙은 실제 타겟 샘플에 의해 점유된 그래프의 영역에 있지 않은 샘플 X을 제외하기 위해 적용될 수 있다. 유사하게, 도 16b를 참조하면, 곡선 R4에 의해 표현된 규칙은 BARC 높이(H2)와 측벽 각도(SWA)의 비현실적인 조합을 제외하기 위해 이용될 수 있다. 상호 관계를 보여주는 또 다른 예의 파라미터로는 모델링된 구조 내의 특정 재료에 대한 상이한 굴절률 성분을 들 수 있다. 다수의 경우에, 층 또는 특징부(702)를 형성하는 재료의 굴절률은 전체 타겟에 걸쳐 일정한 것으로 간주될 것이다. 그러나, 일부 재료는 기판의 상이한 부분들 간의 또는 상이한 기판 간의 굴절률 및/또는 흡광 계수가 불균일할 수 있으며, 이로써 굴절률은 도 5 또는 도 6의 프로세스에서 추정될 파라미터 중의 하나가 된다. 대상으로 하는 재료가 복굴절성의 것인 경우, "정상 광선(ordinary ray)" 및 "이상 광선(extraordinary ray)"에 대한 굴절률 및 흡광 계수는 재료 내의 각각의 지점에서의 4개의 별도의 파라미터가 될 수 있다. 리소그래피 공정에서의 "하드 마스크(hard mask)"로서 흔히 이용되는 재료는 비정질 상태의 탄소이며, 이것은 매우 복잡한 광학 특성을 갖는 것으로 밝혀져 있다. 복굴절성을 포함하는 이들 광학 특성은 기판에 걸쳐 변화할 수 있으며, 그러므로 고정되지 않는 유동 파라미터가 된다. 비정질 상태의 탄소의 예에서, 이들 4개의 파라미터를 각각의 조명 파장에 대해 독립적으로 모델링할 필요가 있으며, 그 이유는 이들 간의 관계가 현재까지 완전하게 정의되어 있지 않기 때문이다. 이것은 예컨대 비정질 상태의 규소와는 대비된다. 이러한 이유로, 이러한 층들을 포함하고 있는 구조물의 측정은 공지의 기술을 이용해서는 극히 어렵다. 모든 유동 파라미터에 대해, 원칙적으로 라이브러리의 크기 또는 반복 탐색 문제는 또 다른 차원에 의해 배가된다.
본 발명의 발명자는 이들 파라미터 간에 그리고 소정 파라미터에 대해서는 상이한 파장 간에 적어도 일부의 공지의 관계가 존재한다는 것을 인지하였다. 이들 관계는 연산 부하를 실질적으로 감소시키기 위해 본 발명에 따라 이용될 수 있다. 스캐터로미터에서 이용된 상이한 파장에 대한 굴절률 n에서는 한 가지 공지의 관계가 있다. 비정질 상태의 탄소에서는 이하의 관계가 유지되는 것으로 알려져 있다:
n@425nm<n@450nm<...<n@700nm (8)
정상 성분과 이상 성분에서의 흡광 계수 k에도 동일하게 적용된다. 따라서, 본 발명의 실시예는 샘플의 생성에 하나 이상의 제한(규칙)을 적용하며, 이로써 상기한 관계를 위배하는 샘플이 생성되지 않거나, 다른 샘플과 동일한 중량을 갖는 것으로 간주되지 않는다.
비정질 상태의 탄소에서도, 파장 당의 정상 굴절률과 이상 굴절률 간의 관계가 존재하며, 이로써 소정의 파장에서의 정상 굴절률은 일반적으로 이상 굴절률의 특정한 계수의 배(times)로 된다(계수는 파장에 좌우됨). 이러한 관계는 프로세스에 좌우된다. 또한, n 성분과 k 성분 간에 관계들이 존재한다. 이들 관계는 크라머스-크로니히 관계(Kramers-Kronig relation)에 의해 주어진다. n과 k의 값이 프로세스에 좌우되는 것이기는 하지만, 이들 관계의 출발점(origin)은 n과 k가 각각 유전율(permittivity)과 직접 관련된 동일한 크기의 실수부와 허수부(imaginary part)라는 것이기 때문에, 이들의 크라머스-크로니히 관계가 없다. 그러나, 이들 관계는 모든 경우에 정확하게 결정될 수 없으며, 그 이유는 k의 결정은 0부터 무한대까지의 모든 주파수에서의 n에 대한 정보를 필요로 하기 때문이다. 어떠한 경우에, 일부 제한 또는 가능성있는 값(likelihood value)이 샘플에 적용될 수 있는 한, 신규의 기술을 이용하여 파라미터들 간의 상호 관계를 이용하는 것은 - 그러나 상호 관계를 확장할 수도 있음 - 관측된 구조물을 재구축하기 위해 요구되는 연산 및 저장의 규모를 크게 감소시킨다. 도 9 및 도 10에 도시된 것과 동일한 방식으로 적합한 제한 또는 규칙을 적용함으로써, 관측된 회절 패턴과 매칭하기 위한 후보로서 고려될 조합(파라미터 세트)의 개수는 크게 감소되고, 및/또는 비현실적인 파라미터 세트가 제외된다.
결론적으로, 도 3 및 도 4의 스캐터로미터을 참조하여 전술한 처리 유닛(PU)은 도 5 내지 도 16을 참조하여 설명한 것과 같은 방법을 이용하여 대상물의 적합한 구조를 재구축하도록 구성될 수 있다.
선형 제약과 같은 포괄적인 제약을 이용하여 최적화된 레시피는 정확도를 훼손하지 않고서도 모델의 자유도의 개수를 감소시킬 수 있다. 파라미터 공간의 "현실적인 샘플링"은 전술한 바와 같이 프로세스에서의 하나 이상의 지점에서 이용될 수 있다. 이들 기술 모두는 라이브러리를 구축하기 위해 이용되는지(도 6) 아니면 반복 매칭 프로세스의 일부분으로서 이용되는지(도 5)에 상관없이 계측 공정을 더욱 정확하게 한다. 리소그래피 공정의 셋업을 향상시키기 위해 획득된 어드바이스가 더욱 신뢰할 수 있게 될 것이며, 복잡한 구조물에 대해서도 실시간으로 이용 가능하게 될 것이다. 현실적인 샘플링으로 또는 현실적인 샘플링 없이, 자유도의 개수를 감소시키는 것은 특정한 측정 표준을 달성하기 위해 라이브러리에 대해 요구되는 엔트리의 최소 개수를 감소시키는 것으로 예상될 것이므로, 라이브러리를 더 작게 하고, 연산을 더 빠르게 한다. 또한, 수정된 프로세스를 구현하기 위해 기존의 하드웨어 및 소프트웨어를 적합화하는 것이 비교적 용이하게 된다. 규칙 또는 필터는 별도의 하드웨어 또는 소프트웨어 모듈로 구현될 수 있다.
현실적인 샘플링 옵션을 구현하기 위한 추가의 실시예 및 고려 사항은 공동 계류 중인 본 출원인의 특허 출원(대리인 관리 번호 P-3663.000-US)에 설명되어 있으며, 이 특허 출원의 전체 내용이 본 명세서에 원용에 의해 참조되어 있다.
동일한 처리 유닛(PU)이 도 5 및/또는 도 6과 도 12의 전체 공정을 포함할 수도 있고, 또는 상이한 공정 및 부분 공정이 상이한 처리 유닛(도시하지 않음)에 할당될 수도 있다. 처리 유닛(PU)은 기판 상의 대상물의 적합한 구조를 결정하기 위한 기기 판독 가능한 명령어의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램 제품으로 작동될 수 있으며, 이 명령어는 처리 유닛 내의 하나 이상의 프로세서로 하여금 여기에서 설명한 방법을 수행하게 하도록 적합화된다. 이러한 구성에서, 처리 유닛(PU) 상에서 실행되는 소프트웨어 모듈은 스캐터로미터의 다른 요소로부터 회절 신호 및 전자기 산란 특성을 수신할 수 있다.
현실적인 샘플링으로 공정을 구현하는 명령어를 포함하고 있는 컴퓨터 프로그램 제품이 기존 하드웨어 시스템의 작동을 수정하기 위해 제공될 수 있다. 또 다른 제품은 단순히 주어진 리소그래피 공정에서 특정 타입의 타겟 구조물을 측정하는데 적합한 최적화된 레시피를 포함할 수도 있다. 또 다른 제품은 파라미터 어드바이저 프로세스에 의해 사용하기 위한 파라미터 세트 및 이들의 관련 모델링된 회절 패턴, 스펙트럼 등의 저장된 라이브러리를 포함할 수도 있다.
본 명세서에서는, 집적회로(IC)의 제조에 있어서의 검사 방법 및 검사 장치의 사용예에 대해 특정하게 언급하였지만, 본 명세서에서 설명된 검사 방법 및 검사 장치는 집적 광학 시스템, 자기 도메인 메모리(magnetic domain memory)용 유도 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같은 기타 응용예들을 가질 수 있음을 이해하여야 한다. 당업자라면, 이러한 다른 응용예와 관련하여, 본 명세서에서 사용된 "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "기판" 또는 "타겟 영역"과 같은 좀더 일반적인 용어와 동의어로 간주될 수 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은, 노광 전후에, 예컨대 트랙(전형적으로 기판에 레지스트층을 도포하고 노광된 레지스트를 현상하는 장치), 계측 장비, 및/또는 검사 장치에서 처리될 수 있다. 적용 가능한 곳에서, 상기한 기판 처리 장치와 여타 기판 처리 장치에 본 명세서의 개시 내용이 적용될 수 있다. 또한, 예컨대 다층 집적회로를 생성하기 위하여 기판이 복수 회 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함한 기판을 지칭할 수도 있다.
광학 리소그래피의 맥락에서 본 발명의 실시예를 이용하는 것에 대해 구체적으로 언급하였지만, 본 발명은 예컨대 임프린트 리소그래피와 같은 다른 응용에도 이용될 수 있음을 이해할 것이며, 문맥이 허용하는 한 본 발명은 광학 리소그래피로 제한되지 않는다. 임프린트 리소그래피에서, 패터닝 장치의 토포그래피는 기판 상에 형성된 패턴을 정한다. 패터닝 장치의 토포그래피는 기판에 공급된 레지스트의 층에 프레스된 후에, 이 레지스트를 전자기 방사선, 열, 압력 또는 이들의 조합을 가함으로써 경화시킨다. 패터닝 장치는 레지스트가 경화된 후에 레지스트를 벗겨냄으로써 기판에 패턴을 잔류시킨다.
본 명세서에 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 365, 355, 248, 193, 157, 또는 126 nm의 파장 또는 그 부근의 파장을 가짐) 및 EUV 방사선(예컨대, 5 내지 20 nm 범위의 파장을 가짐)을 포함하는 모든 형태의 전자기 방사선뿐만 아니라 이온 빔 또는 전자 빔과 같은 입자 빔을 포괄한다.
문맥이 허용하는 곳에서의 "렌즈"라는 용어는 굴절식, 반사식, 자기식, 전자기식, 및 정전식 광학 요소들을 포함하는 다양한 타입의 광학 요소들 중 어느 하나 또는 그 조합을 지칭할 수도 있다.
"전자기"라는 표현은 전기와 자기를 포괄한다.
"전자기 산란 특성"이라는 표현은 반사 및 투과 계수와 스캐터로메트리 측정 파라미터를 포함하며, 이러한 스캐터로메트리 측정 파라미터는, 스펙트럼(파장을 함수로 하는 세기와 같은), 회절 패턴(위치/각도를 함수로 하는 세기), 및 횡자기 편광광과 횡전기 편광광의 상대 세기 및/또는 횡자기 편광광과 횡전기 편광광 간의 위상차를 포함한다. 회절 패턴 자체는 예컨대 반사 계수를 이용하여 계산될 수 있다.
그러므로, 본 발명의 실시예를 반사성 산란에 관련하여 설명하였지만, 본 발명은 또한 투과성 산란에도 적용할 수 있다.
이상에서 본 발명의 특정 실시예들이 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수도 있다는 것을 이해할 수 있을 것이다. 예컨대, 본 발명은 전술한 바와 같은 방법을 기술하는 기기 판독 가능한 명령어의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램을 그 안에 저장하고 있는 데이터 저장 매체(예컨대, 반도체 메모리, 자기 디스크, 또는 광디스크)의 형태를 취할 수 있다.
상기한 설명은 본 발명을 한정하려는 것이 아니라 본 발명을 예시하기 위한 것이다. 그러므로, 당업자라면 아래에 포함되어 있는 청구범위의 요지에서 벗어나지 않고서도 본 발명의 실시예에 대한 수정을 가할 수 있을 것이다.

Claims (21)

  1. 기판 상의 구조물의 하나 이상의 파라미터를 측정하는 방법에 있어서,
    (a) 사전에 정해진 조명 하에서 상기 구조물과 방사선의 상호작용으로 발생하는 검출된 신호를 수신하는 단계;
    (b) 모델 레시피(model recipe)에 따라 상기 구조물의 수학적 모델의 파라미터를 변화시킴으로써 복수의 후보 구조를 생성하는 단계;
    (c) 사전에 정해진 방사선과 각각의 상기 후보 구조의 상호작용을 모델링함으로써 복수의 후보 모델 신호를 계산하는 단계;
    (d) 상기 검출된 신호와 상기 복수의 후보 모델 신호를 비교하여 최상의 매칭 모델 신호를 식별하는 단계; 및
    (e) 상기 (d) 단계에서의 비교 결과에 기초하여, 최상의 매칭 모델 신호에 대응하는 후보 구조의 파라미터를 기반으로 하는 상기 구조물의 하나 이상의 파라미터의 측정치를 보고하는 단계
    를 포함하며,
    상기 모델 레시피는 상기 파라미터의 서브세트 간의 관계를 정의하는 하나 이상의 제약(constraint)을 포함하며, 상기 (b) 단계에서, 상기 후보 구조를 생성할 때에, 상기 제약이 적용되어, 파라미터의 상기 서브세트가 상기 제약에 따라 함께 변화하도록 제약되며, 이에 의해 상기 서브세트의 파라미터를 고정된 파라미터로서 취급하지 않고서도 모델의 자유도의 개수를 감소시키고,
    상기 (b) 단계는,
    (b1) 모델 구조 및 각각의 모델 신호의 기준 집합체(reference collection)를 제공하는 단계로서, 상기 기준 집합체가 모델 레시피에 대해 요구되는 것보다 많은 자유도로 생성되는, 단계;
    (b2) 각각의 후보 모델 레시피가 하나 이상의 제약의 상이한 세트를 포함하고, 각각의 제약이 변화 가능한 파라미터의 서브세트 간의 관계를 정의함으로써 모델의 자유도의 개수를 감소시켜, 상기 서브세트의 파라미터를 고정된 파라미터로서 취급하지 않고서도 모델의 자유도의 개수를 감소시키게 되는, 복수의 후보 모델 레시피를 발생하는 단계;
    (b3) 각각의 후보 모델 레시피를 이용하고, 상기 검출된 신호 대신에 상기 기준 집합체로부터의 복수의 모델 신호로 대체하여, 상기 (c) 단계 내지 상기 (e) 단계를 수행하는 단계; 및
    (b4) 각각의 후보 모델 레시피를 이용하여 획득된 측정된 파라미터 값을, 상기 (b3) 단계에서 이용된 모델 신호에 대응하는 모델 구조의 알려진 파라미터 값과 비교함으로써, 최상의 모델 레시피를 선택하는 단계
    를 포함하는, 기판 상의 구조물의 하나 이상의 파라미터를 측정하는 방법.
  2. 제1항에 있어서,
    상기 서브세트는 상기 변화 가능한 파라미터 중의 3개 이상의 파라미터를 포함하는, 기판 상의 구조물의 하나 이상의 파라미터를 측정하는 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 제약은 상기 서브세트 내의 상기 변화 가능한 파라미터 간의 선형 관계를 정의하는, 기판 상의 구조물의 하나 이상의 파라미터를 측정하는 방법.
  4. 제1항 또는 제2항에 있어서,
    상기 (b) 단계에서, 상기 서브세트 내의 하나 이상의 파라미터가 상기 제약에 따라 종속 파라미터로 지정되며, 각각의 종속 파라미터와 상기 서브세트 내의 다른 파라미터 중의 하나 이상의 파라미터 간에 종속성 관계(dependency relation)가 정의되며, 상기 종속성 관계는, 상기 모델 신호의 계산 전에, 상기 다른 파라미터 또는 파라미터들로부터 종속 파라미터를 계산하기 위해 이용되는, 기판 상의 구조물의 하나 이상의 파라미터를 측정하는 방법.
  5. 삭제
  6. 제1항에 있어서,
    상기 변화 가능한 파라미터 중의 하나 이상의 파라미터의 서브세트는, 상기 측정 방법을 위한 대상이 되는 파라미터로서 지정되며, 상기 (b4) 단계에서, 비교된 상기 측정된 파라미터 값은, 대상으로 하는 지정된 파라미터의 값인, 기판 상의 구조물의 하나 이상의 파라미터를 측정하는 방법.
  7. 제1항 또는 제2항에 있어서,
    상기 수학적 모델은 이 수학적 모델이 갖는 자유도보다 많은 변화 가능한 파라미터로 정의되며, 상기 모델 레시피는 변화 가능한 파라미터들 중의 어떠한 파라미터들 간의 미리결정된 종속성(dependency)을 포함하며, 상기 (b) 단계에 관련하여 언급된 제약은 상기 미리결정된 종속성에 대해 부수적인 것인, 기판 상의 구조물의 하나 이상의 파라미터를 측정하는 방법.
  8. 제1항 또는 제2항에 있어서,
    검출된 회절 신호와 비교하기 전에 사전 저장된 회절 신호의 라이브러리를 생성하기 위해 상기 (b) 단계 및 상기 (c) 단계가 수행되는, 기판 상의 구조물의 하나 이상의 파라미터를 측정하는 방법.
  9. 청구항 1에서 청구된 바와 같은 측정 방법에 이용하기 위한 모델 레시피를 생성하는 방법에 있어서,
    (f) 모델 구조 및 각각의 모델 신호의 기준 집합체(reference collection)를 제공하는 단계로서, 상기 기준 집합체가 모델 레시피에 대해 요구되는 것보다 많은 자유도로 발생되는, 단계;
    (g) 각각의 후보 모델 레시피가 상이한 세트의 하나 이상의 제약을 포함하고, 각각의 제약이 변화 가능한 파라미터의 서브세트 간의 관계를 정의함으로써 모델의 자유도의 개수를 감소시켜, 상기 서브세트의 파라미터를 고정된 파라미터로서 취급하지 않고서도 모델의 자유도의 개수를 감소시키게 되는, 복수의 후보 모델 레시피를 발생하는 단계;
    (h) 각각의 후보 모델 레시피를 이용하고, 상기 검출된 신호 대신에 상기 기준 집합체로부터의 복수의 모델 신호로 대체하여, 청구항 1에서 청구된 바와 같은 측정 방법의 (c) 단계 내지 (e) 단계를 수행하는 단계; 및
    (i) 각각의 후보 모델 레시피를 이용하여 획득된 측정된 파라미터 값을, 상기 (h) 단계에서 이용된 모델 신호에 대응하는 모델 구조의 알려진 파라미터 값과 비교함으로써, 최상의 모델 레시피를 선택하는 단계
    를 포함하는, 모델 레시피 생성 방법.
  10. 제9항에 있어서,
    상기 변화 가능한 파라미터 중의 하나 이상의 파라미터의 서브세트는, 상기 측정 방법을 위한 대상이 되는 파라미터로서 지정되며, 상기 (b4) 단계에서, 비교된 상기 측정된 파라미터 값은, 대상으로 하는 지정된 파라미터의 값인, 모델 레시피 생성 방법.
  11. 기판 상의 구조물의 하나 이상의 파라미터를 측정하는 검사 장치에 있어서,
    - 하나 이상의 방사선 빔으로 상기 구조물을 조명하는 조명 시스템;
    - 상기 방사선과 상기 구조물 간의 상호작용으로 발생하는 신호를 검출하는 검출 시스템; 및
    - 검출된 신호를 복수의 모델 신호와 비교하여, 최상의 매칭 모델 신호를 식별하는 프로세서
    를 포함하며,
    상기 프로세서는, 형상 및 재료 특성이 수학적 모델의 복수의 파라미터에 의해 표현되는 각각의 후보 구조에 대한 참조에 의해 각각의 상기 모델 신호를 생성하도록 구성되며, 각각의 후보 구조는 상기 파라미터 중의 하나 이상에 있어서 다른 후보 구조와는 상이하며, 상기 프로세서는 상기 파라미터의 서브세트 간의 관계를 정의하는 하나 이상의 제약을 포함하는 모델 레시피에 대한 참조에 의해 각각의 상기 후보 구조를 생성하도록 구성되며, 상기 프로세서는 상기 후보 구조의 생성에 상기 제약을 적용하여, 파라미터의 상기 서브세트가 상기 제약에 따라 함께 변화하도록 제약되게 하며, 이에 의해 상기 서브세트의 파라미터를 고정된 파라미터로서 취급하지 않고서도 모델의 자유도의 개수를 감소시키고,
    상기 프로세서는 청구항 1에서 청구된 바와 같은 방법의 단계들을 수행하도록 구성되는, 기판 상의 구조물의 하나 이상의 파라미터를 측정하는 검사 장치.
  12. 기판 상의 구조물의 하나 이상의 파라미터를 측정하기 위한 검사 장치로서, 상기 검사 장치는:
    - 하나 이상의 방사선 빔으로 상기 구조물을 조명하는 조명 시스템;
    - 상기 방사선과 상기 구조물 간의 상호작용으로 발생하는 신호를 검출하는 검출 시스템; 및
    - 검출된 신호를 복수의 모델 신호와 비교하여, 최상의 매칭 모델 신호를 식별하는 프로세서
    를 포함하며,
    상기 프로세서는, 형상 및 재료 특성이 수학적 모델의 복수의 파라미터에 의해 표현되는 각각의 후보 구조에 대한 참조에 의해 각각의 상기 모델 신호를 생성하도록 구성되며, 각각의 후보 구조는 상기 파라미터 중의 하나 이상에 있어서 다른 후보 구조와는 상이하며, 상기 프로세서는 상기 파라미터의 서브세트 간의 관계를 정의하는 하나 이상의 제약을 포함하는 모델 레시피에 대한 참조에 의해 각각의 상기 후보 구조를 생성하도록 구성되며, 상기 프로세서는 상기 후보 구조의 생성에 상기 제약을 적용하여, 파라미터의 상기 서브세트가 상기 제약에 따라 함께 변화하도록 제약되게 하며, 이에 의해 상기 서브세트의 파라미터를 고정된 파라미터로서 취급하지 않고서도 모델의 자유도의 개수를 감소시키고,
    상기 프로세서는 또한 청구항 9에서 청구된 바와 같은 방법에 의해 상기 모델 레시피를 생성하도록 구성되는, 기판 상의 구조물의 하나 이상의 파라미터를 측정하기 위한 검사 장치.
  13. 삭제
  14. 기기 판독 가능한 명령어의 하나 이상의 시퀀스를 포함하고 있는 컴퓨터 판독가능 매체로서, 상기 명령어는, 프로세서로 하여금, 기판 상의 구조물로부터 검출된 신호와 비교하기 위한 복수의 모델 신호를 생성하는데 사용하기 위한 모델 레시피를 결정하도록 하며, 상기 복수의 모델 신호가 상기 조명에 의해 복수의 후보 구조의 각각의 후보 구조의 조명을 시뮬레이션함으로써 계산되며, 상기 명령어는 사용 시에 상기 프로세서로 하여금 청구항 9에서 청구된 바와 같은 방법의 단계를 수행하도록 하는, 컴퓨터 판독가능 매체.
  15. 기판 상의 구조물의 하나 이상의 파라미터를 측정하는 방법에 있어서,
    (m) 형상 및 재료 특성이 복수의 자유도와 함께 변화할 수 있는 복수의 파라미터에 의해 표현되는, 상기 구조물의 수학적 모델을 정의하는 단계;
    (n) 상기 파라미터 중의 어느 것이 고정된 파라미터로서 취급될지와 상기 파라미터 중의 어느 것이 변화 가능한 파라미터로서 취급될지를 지정함으로써 모델 레시피를 정의하는 단계;
    (o) 상기 구조물을 하나 이상의 방사선 빔으로 조명하고, 상기 방사선과 상기 구조물 간의 상호작용으로 발생하는 신호를 검출하는 단계;
    (p) 모델 레시피에 대한 참조에 의해 복수의 후보 모델 구조를 생성하는 단계로서, 각각의 후보 구조가, 다른 후보 구조와는, 상기 변화 가능한 파라미터 중의 하나 이상이 상이하게 되는, 단계;
    (r) 상기 방사선과 각각의 상기 후보 구조 간의 상호작용을 시뮬레이션함으로써 복수의 모델 신호를 계산하는 단계;
    (s) 검출된 신호를 상기 모델 신호 중의 적어도 일부와 비교하는 단계; 및
    (t) 상기 (s) 단계에서의 비교 결과에 기초하여, 최상의 매칭 모델 신호를 식별하고, 상기 최상의 매칭 모델 신호에 대응하는 후보 구조의 파라미터에 기초하여 상기 구조물의 하나 이상의 파라미터의 측정치를 보고하는 단계
    를 포함하며,
    상기 (n) 단계에서 생성된 상기 모델 레시피는 또한 상기 변화 가능한 파라미터의 서브세트 간의 관계를 정의하는 하나 이상의 제약을 포함하여, 상기 서브세트의 파라미터를 고정된 파라미터로서 취급하지 않고서도 모델의 자유도의 개수를 감소시키게 하며, 상기 (p) 단계에서, 상기 후보 구조를 생성할 때에, 상기 제약이 적용되어, 파라미터의 상기 서브세트가 상기 제약에 따라 함께 변화하도록 제약되고,
    상기 (n) 단계는,
    (n1) 모델 구조 및 각각의 모델 신호의 기준 집합체(reference collection)를 제공하는 단계로서, 상기 기준 집합체가 모델 레시피에 대해 요구되는 것보다 많은 자유도로 생성되는, 단계;
    (n2) 각각의 후보 모델 레시피가 하나 이상의 제약의 상이한 세트를 포함하고, 각각의 제약이 상기 변화 가능한 파라미터의 서브세트 간의 관계를 정의함으로써 모델의 자유도의 개수를 감소시켜, 상기 서브세트의 파라미터를 고정된 파라미터로서 취급하지 않고서도 모델의 자유도의 개수를 감소시키게 되는, 복수의 후보 모델 레시피를 발생하는 단계;
    (n3) 각각의 후보 모델 레시피를 이용하고, 상기 검출된 신호 대신에 상기 기준 집합체로부터의 복수의 모델 신호로 대체하여, 상기 (p) 단계 내지 상기 (t) 단계를 수행하는 단계; 및
    (n4) 각각의 후보 모델 레시피를 이용하여 획득된 측정된 파라미터 값을, 상기 (n3) 단계에서 이용된 모델 신호에 대응하는 모델 구조의 알려진 파라미터 값과 비교함으로써, 최상의 모델 레시피를 선택하는 단계
    를 포함하는, 기판 상의 구조물의 하나 이상의 파라미터를 측정하는 방법.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
KR1020137000278A 2010-06-04 2011-05-03 기판 상의 구조물의 측정 KR101488802B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US35152710P 2010-06-04 2010-06-04
US61/351,527 2010-06-04
PCT/EP2011/056999 WO2011151121A1 (en) 2010-06-04 2011-05-03 Measurement of a structure on a substrate

Publications (2)

Publication Number Publication Date
KR20130025941A KR20130025941A (ko) 2013-03-12
KR101488802B1 true KR101488802B1 (ko) 2015-02-04

Family

ID=45066198

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137000278A KR101488802B1 (ko) 2010-06-04 2011-05-03 기판 상의 구조물의 측정

Country Status (8)

Country Link
US (1) US9977340B2 (ko)
JP (1) JP5739988B2 (ko)
KR (1) KR101488802B1 (ko)
CN (1) CN102918464B (ko)
IL (1) IL223204A (ko)
NL (1) NL2006700A (ko)
TW (1) TWI445920B (ko)
WO (1) WO2011151121A1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170117191A (ko) * 2015-03-05 2017-10-20 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
KR101850407B1 (ko) 2013-08-13 2018-04-19 에이에스엠엘 네델란즈 비.브이. 구조체의 관심 파라미터 값의 재구성의 품질을 평가하는 방법, 검사 장치 및 컴퓨터 프로그램 제품
KR20190112204A (ko) * 2015-04-10 2019-10-02 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
KR102147170B1 (ko) * 2019-07-17 2020-08-25 한국과학기술연구원 극소각 중성자 산란 장치를 이용한 선형 패턴 측정 방법
KR20210135416A (ko) * 2020-05-04 2021-11-15 어플라이드 머티리얼즈 이스라엘 리미티드 시편의 검사를 위한 알고리즘 모듈들의 자동 선택

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8386976B2 (en) * 2007-02-15 2013-02-26 United Microelectronics Corp. Method for producing layout of semiconductor integrated circuit with radio frequency devices
NL2008957A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US10255385B2 (en) 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US9011202B2 (en) * 2012-04-25 2015-04-21 Applied Materials, Inc. Fitting of optical model with diffraction effects to measured spectrum
US8869081B2 (en) * 2013-01-15 2014-10-21 International Business Machines Corporation Automating integrated circuit device library generation in model based metrology
US9262726B2 (en) * 2013-01-17 2016-02-16 Applied Materials, Inc. Using radial basis function networks and hyper-cubes for excursion classification in semi-conductor processing equipment
US9910953B2 (en) 2013-03-04 2018-03-06 Kla-Tencor Corporation Metrology target identification, design and verification
US8856698B1 (en) * 2013-03-15 2014-10-07 Globalfoundries Inc. Method and apparatus for providing metric relating two or more process parameters to yield
US10386729B2 (en) * 2013-06-03 2019-08-20 Kla-Tencor Corporation Dynamic removal of correlation of highly correlated parameters for optical metrology
JP2015025759A (ja) * 2013-07-26 2015-02-05 Hoya株式会社 基板検査方法、基板製造方法および基板検査装置
US9412673B2 (en) * 2013-08-23 2016-08-09 Kla-Tencor Corporation Multi-model metrology
KR101846320B1 (ko) * 2013-09-09 2018-05-18 에이에스엠엘 네델란즈 비.브이. 구조체의 전자기 산란 특성들을 계산하고 근사 구조체들을 재구성하는 장치 및 방법
CN104570616B (zh) * 2013-10-29 2017-06-27 上海微电子装备有限公司 一种自参考散射测量装置及方法
US20150120220A1 (en) * 2013-10-29 2015-04-30 Kla-Tencor Corporation Detecting IC Reliability Defects
FR3012894B1 (fr) * 2013-11-07 2017-02-17 Commissariat Energie Atomique Systeme informatique pour l'exploitation de mesures heterogenes issues de differents appareils de metrologie en vue de l'estimation de valeurs de caracteristiques de dispositifs microelectroniques, procede et programme d'ordinateur correspondants
US10895810B2 (en) * 2013-11-15 2021-01-19 Kla Corporation Automatic selection of sample values for optical metrology
KR101882892B1 (ko) * 2013-12-05 2018-07-27 에이에스엠엘 네델란즈 비.브이. 기판 상의 구조체를 측정하는 방법 및 장치, 오차 보정을 위한 모델, 이러한 방법 및 장치를 구현하기 위한 컴퓨터 프로그램 제품
US10036962B2 (en) 2013-12-13 2018-07-31 Asml Netherlands B.V. Inspection apparatus and methods, lithographic system and device manufacturing method
NL2013810A (en) 2013-12-19 2015-06-22 Asml Netherlands Bv Inspection method and apparatus and lithographic apparatus.
JP6291581B2 (ja) * 2013-12-30 2018-03-14 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーターゲットの設計のための方法及び装置
NL2014071A (en) 2014-02-03 2015-08-06 Asml Netherlands Bv Metrology method and apparatus, substrate, lithographic system and device manufacturing method.
US9076688B1 (en) * 2014-03-10 2015-07-07 Globalfoundries Inc. Scatterometry for nested and isolated structures
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US10302414B2 (en) 2014-09-14 2019-05-28 Nova Measuring Instruments Ltd. Scatterometry method and system
CN112331576A (zh) * 2014-10-03 2021-02-05 科磊股份有限公司 验证计量目标及其设计
WO2016067296A1 (en) * 2014-11-02 2016-05-06 Nova Measuring Instruments Ltd. Method and system for optical metrology in patterned structures
CN112698551B (zh) * 2014-11-25 2024-04-23 科磊股份有限公司 分析及利用景观
US9671218B2 (en) * 2014-12-22 2017-06-06 National Chung Shan Institute Of Science And Technology Device and method of quick subpixel absolute positioning
JP6602388B6 (ja) * 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
KR102353145B1 (ko) * 2015-04-10 2022-01-18 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
JP6466797B2 (ja) * 2015-07-24 2019-02-06 株式会社Screenホールディングス データ補正装置、描画装置、検査装置、データ補正方法、描画方法、検査方法およびプログラム
JP6466277B2 (ja) * 2015-07-27 2019-02-06 株式会社Screenホールディングス データ補正装置、描画装置、検査装置、データ補正方法、描画方法、検査方法およびプログラム
KR102098034B1 (ko) * 2015-08-12 2020-04-08 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법 및 제조 방법
CN108027571B (zh) * 2015-08-27 2020-06-23 Asml荷兰有限公司 光刻设备和器件制造方法
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
CN108292107B (zh) * 2015-09-28 2020-12-08 Asml荷兰有限公司 二维或三维形状的分层式表示
KR102104843B1 (ko) 2015-10-02 2020-04-28 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
NL2017510A (en) * 2015-10-12 2017-04-24 Asml Netherlands Bv Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method
JP6738423B2 (ja) * 2015-12-17 2020-08-12 エーエスエムエル ネザーランズ ビー.ブイ. 測定を向上させるための非対称なサブ分解能フィーチャを用いるリソグラフィプロセスの光学計測
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10546790B2 (en) 2016-03-01 2020-01-28 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
JP6394630B2 (ja) * 2016-03-17 2018-09-26 株式会社豊田中央研究所 情報処理装置、方法、及びプログラム
CN109073568B (zh) 2016-04-29 2022-01-11 Asml荷兰有限公司 用于确定结构的特性的方法和装置、器件制造方法
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
EP3458838A4 (en) * 2016-05-19 2020-03-04 The Regents of The University of Colorado, A Body Corporate MODULUS-REINFORCED PROBE
US10983440B2 (en) * 2016-05-23 2021-04-20 Asml Netherlands B.V. Selection of substrate measurement recipes
WO2017211545A1 (en) 2016-06-09 2017-12-14 Asml Netherlands B.V. Metrology apparatus
EP3279735A1 (en) * 2016-08-01 2018-02-07 ASML Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
KR102194154B1 (ko) * 2016-09-01 2020-12-22 주식회사 히타치하이테크 패턴 계측 장치
WO2018069015A1 (en) 2016-10-14 2018-04-19 Asml Netherlands B.V. Selecting a set of locations associated with a measurement or feature on a substrate
EP3318927A1 (en) 2016-11-04 2018-05-09 ASML Netherlands B.V. Method and apparatus for measuring a parameter of a lithographic process, computer program products for implementing such methods & apparatus
KR101885619B1 (ko) * 2016-12-29 2018-08-06 한국과학기술원 출구 재귀 모델을 이용한 웨이퍼 제조 공정 레벨의 포토리소그래피 클러스터 장치 시뮬레이션을 제공하는 시뮬레이션 장치 및 이를 이용한 시뮬레이션 방법
US20180239851A1 (en) * 2017-02-21 2018-08-23 Asml Netherlands B.V. Apparatus and method for inferring parameters of a model of a measurement structure for a patterning process
US11016396B2 (en) 2017-05-04 2021-05-25 Asml Holding N.V Method, substrate and apparatus to measure performance of optical metrology
WO2018215177A1 (en) * 2017-05-24 2018-11-29 Asml Netherlands B.V. Method of measuring a parameter of interest, inspection apparatus, lithographic system and device manufacturing method
KR102488912B1 (ko) * 2017-07-12 2023-01-17 에이에스엠엘 네델란즈 비.브이. 결함 예측
EP3435161A1 (en) * 2017-07-24 2019-01-30 ASML Netherlands B.V. Determining an edge roughness parameter of a periodic structure
EP3457211A1 (en) * 2017-09-13 2019-03-20 ASML Netherlands B.V. A method of aligning a pair of complementary diffraction patterns and associated metrology method and apparatus
EP3492985A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Method of determining information about a patterning process, method of reducing error in measurement data, method of calibrating a metrology process, method of selecting metrology targets
EP3531205A1 (en) * 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
EP3553602A1 (en) * 2018-04-09 2019-10-16 ASML Netherlands B.V. Model based reconstruction of semiconductor structures
WO2019214909A1 (en) * 2018-05-07 2019-11-14 Asml Netherlands B.V. Method for determining an electromagnetic field associated with a computational lithography mask model
TWI791196B (zh) * 2018-05-24 2023-02-01 荷蘭商Asml荷蘭公司 判定基板之堆疊組態之方法及其相關非暫時性電腦可讀媒體
IL281060B2 (en) * 2018-08-28 2023-11-01 Asml Netherlands Bv Methods and systems of optimal meteorological guidance
WO2020114684A1 (en) * 2018-12-03 2020-06-11 Asml Netherlands B.V. Method of manufacturing devices
EP3709082A1 (en) * 2019-03-14 2020-09-16 ASML Netherlands B.V. Metrology method
WO2020182468A1 (en) * 2019-03-14 2020-09-17 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
US11222160B2 (en) * 2019-06-07 2022-01-11 Synopsys, Inc. Mask rule checking for curvilinear masks for electronic circuits
KR20220103713A (ko) * 2019-11-28 2022-07-22 에베 그룹 에. 탈너 게엠베하 기판 측정 장치 및 방법
CN111595251A (zh) * 2020-07-01 2020-08-28 上海艾康特医疗科技有限公司 测量接触镜的参数的方法
CN113029024B (zh) * 2021-03-01 2021-11-16 长鑫存储技术有限公司 半导体结构的测量方法及设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040017575A1 (en) * 2002-07-25 2004-01-29 Raghu Balasubramanian Optimized model and parameter selection for optical metrology
JP2005534192A (ja) 2002-07-25 2005-11-10 ティンバー テクノロジーズ,インコーポレイティド 光学的測定のためのモデルとパラメータの選択
EP1628164A2 (en) * 2004-08-16 2006-02-22 ASML Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterisation
JP2009222627A (ja) 2008-03-18 2009-10-01 Advanced Mask Inspection Technology Kk パターン検査方法、パターン検査装置及びプログラム

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6609086B1 (en) 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
US20040181768A1 (en) * 2003-03-12 2004-09-16 Krukar Richard H. Model pattern simulation of semiconductor wafer processing steps
US20040267397A1 (en) 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
US7523076B2 (en) * 2004-03-01 2009-04-21 Tokyo Electron Limited Selecting a profile model for use in optical metrology using a machine learning system
US7388677B2 (en) 2004-03-22 2008-06-17 Timbre Technologies, Inc. Optical metrology optimization for repetitive structures
US7522293B2 (en) 2006-03-30 2009-04-21 Tokyo Electron Limited Optical metrology of multiple patterned layers
US7460237B1 (en) * 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040017575A1 (en) * 2002-07-25 2004-01-29 Raghu Balasubramanian Optimized model and parameter selection for optical metrology
JP2005534192A (ja) 2002-07-25 2005-11-10 ティンバー テクノロジーズ,インコーポレイティド 光学的測定のためのモデルとパラメータの選択
EP1628164A2 (en) * 2004-08-16 2006-02-22 ASML Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterisation
JP2009222627A (ja) 2008-03-18 2009-10-01 Advanced Mask Inspection Technology Kk パターン検査方法、パターン検査装置及びプログラム

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101850407B1 (ko) 2013-08-13 2018-04-19 에이에스엠엘 네델란즈 비.브이. 구조체의 관심 파라미터 값의 재구성의 품질을 평가하는 방법, 검사 장치 및 컴퓨터 프로그램 제품
KR20170117191A (ko) * 2015-03-05 2017-10-20 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
KR102030100B1 (ko) 2015-03-05 2019-10-08 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
KR20190112204A (ko) * 2015-04-10 2019-10-02 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
KR102145256B1 (ko) 2015-04-10 2020-08-19 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
KR20200098726A (ko) * 2015-04-10 2020-08-20 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
KR102377411B1 (ko) 2015-04-10 2022-03-21 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
KR102147170B1 (ko) * 2019-07-17 2020-08-25 한국과학기술연구원 극소각 중성자 산란 장치를 이용한 선형 패턴 측정 방법
KR20210135416A (ko) * 2020-05-04 2021-11-15 어플라이드 머티리얼즈 이스라엘 리미티드 시편의 검사를 위한 알고리즘 모듈들의 자동 선택
KR102360769B1 (ko) 2020-05-04 2022-02-14 어플라이드 머티리얼즈 이스라엘 리미티드 시편의 검사를 위한 알고리즘 모듈들의 자동 선택

Also Published As

Publication number Publication date
IL223204A0 (en) 2013-02-03
IL223204A (en) 2016-12-29
CN102918464A (zh) 2013-02-06
KR20130025941A (ko) 2013-03-12
US20120123748A1 (en) 2012-05-17
WO2011151121A1 (en) 2011-12-08
JP5739988B2 (ja) 2015-06-24
JP2013534044A (ja) 2013-08-29
TW201207356A (en) 2012-02-16
CN102918464B (zh) 2015-05-13
US9977340B2 (en) 2018-05-22
TWI445920B (zh) 2014-07-21
NL2006700A (en) 2011-12-06

Similar Documents

Publication Publication Date Title
KR101488802B1 (ko) 기판 상의 구조물의 측정
US11320745B2 (en) Measuring a process parameter for a manufacturing process involving lithography
US9772562B2 (en) Method and apparatus for measuring a structure on a substrate, models for error correction, computer program products for implementing such methods and apparatus
KR101898087B1 (ko) 메트롤로지 타겟의 디자인을 위한 장치 및 방법
TWI430333B (zh) 決定疊對誤差之方法及裝置
US9704810B2 (en) Method and apparatus for determining an overlay error
US9760018B2 (en) Method and inspection apparatus and computer program product for assessing a quality of reconstruction of a value of a parameter of interest of a structure
TWI559099B (zh) 用於設計度量衡目標之方法及裝置
US9541500B2 (en) Method for calibrating a manufacturing process model
US10296692B2 (en) Method and apparatus for design of a metrology target
KR20170085116A (ko) 계측 방법, 컴퓨터 제품 및 시스템
TW201531810A (zh) 用於設計度量衡目標之方法及裝置
NL2004542A (en) Method of determining overlay error and a device manufacturing method.
US8804123B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8875078B2 (en) Reference library generation method for methods of inspection, inspection apparatus and lithographic apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180119

Year of fee payment: 4