KR101425237B1 - 인-시츄 웨이퍼 온도 측정 및 제어 - Google Patents

인-시츄 웨이퍼 온도 측정 및 제어 Download PDF

Info

Publication number
KR101425237B1
KR101425237B1 KR1020097005081A KR20097005081A KR101425237B1 KR 101425237 B1 KR101425237 B1 KR 101425237B1 KR 1020097005081 A KR1020097005081 A KR 1020097005081A KR 20097005081 A KR20097005081 A KR 20097005081A KR 101425237 B1 KR101425237 B1 KR 101425237B1
Authority
KR
South Korea
Prior art keywords
substrate
temperature
chamber
substrate temperature
light
Prior art date
Application number
KR1020097005081A
Other languages
English (en)
Other versions
KR20090051092A (ko
Inventor
키스 가프
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090051092A publication Critical patent/KR20090051092A/ko
Application granted granted Critical
Publication of KR101425237B1 publication Critical patent/KR101425237B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K11/00Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00
    • G01K11/20Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00 using thermoluminescent materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

대체로, 본 발명의 실시형태는, 인-시츄 웨이퍼 온도 측정 방법 및 장치를 제공함으로써 필요성을 만족시킨다. 인-시츄 기판 온도 측정 방법 및 장치는, 순간의 웨이퍼 온도 정보를 제공하여 에칭 프로세스의 연속적인 모니터링을 허용한다. 또한, 이 방법 및 장치는, 순간의 기판 온도 제어를 허용하여 웨이퍼-대-웨이퍼 및 챔버-대-챔버 프로세스 분포를 엄격하게 한다. 일 예시적인 클러스터 툴 시스템이 제공된다. 클러스터 툴 시스템은, 기판 온도를 나타내는 신호를 방사할 수 있는 기판을 홀딩하기 위한 기판 홀딩 스테이션, 및 기판 홀딩 스테이션으로부터 기판을 수용하고 그 기판이 프로세싱 챔버 내에 있을 때 액티브 프로세스 동작을 런-스루하도록 구성된 프로세싱 챔버를 포함한다. 또한, 클러스터 툴 시스템은, 프로세싱 챔버가 액티브 프로세스 동작을 런-스루할 때 기판에 의해 방사된 신호를 검출하고, 기판 온도를 나타내는 방사된 신호를 수집하도록 구성된 신호 검출기를 포함한다.
Figure R1020097005081
정전척, 광파이프, 광원, 검출기, 챔버 제어기, 분석기, 기판 온도 범위

Description

인-시츄 웨이퍼 온도 측정 및 제어{IN-SITU WAFER TEMPERATURE MEASUREMENT AND CONTROL}
배경
반도체 산업이 계속해서 피쳐 사이즈를 축소시키기 때문에, CD (임계 치수; Critical Dimension) 의 제어가 더욱더 중요해지고 웨이퍼에 걸친 CD 변동에 대한 제한이 실질적으로 더 엄격해진다. 반도체 플라즈마 에칭 프로세스의 경우, 제조 스루풋 목표에 도달하기 위해 종종 다수의 플라즈마 에칭 챔버가 사용된다. 엄격한 요건을 충족시키기 위해서는, 에칭 프로세스의 챔버 대 챔버 매칭 및 일관된 챔버 에칭 성능의 유지가 양호한 CD 제어를 획득하는데 있어서 결정적이다.
선폭과 같은 에칭 프로파일 CD 는, 많은 요인들에 의해 영향을 받지만, 보통은 포토리소그래피 및 에칭 프로세스에 의해 가장 영향을 받는다. 에칭 프로세스 중에, 기판 온도는 에칭 레이트 및 에칭된 프로파일에 강하게 영향을 미친다. CD 의 엄격한 제어를 달성하기 위해서는, 기판 온도가 신중히 모니터링 및 제어되어야 한다.
종래, 에칭 프로세스 챔버에서의 기판 온도는 인-시츄로 모니터링되지 않는다. 기판 온도는 때때로, 새로운 프로세스를 시작하기 전에 프로세스 온도를 교정 (calibrate) 하도록 하드웨어 개발 중에 또는 하드웨어 유지보수 (maintenance) 중에 측정된다. 통상, 기판 온도는, 정전척 (ESC) 온도를 제어 함으로써 간접적으로 제어된다. 그러나, 기판 온도는, ESC 의 열전달 계수와 비교해, 웨이퍼와 ESC 의 표면 사이에서의 열전달을 위한 열전달 계수가 비교적 낮기 때문에 에칭 프로세스 중에 ESC 의 표면보다 60℃ 정도 더 뜨거울 수 있다. 따라서, ESC 온도의 측정은, 기판 온도의 정확한 제어를 실행불가능하게 만든다.
상술된 것처럼, 기판 온도는 때때로, 프로세스 설정치 (settings) 를 조정하기 위해 하드웨어 개발 중에 또는 하드웨어 유지보수 중에 측정된다. 그러나, 플라즈마 에칭 중에, 기판을 지지하도록 사용된 정전척 (ESC) 은, 에칭 프로세스에 사용된 프로세스 화학물 (process chemistries) 로 인해 표면 거칠기의 변화를 경험할 수 있다. 따라서, 표면 거칠기의 변화는, 장비 및 프로세스 설정치가 여전히 변화하지 않은 채로 남아 있을 때에도, 시간에 따라 기판 (또는, 웨이퍼) 온도 드리프트를 야기하는, ESC 와 웨이퍼 간의 접촉을 변화시킬 수 있다. 추가 문제로서, 이러한 온도 드리프트는, 챔버-대-챔버 변동의 원인이 되고, 다수의 챔버로부터 일관된 에칭 결과를 획득하는데 있어서 어려움을 증대시킨다.
전술한 것을 고려하면, 제조 중의 기판 온도의 변화 또는 차이를 보상하기 위해 자동화된 인-시츄 기판 온도 측정 및 자동화된 챔버 프로세스 파라미터 조정의 메커니즘이 필요하다. 인-시츄 온도 측정 메커니즘 및 자동화된 프로세스 파라미터 조정은, 엄격한 CD 제어가 진보된 반도체 제조를 위한 엄격한 CD 요건을 충족시키게 할 것이다.
개요
대체로, 본 발명의 실시형태들은, 에칭 프로세싱 툴에 의한 자동화된 프로세 스 파라미터 조정을 위해 자동화된 인-시츄 웨이퍼 (또는, 기판) 온도 측정 방법 및 장치를 제공함으로써 필요성을 만족시킨다. 인-시츄 웨이퍼 온도 측정 방법 및 장치는, 순간 (instant) 의 웨이퍼 온도 정보를 제공하여, 에칭 프로세스의 연속적인 모니터링을 허용하고 엄격한 CD 제어를 허용한다. 본 발명은, 프로세스, 장치, 또는 시스템을 포함하여, 다수의 방식으로 구현될 수 있다는 것을 알아야 한다. 본 발명의 여러 신규한 실시형태들이 이하 설명된다.
일 실시형태에서, 프로세싱 챔버가 제공된다. 프로세싱 챔버는, 적어도 하나의 광파이프 (light pipe) 를 포함하는 정전척을 포함하며, 그 정전척은 기판의 이면 상의 적어도 하나의 개소 위에 감광성 및 감온성 물질을 갖는 기판을 수용할 수 있다. 또한, 프로세싱 챔버는, 적어도 하나의 광파이프에 커플링된 광원을 포함하며, 그 광원은, 적어도 하나의 광파이프에 광을 공급하여, 기판이 정전척 위에 존재할 때 기판의 이면 상의 적어도 하나의 개소에 광을 인가하도록 구성된다.
프로세싱 챔버는, 광파이프에 커플링된 검출기를 더 포함하며, 그 검출기는, 기판의 이면 상의 적어도 하나의 개소 위에서 기판 온도를 결정하기 위해, 기판의 이면 상의 적어도 하나의 개소 위의 감광성 및 감온성 물질로부터 방사된 광을 수집하도록 구성된다. 또한, 프로세싱 챔버는, 결정된 기판 온도에 기초하여 정전척의 온도 제어 파라미터를 조정하는 챔버 제어기를 포함하여 정전척 위에서 프로세싱될 복수의 기판에 대해 원하는 기판 온도 범위를 유지한다.
다른 실시형태에서, 클러스터 툴 시스템이 제공된다. 클러스터 툴 시스 템은, 기판 온도를 나타내는 신호를 방사할 있는 기판을 홀딩하기 위한 기판 홀딩 스테이션 (substrate holding station), 및 기판 홀딩 스테이션으로부터 기판을 수용하고 기판이 프로세싱 챔버 내에 있을 때 액티브 프로세스 동작을 런-스루 (run-through) 하도록 구성된 프로세싱 챔버를 포함한다. 또한, 클러스터 툴 시스템은, 프로세싱 챔버가 액티브 프로세스 동작을 런-스루할 때 기판에 의해 방사된 신호를 검출하기 위한 신호 검출기를 포함하며, 그 신호 검출기는, 기판 온도를 나타내는 방사된 신호를 수집하도록 구성된다.
또 다른 실시형태에서, 프로세스 챔버에서의 기판의 프로세싱 중에 기판 온도를 인-시츄로 모니터링 및 제어하는 방법이 제공된다. 이 방법은, 프로세스 챔버에 기판을 배치하는 단계, 및 프로세스 챔버에서 프로세싱 시퀀스를 개시하는 단계를 포함한다. 또한, 이 방법은, 기판의 하나 이상의 구역에 걸쳐 하나 이상의 기판 프로세싱 온도를 결정하기 위해 기판으로부터의 온도 측정 신호를 수집하는 단계, 및 하나 이상의 기판 프로세싱 온도가 제어 범위 내에 있는지를 결정하는 단계를 포함한다.
본 발명의 다른 양태 및 이점은, 본 발명의 원리를 일 예로 설명하는, 첨부 도면을 참조하여 얻어진 다음의 상세한 설명으로부터 명백해질 것이다.
도면의 간단한 설명
본 발명은, 첨부 도면과 관련한 다음의 상세한 설명에 의해 쉽게 이해될 것이며, 유사한 참조 번호는 유사한 구조적 엘리먼트를 나타낸다.
도 1a 는, 프로세스 챔버에서 프로세스 온도 측정을 위해 인-시츄로 사용된 기판의 일 실시형태의 개략 단면도이다.
도 1b 는, 시간 (t) 의 함수에 따른 형광 방사 강도 (I) 감쇠를 나타낸 도면이다.
도 1c 는, 온도의 함수에 따른 τ 의 예시적인 플롯을 나타낸 도면이다.
도 1d 는, 기판 온도 모니터링 및 제어 구역의 다른 예를 나타낸 도면이다.
도 1e 는, 기판 온도 모니터링 및 제어 구역의 다른 예를 나타낸 도면이다.
도 1f 는, 기판 온도 모니터링 및 제어 구역의 또 다른 예를 나타낸 도면이다.
도 2 는, 프로세스 챔버, 및 프로세스 온도 측정을 위해 사용된 기판을 홀딩하기 위한 스테이션 또는 로드 락을 가진 클러스터 툴을 나타낸 도면이다.
도 3a 는, 인-시츄 프로세스 온도 측정을 위해 기판을 이용한 하드웨어 유지보수 중의 기판 온도 교정 프로세스의 프로세스 플로우를 나타낸 도면이다.
도 3b 는, 인-시츄 프로세스 온도 측정을 위해 기판을 이용한 프로세스 중에 기판 온도를 모니터링 및 제어하는 프로세스 플로우를 나타낸 도면이다.
도 4 는, 일 예시적인 폴리실리콘 에칭 프로세스에 대한 시간의 함수에 따른 기판 온도 변동의 플롯을 나타낸 도면이다.
도 5a 는, 프로세스 챔버에서 프로세스 온도 측정을 위해 인-시츄로 사용된 기판의 다른 실시형태의 개략 단면도이다.
도 5b 는, 도 5a 에서의 인-시츄 온도 측정을 위해 사용된 기판의 개략 단면도이다.
도 5c 는, 도 5a 에서의 인-시츄 온도 측정을 위해 사용된 기판을 홀딩하기 위한 기판 홀딩 챔버의 일 실시형태를 나타낸 도면이다.
도 5d 는, 도 5a 에서의 인-시츄 온도 측정을 위해 사용된 기판을 홀딩하기 위한 기판 홀딩 챔버의 다른 실시형태를 나타낸 도면이다.
예시적인 실시형태들의 상세한 설명
이제, 인-시츄 웨이퍼 (또는, 기판) 온도 측정 및 제어 시스템, 방법 및 장치에 대한 여러 예시적인 실시형태들이 설명될 것이다. 본 발명이 본원에 기술된 특정 세부사항의 일부 또는 전부 없이 실시될 수도 있음이 당업자에게 명백할 것이다.
상술된 것처럼, 정전척 (ESC) 온도를 제어함으로써 기판 온도를 간접적으로 제어하는 종래의 방식은, ESC 표면 온도가 기판 온도보다 훨씬 더 낮기 때문에 진보된 에칭 프로세스에 대해서는 영향을 미치지 않는다. 이것은, ESC 의 열전달 계수와 비교해, 웨이퍼와 ESC 의 표면 사이에서의 열전달을 위한 열전달 계수가 비교적 낮기 때문인 것으로, 이는 기판의 온도 변화에 상당히 기여한다. 그 결과, 에칭 프로세스 화학물로 인한 ESC 표면 거칠기의 변화는, 기판-대-기판으로부터 및 챔버-대-챔버로부터 ESC 와 기판 사이의 온도 상관관계를 악화시킨다. 프로세싱 조건 하의 효과적인 인-시츄 기판 온도 측정 및 제어 방법 및 장치는, 순간의 기판 온도 정보를 제공할 수 있고, 순간의 기판 온도 제어를 제공하여 제조 기판 온도를 엄격한 제어 한계 내로 유지할 수 있다. 향상된 온도 제어로, 웨이퍼-대-웨이퍼 및 챔버-대-챔버 프로세스 변동이 최소화될 수 있다.
도 1a 는, 프로세싱 중에 기판 온도를 인-시츄로 측정하도록, 프로세스 챔버 (100) 에, 기판 지지체 (160) 상에 배치될 수 있는 기판 (150) 을 제공하는 본 발명의 일 실시형태를 나타낸다. 기판 (150) 의 이면 상에는, YAG (Yttrium Aluminium Garnet) 또는 다른 희토류 도핑된 세라믹스 (rare earth doped ceramics) 와 같은 감광성 물질 (155) 의 층으로 커버된 장소가 있는데, 이 장소는 광 및 기판 온도 변화에 민감하다. 감광성 물질 (155) 은, 프로세싱 온도(들)에 관한 범위에서 온도 변화에 민감한 물질(들)을 선택하여, 기판 온도의 변화를 결정하게 도울 것이다. 일부 에칭 시스템의 경우, 기판 온도는, 약 -10℃ 내지 약 80℃ 의 범위에 있다.
광, 또는 광 펄스가 기판 (150) 상의 감광성 물질 (155) 위에 비춰질 때, 감광성 물질 (155) 은, 상이한 파장을 가진 형광을 방사할 것이다. 방사 강도는, 일단 광원이 턴오프되면 감쇠한다. 시간 주기 내의 방사 강도 레이트 감쇠는 표면 온도에 의존한다. 따라서, 기판 온도는, 방사된 형광 강도에 대한 특징적인 감쇠 시간에 기초하여 계산될 수 있다. 도 1b 는, 식 (1) 에 의해 설명되는 것처럼, 시간 (t) 의 함수에 따른 형광 방사 강도 (I) 감쇠를 나타낸다.
Figure 112009014824091-pct00001
시간 0 에서의 강도는 I0 이다. 감쇠의 레이트는, 온도의 함수인, 상수 τ (감쇠 시간) 에 의해 영향을 받는다. 도 1c 는, 온도의 함수에 따른 τ 의 일 예시적인 플롯을 나타낸다.
인-시츄 기판 온도는, 통상의 제조 기판을 프로세싱하는데 사용된 프로세스가 기판 상에 적용될 때 측정된다. 따라서, 기판 온도 측정 중에는, 프로세스 가스(들), 전력 및 다른 프로세스 파라미터가 통상의 기판을 프로세싱할 때와 동일한 방식으로 동작된다. 기판 지지체 (160) 내에는, 감광성 물질 (155) 을 가진 장소 바로 아래에 위치된 광섬유 파이프 (또는, 광파이프; 165) 가 있다. 광섬유 파이프 (165) 는, 광이 광원 (168) 으로부터 기판 (150) 의 이면 상의 감광성 물질 (155) 로 전달되게 하는 광섬유 (163) 를 에워싼다. 또한, 광섬유 파이프 (165) 는, 입사광에 응답하여 감광성 물질 (155) 로부터 (또는, 광섬유 (163) 로부터) 방사된 형광이 감광성 물질 (155) 로부터 검출기 (170) 로 전달되게 하는 광섬유 (163) 를 에워싼다. 광원 (168) 으로부터 감광성 물질 (155) 로 전달된 예시적인 광은 IR (Infra-Red) 및 가시광을 포함한다. 일 실시형태에서, 광원 (168) 으로부터의 광의 파장은 약 300nm 내지 약 1600nm 사이이다.
일 실시형태에서, 검출기 (170) 는, 광섬유 (163) 로부터의 광신호 (또는, 광) 를 전기 신호로 변환하는데, 이 전기 신호는 후에 분석기 (171) 에 의해 전자적으로 프로세싱된다. 분석기 (171) 는, 기판 온도(들)를 결정하기 위해 전기 신호를 프로세싱한다. 일 실시형태에서, 분석기 (171) 는, 시간의 함수에 따른 강도 감쇠의 데이터로부터 감쇠 시간 (τ) 을 결정한다. 그 후, 기판 온도는, 도 1b 및 도 1c 에서 상술된 것처럼, 감쇠 시간 (τ) 으로부터 결정될 수 있다. 그 후, 분석기 (171) 로부터의 결과는, 챔버 제어기 (180) 로 전송된다. 챔버 제어기 (180) 는, 온도(들)가 제어 한계 내에 있는지를 결정한다. 또한, 챔버 제어기 (180) 는, 기판 온도가 제어 한계(들) 내에 있도록, 제어 신호를 정전척 (ESC) 가열/냉각 엘리먼트들의 제어기들, 이를 테면, ESC 냉각장치 제어기 (183), ESC 가열 엘리먼트 제어기 (182), 및 ESC 내의 이면의 He 압력 제어기 (181) 로 전송하여 가열/냉각 엘리먼트의 파라미터(들)를 조정하는 소프트웨어 및 하드웨어를 갖는다. 예를 들어, 온도가 너무 높으면, 챔버 제어기 (180) 는, 신호를 He 압력 제어기 (181) 로 전송하여 기판 이면의 He 압력을 증가시켜 ESC 및 기판 온도를 낮출 수 있다. 대안으로, 챔버 제어기 (180) 는 또한, 신호를 가열 엘리먼트 제어기 (182) 로 전송하여, 정전척 (ESC) 의 화력 (heating power) 을 증가시키거나, ESC 냉각장치 제어기 (183) 로 전송하여 ESC 냉각 유체의 온도를 증가시켜 ESC 와 기판의 온도를 증가시킨다. 상술된 예시적인 메커니즘에 기초하여, 기판 온도는 모니터링 및 제어될 수 있다.
또한, 기판 온도는, 기판 상의 상이한 "구역" 에 의해 모니터링 및 제어될 수 있다. 도 1d, 도 1e 및 도 1f 는, 기판 상의 상이한 구역의 예를 나타낸다. 예를 들어, 도 1d 에는, 구역 I 및 구역 Ⅱ 가 있다. 구역 I 및 구역 Ⅱ 에서의 기판 온도는 별개로 모니터링 및 제어될 수 있다. 유사하게, 도 1e 및 도 1f 에서의 상이한 구역들 또한 별개로 모니터링 및 제어될 수 있다. 다른 실시형태에서, 검출기 (170) 에 의해 포착된 광은, 기판 (150) 의 전면이나 이면 중 어느 하나로부터 반사된 광이다.
프로세싱 중에 기판 온도를 측정하도록 인-시츄로 사용된 기판 (또는 웨이퍼; 150) 은, 그 기판이 통상의 (또는, 보통의) 기판 프로세싱 동안과 같이, 기판 온도를 측정하는데 사용되고 있지 않을 때에는 스테이션 또는 로드 락에 배치될 수 있다. 기판 (150) 은, 소정 수의 기판이 프로세싱된 후나 챔버 하드웨어 개시 또는 유지보수 중에 기판 온도를 측정 (모니터링 또는 교정) 하도록 사용될 수도 있다.
도 2 는, 기판, 또는 웨이퍼 (208) 를 하나 이상의 카세트 (210) 로부터 로드 락 (204) 으로 전달하기 위해 진공 이송 모듈 (202), 로드 락 (204; 또는, 웨이퍼 이송 인클로져), 및 대기 이송 모듈 (ATM; Atmospheric Transport Module; 206) 을 갖는 반도체 프로세스 클러스터 툴 시스템 (200) 의 일 실시형태를 나타낸다. ATM (206) 에는, 카세트 (210) 와 로드 락 (204) 사이에서 기판 (또는, 웨이퍼) 을 전달하는데 사용된 프론트-엔드 로봇 (미도시) 이 있다. 진공 이송 모듈 (202) 또한, 로드 락 (204) 과 프로세스 챔버들 (226I, 226, 226) 사이에서 기판을 전달하기 위한 로봇 (미도시) 을 포함한다. 프로세스 챔버 (226I, 226, 226) 에서의 통상의 기판 프로세싱 중에 또는 프로세서 챔버 (226I, 226, 226) 의 챔버 하드웨어 유지보수 중에, 온도 측정을 위해 사용된 기판 (250) 은, ATM (206) 옆의 스테이션 (232) 에 배치되거나, 로드 락 (204) 중 하나의 로드 락 옆의 스테이션 (234) 에 배치될 수 있다. 일 실시형태에서, 기판 (250) 은, 도 1a 에 나타낸 기판 (150) 과 유사하다. 대안으로, 로드 락 (204) 은 또한, 기판 (250) 을 홀딩하기 위해 부가적인 슬롯을 포함할 수 있다.
프로세스 챔버에서 기판 프로세싱 중의 기판 온도를 측정하기 위해, 기판 (250) 은, 그 저장 장소, 이를 테면, 스테이션 (232), 스테이션 (234), 또는 로드 락 (204) 중 하나로부터 이동될 수 있고, 기판 프로세싱 조건 하에서 기판 온도를 측정하도록 프로세스 챔버들 (226I, 226, 226) 중 하나에 배치될 수 있다. 기판 온도는, 기판 및 수반 기구, 이를 테면 검출기 및 분석기를 이용함으로써 인-시츄로, 순간에, 그리고 직접 측정될 수 있다. 측정 결과에 기초하여, 기판 온도는, 제어 한계 내 또는 제어 한계 밖에 있는 것으로 분류될 수 있다. 기판 온도(들)가 제어 한계 내라면, 프로세스 챔버는, 기판 프로세싱을 시작 또는 재개할 "자격을 얻게" 될 수 있다. 기판 온도가 제어 한계 밖에 있지만, 여전히 시스템이 응답할 수 있는 범위 내라면, 기판 온도에 영향을 미치는 하드웨어 파라미터, 이를 테면, 이면의 He 압력 또는 ESC 냉각장치 온도 또는 가열 엘리먼트가 기판 온도를 제어 한계 내로 튜닝하도록 조정될 수 있다. 대안으로, 기판 온도가 상당히 제어 한계 밖에 있고 시스템이 보상할 수 있는 온도 범위 밖에 있다면, 시스템은, 동작자에게 경고 (또는, 알람) 를 전송하여 문제점 해결 (trouble-shooting) 의 시작 또는 유지보수를 고려할 수 있다.
도 3a 는, 프로세싱 중에 기판 온도를 교정하기 위해 기판 온도를 인-시츄로 측정하도록 기판을 이용하는 프로세스 플로우 (300) 의 일 실시형태를 나타낸다. 단계 301 에서, 프로세스 챔버 유지보수가 개시된다. 단계 302 에서, 기판 온도 교정 프로세스가 개시된다. 기판 온도 교정 프로세스는, 단계 303 에서 프로세스 챔버에 온도 측정을 위한 기판을 배치함으로써 시작한다. 단계 304 에서, 기판 온도 측정 프로세스가 개시된다. 일 실시형태에서, 프로세스는, 프로세스 챔버에서 프로세싱 시퀀스를 개시하는 단계 및 기판 이면 상에 감광성 물질을 갖는 온도 모니터링 기판의 이면에 광을 전달하는 단계를 포함한다. 수광 시의 감광성 물질은, 검출 및 분석될 수 있는 형광을 방사할 것이다. 단계 305I 에서, 기판 온도(들)를 나타내는 신호가 검출된다. 단계 305 에서, 기판 온도(들)를 나타내는 신호, 또는 기판 온도 측정 신호가 분석된다. 기판 온도 측정 결과에 의존하여, 데이터 (또는, 신호) 분석기는, 단계 306 에서, 측정된 온도(들)가 원하는 기판 온도(들)에 도달하였는지를 판정한다. 측정된 온도(들)가 타겟 온도 범위 내에 있지 않고 측정된 온도가 프로세스 표준 (process norm) 의 범위 밖에 있다면, 그 프로세스는 단계 307 로 진행하여 동작자에게 알람을 전송할 수 있다. 또는, 프로세스는, 측정된 온도(들)가 타겟 온도(들) 범위 내에 있지 않고 시스템의 조정이 타겟 범위 내의 온도에 이르게 할 수 있는 범위에 있다면, 단계 308 로 진행하여, 제어 신호를 프로세스 챔버로 전송하여, 기판 온도 제어 엘리먼트, 이를 테면, 이면의 He 압력, ESC 냉각장치 온도, 또는 ESC 가열 엘리먼트를 조정할 수 있다. 일 실시형태에서, 신호를 프로세스 챔버로 전송하여 온도 제어 엘리먼트를 조정하는 것은, 온도 제어 엘리먼트에 대한 제어 설정점을 결정하는 것을 포함한다.
기판 온도는, 기판 상의 상이한 구역에 대해 측정될 수 있고, 또한 도 1d, 도 1e 및 도 1f 에서 상술된 것처럼 상이한 구역에 의해 제어될 수 있다. 온도 제어 엘리먼트가 조정된 후에, 프로세스는 단계 304 로 복귀하여 기판 온도 측정 프로세스를 반복할 수 있다. 온도 측정 및 조정은, 온도가 원하는 타겟 (또는, 타겟 범위) 에 도달할 때까지 반복할 수 있다. 측정된 온도가 타겟 범위 내에 있다면, 프로세스는, 단계 309 로 진행할 수 있고, 프로세스 챔버는 후속 프로세싱을 위한 "자격을 얻게" 된다.
도 3b 는, 기판 온도 모니터링 및 제어 프로세스 플로우 (350) 의 다른 실시형태를 나타낸다. 프로세스는, 프로세스 챔버에서 통상의 기판을 프로세싱하는 단계 351 에서 시작한다. 통상의 기판 (또는, 제조 기판) 은, 디바이스를 제작하는데 사용된 기판을 의미한다. 단계 352 에서, 기판 온도 모니터링 및 제어 프로세스가 개시된다. 일 실시형태에서, 단계 352 는, 신호를 수신하여 통상의 기판 (또는, 제조 기판) 의 프로세싱을 정지시켜 기판 온도 모니터링 및 제어 프로세스를 개시하는 단계를 포함한다. 기판 온도 모니터링 프로세스는, 단계 353 에서, 프로세스 챔버에, 특수 기판, 예를 들어 상술된 기판 (150) 과 유사한 기판을 배치하여 기판 온도를 측정함으로써 시작한다. 단계 354 에서, 기판 온도 측정 프로세스가 개시된다. 일 실시형태에서, 프로세스는, 프로세스 챔버에서 프로세싱 시퀀스를 개시하고 기판 이면 상에 감광성 물질을 갖는 온도 모니터링 기판의 이면에 광을 전달하는 단계를 포함한다. 수광 시의 감광성 물질은, 검출 및 분석될 수 있는 형광을 방사할 것이다. 단계 355I 에서, 기판 온도(들)를 나타내는 신호가 검출된다. 단계 355 에서, 기판 온도(들)를 나타내는 신호, 또 는 기판 온도 측정 신호가 분석된다.
기판 온도 측정 결과에 의존하여, 데이터 분석기는, 단계 356 에서, 측정된 온도가 원하는 기판 온도(들)에 도달하였는지를 판정한다. 측정된 온도(들)가 타겟 온도 범위 내에 있지 않고 측정된 온도(들)가 동작자 특정 프로세스 한계 밖에 있다면, 프로세스는, 단계 357 로 진행하여 필요한 액션을 취하도록 동작자에게 알람을 전송할 수 있다. 또는, 프로세스는, 측정된 온도(들)가 타겟 온도 범위 내에 있지 않고 시스템의 조정이 타겟 범위 내의 온도에 이를 수 있는 범위에 있다면, 단계 358 로 진행하여, 제어 신호를 프로세스 챔버로 전송하여, 기판 온도 제어 엘리먼트, 이를 테면, 이면의 He 압력, ESC 냉각장치 온도, 또는 ESC 가열 엘리먼트를 조정할 수 있다. 일 실시형태에서, 단계 358 은, 제어 신호를 프로세스 챔버로 전송하여 기판 온도 제어 엘리먼트를 조정하기 전에 기판 온도 제어 엘리먼트에 대한 제어 설정점을 판정하는 단계를 포함한다. 온도 제어 엘리먼트가 조정된 후에, 프로세스는 단계 354 로 복귀하여 기판 온도 측정 프로세스를 반복할 수 있다. 온도 측정 및 조정은, 온도(들)가 원하는 온도 (또는, 타겟 범위) 에 도달할 때까지 계속할 수 있다. 측정된 온도(들)가 타겟 범위 내에 있다면, 프로세스는, 단계 359 로 진행하여, He 압력, ESC 가열기 설정점, 및/또는 ESC 냉각장치 온도에 대한 새로운 설정치로, 프로세스 챔버에서 통상의 기판의 프로세싱을 재개할 수 있다.
도 3a 의 기판 온도 교정 프로세스 및 도 3b 의 기판 온도 모니터링 및 제어 프로세스는, 다수의 챔버, 이를 테면 도 2 에 도시된 것과 같은 클러스터 시스템의 챔버들 (226I, 226, 226) 에 대해 사용될 수 있다. 개선된 에칭의 경우, 챔버-대-챔버 매칭이 엄격한 CD 제어를 달성하는데 매우 중요하다. 상술된 것처럼, 기판 온도는, 에칭 성능에 강하게 영향을 미치며, 챔버에서 챔버로의 프로세싱 중의 기판 온도의 매칭은 엄격한 CD 제어를 달성하는데 있어서 결정적이다.
프로세싱 중의 기판 온도에 관해서는, 기판 온도는 하이 및 로우를 가진 커브를 경험한다. 도 4 는, 기판에 대한 폴리실리콘 에칭 프로세스의 일 예시적인 기판 온도 프로파일 (403) 을 나타낸다. 온도 프로파일은, 프리 (pre)-에칭 단계 중의 신속한 온도 상승에 이어 비교적 평탄한 메인 에칭 단계를 보인다. 오버 에칭 단계 중에, 기판 온도는, 메인 에칭 단계보다 더 낮다. 오버 에칭 단계 후에는 디-척킹 단계가 온다. 디-척킹 단계 중에, 기판 온도는, 급격하게 상승하고 기판이 디-척킹된 후에 급격하게 하강한다. 프로세싱 중의 기판 온도를 측정하기 위해, 상술된 기판 (150) 과 같은 특수 기판을 이용하면, 프리-에칭 단계, 메인 에칭 단계, 오버 에칭 단계, 또는 디-척킹 단계와 같은 에칭 프로세스의 특정 단계, 또는 전체 에칭 프로세스에서 데이터 수집이 적용될 수 있다. 특정 단계가 선택되면, 통상 모니터링되도록 선택된 단계는, 온도 변화가 CD 분포를 포함하여, 에칭 프로세스 성능에 가장 결정적인 단계이다. 예를 들어, 메인 에칭 단계의 TA 에서 TB 까지의 에칭 시간은, 기판 온도를 모니터링하도록 선택될 수 있다. 많은 기판의 프로세싱 데이터에 기초한 제어 대역은, 허용가능한 제어 범위를 설정하도록 확립될 수 있다. 도 4 에서, 점선 커브 (401 및 402) 는, 폴리실리콘 에칭에 대한 예시적인 상위 및 하위 제어 커브들이다. 특수 기판은 기판 프로세싱 중의 특정 순간의 기판 온도를 측정하는데 사용될 수 있다. 예를 들어, 메인 에칭 단계의 TA 에서의 기판 온도는, 상위 제어 한계 TU 및 하위 제어 한계 TL 과 비교해 측정되도록 선택될 수 있다. 측정된 온도가 TU 또는 TL 밖에 있다면, 기판 온도가 제어 한계 내에 있도록, He 압력 제어기 (181), 가열 엘리먼트 제어기 (182), 또는 냉각장치 제어기 (183) 에 대한 설정치가 조정된다.
기판 이면 상에 감광성 물질을 갖는 온도 측정 기판을 이용하는 것 외에, 다른 유형의 기술이 또한 이용될 수 있다. 예를 들어, 캘리포니아주 플레전트 힐 소재의 OnWafer Technologies 는, 웨이퍼 상에서 기판 온도를 검출할 수 있는 온도 센서 또는 디바이스를 가진 웨이퍼를 제공한다. 센서 (또는, 디바이스) 는, 웨이퍼에 내장된다. 일 실시형태에서, 센서 웨이퍼는, 웨이퍼 상에 메모리 저장 디바이스를 가져 센서에 의해 수집된 기판 온도 데이터를 저장한다. OnWafer Technologies 의 센서 웨이퍼는, 상술된 기판 (150) 과 유사한 방식으로 기판 온도를 측정하도록 프로세스 챔버에 배치될 수 있다. OnWafer Technologies 의 센서 웨이퍼의 경우에는, 어떠한 부가적인 광원도 필요로 하지 않는다. 센서 웨이퍼는, 스테이션 (232, 234) 과 유사하고, 또한 도 2 의 로드 락 (204) 과 유사한 스테이션에 배치될 수 있다. 센서 웨이퍼는 또한, 도 3a 및 도 3b 에 설명된 것과 유사한 프로세스 플로우에서 사용될 수 있다. 또한, OnWafer Technologies 로부터의 센서 웨이퍼와 같은 센서 웨이퍼는, 웨이퍼 상의 디바이스 및 메모리 저장 디바이스(들)에 전력을 공급하기 위해 배터리를 필요로 할 것이다. 일 실시형태에서, 센서 웨이퍼를 홀딩하는데 사용된 스테이션 또는 로드 락에는 배터리 충전기가 구비된다.
도 5a 는, ESC (560) 상에 배치되는, 일 예시적인 센서 기판 (550) 을 나타낸다. 이 센서 기판 (550) 은, 기판 표면 상에서 기판 온도를 측정하기 위해 디바이스 (551) 를 갖는다 (도 5b 참조). 일 실시형태에서, 센서 기판 (550) 은, 추후 판독을 위해 디바이스 (551) 에 의해 수집된 데이터 (또는, 신호) 를 저장하는 메모리 저장 디바이스(들) (552) 를 갖는다. 일 실시형태에서, 센서 기판 (550) 은, 추후 판독을 위해 디바이스 (551) 에 의해 수집된 데이터 (또는, 신호) 를 저장할 수 있는 메모리 저장 디바이스(들) (552) 를 갖는다. 일 실시형태에서, 센서 기판 (550) 은 또한, 디바이스 (551) 및 메모리 저장 디바이스 (552) 에 전력을 공급하는 배터리 (553) 를 갖는다. 메모리 저장 디바이스 (552) 는, 물리적 포트, IR 포트, 또는 광포트일 수 있는 포트 (554) 에 커플링된다.
센서 기판 (550) 이 프로세스 챔버 (500) 에서 데이터 수집을 완료한 후에, 센서 기판 (550) 은, 그 저장 장소 (스테이션; 555), 이를 테면, 스테이션 (232), 스테이션 (234), 또는 로드 락 (204) 으로 복귀된다. 그 저장 스테이션 (또는, 챔버; 555) 에서, 센서 기판 (550) 은, 센서 기판 (550) 의 배터리 (553) 에 전기적으로 접속된 배터리 충전 디바이스 (581) 를 갖는 배터리 충전 스테이션 (580) 상에 배치된다 (도 5c 참조). 배터리 충전 디바이스 (581) 는, 물리적 접속을 통하여, 또는 유도성 루프를 이용함으로써 배터리 (553) 에 전기적으로 접속되어, 기판 (550) 상의 배터리 (553) 로 전력을 전달한다. 일 실시형태에서, 프로브 (571) 를 가진 신호 검출기 (570) 는, 기판 (550) 과 접촉하도록 낮춰진다. 프로브 (571) 는, 메모리 저장 디바이스 (552) 에 커플링되는 물리적 포트 (554) 에 전기적으로 접속된다. 다른 실시형태에서, 신호 수집기(들) (571) 를 가진 신호 검출기 (570) 는, 기판과 물리적 접촉하고 있지 않다. 신호 수집기(들) (571) 는, IR 또는 광신호를 수집하도록 포트 (554) 의 조준선에 있다. 신호 검출기 (570) 에 의해 수집되고 메모리 저장 디바이스 (552) 에 저장된 신호는, 물리적 포트 (554) 를 통하여 분석기 (171') 로 공급된다. 다른 실시형태에서, 포트 (554) 는, IR 포트이고, 프로브 (571) 는, 포트 (554) 로부터 데이터를 수집하도록 IR 을 방사한다. 일 실시형태에서, 분석기 (171') 는, 기판 온도를 결정하기 위해 데이터를 프로세싱한다. 그 후, 분석기 (171') 로부터의 결과는 챔버 제어기 (180) 로 전송된다. 그 결과는, 챔버 제어기 (180) 가, 온도가 제어 한계 내에 있는지를 결정하게 한다. 상술된 것처럼, 챔버 제어기 (180) 는, 기판 온도가 제어 한계(들) 내에 있도록, 제어 신호를 정전척 (ESC) 가열/냉각 엘리먼트들의 제어기들, 이를 테면, ESC 가열 엘리먼트 제어기 (182), ESC 냉각장치 제어기 (183), 및 ESC 내의 이면의 He 압력 제어기 (181) 로 전송하여 가열/냉각 엘리먼트의 파라미터(들)를 조정하는 소프트웨어 및 하드웨어를 갖는다.
센서 기판 (550) 으로부터 데이터를 수집하기 위해 신호 검출기 (570) 의 프로브 (571) 와 같은 디바이스를 사용하는 것 외에, 무선 신호 검출 (수집) 디바이스 (590) 는 또한, 센서 기판 (550) 상의 무선 포트 (554') 를 통하여 센서 기판 (550) 으로부터 데이터를 수집하는데 사용될 수 있다 (도 5d 참조). 유사하게, 데이터는, 분석기 (171'') 로 공급될 수 있고, 그 분석기 (171'') 로부터의 결과는 상술된 것처럼 챔버 제어기 (180) 로 전송된다.
온도를 인-시츄로 측정하기 위해 특수 기판을 이용하면, 정확한 기판 온도 데이터가 수집될 수 있고, 그 온도 데이터는, 후속 기판 프로세싱 중에 기판 온도를 보다 정확하게 제어하는데 사용될 수 있다. 기판의 보다 정밀한 온도 측정 및 제어로 인해, 웨이퍼로부터 웨이퍼로 및 챔버로부터 챔버로의 보다 엄격한 CD 분포가 달성될 수 있다.
본 발명의 개념은, 임의의 적용가능한 시스템 및 프로세스에 적용될 수 있고, 에칭 챔버 및 에칭 프로세스로 한정되지 않는다. 예를 들어, 화학 기상 증착 및 포토레지스트 프리-베이크 시스템 및 프로세스가 또한 본 발명의 개념을 이용할 수도 있다. 애플리케이션은, 성능이 기판 온도에 민감한 프로세스 및 장치를 교정, 모니터링 및/또는 제어하는데 가장 적절하다.
전술한 발명은 이해를 명료하게 하기 위해 약간 상세하게 설명되었지만, 첨부된 특허청구의 범위 내에서 소정의 변화 및 변형이 실시될 수도 있다는 것이 명백할 것이다. 따라서, 본 실시형태들은, 제한이 아닌 예시인 것으로 고려될 것이며, 본 발명은, 본원에 주어진 세부사항으로 한정되지 않고, 첨부된 특허청구의 범위 및 등가물 내에서 변형될 수도 있다.

Claims (25)

  1. 적어도 하나의 광파이프를 포함하고, 기판의 이면 상의 적어도 하나의 개소 위에 증착된 감광성 및 감온성 물질을 갖는 상기 기판을 수용할 수 있는 정전척;
    상기 적어도 하나의 광파이프에 커플링되고, 상기 적어도 하나의 광파이프에 광을 공급하여, 상기 기판이 상기 정전척 위에 존재할 때 상기 기판의 이면 상의 적어도 하나의 개소 위에 증착된 상기 감광성 및 감온성 물질에 광을 인가하도록 구성된 광원;
    상기 적어도 하나의 광파이프에 커플링되고, 상기 기판의 이면 상의 적어도 하나의 개소 위에서 기판 온도를 결정하기 위해, 상기 감광성 및 감온성 물질에 광이 인가된 결과로서 상기 감광성 및 감온성 물질로부터 방사된 형광을 수집하도록 구성된 검출기로서, 상기 기판 온도는 상기 광원이 턴오프된 후에 상기 방사된 형광의 감쇠를 측정함으로써 결정되는, 상기 검출기; 및
    상기 결정된 기판 온도를 이용하여, 상기 정전척 위에서 프로세싱될 복수의 기판에 대해 원하는 기판 온도 범위를 유지하도록 상기 정전척의 온도 제어 파라미터를 조정하는 챔버 제어기를 포함하는, 프로세싱 챔버.
  2. 제 1 항에 있어서,
    상기 기판의 이면 상의 적어도 하나의 개소 위에서 상기 기판 온도를 결정하기 위해, 상기 기판의 이면 상의 적어도 하나의 개소 위의 상기 감광성 및 감온성 물질로부터 방사되는 수광된 형광을 프로세싱하도록 구성된 분석기를 더 포함하는, 프로세싱 챔버.
  3. 제 1 항에 있어서,
    상기 챔버 제어기는, 상기 결정된 기판 온도에 기초하여, 임계 온도 변화가 상기 기판의 이면 상의 복수의 개소 중 임의의 하나에서 발생하였는지를 식별하도록 구성되는, 프로세싱 챔버.
  4. 제 3 항에 있어서,
    상기 임계 온도 변화는, 상기 원하는 기판 온도 범위 밖에 있는 온도 판독이 상기 기판의 이면 상의 적어도 하나의 개소 중 하나에서 검출될 때 발생하는, 프로세싱 챔버.
  5. 제 1 항에 있어서,
    상기 온도 제어 파라미터는, 하나 이상의 가열/냉각 엘리먼트를 이용하여 설정되는, 프로세싱 챔버.
  6. 제 5 항에 있어서,
    상기 하나 이상의 가열/냉각 엘리먼트 중 하나는, 이면의 헬륨 (He) 압력에 의해 설정되는, 프로세싱 챔버.
  7. 제 1 항에 있어서,
    상기 감광성 및 감온성 물질을 갖는 상기 기판의 이면 상에 복수의 개소가 있으며, 상기 온도 제어 파라미터는, 별도로 제어된 구역에서 온도를 개별적으로 제어하기 위하여 상기 정전척 내의 복수의 개소와 상관되는 상기 별도로 제어된 구역에서 조정되는, 프로세싱 챔버.
  8. 제 1 항에 있어서,
    상기 기판의 이면 상의 적어도 하나의 개소 위에 감광성 및 감온성 물질을 갖는 상기 기판은, 기판 이송 챔버에 커플링되는 스테이션 또는 로드 락에 배치되는, 프로세싱 챔버.
  9. 제 1 항에 있어서,
    상기 광원은, 상기 광파이프에 내장된 광섬유를 통하여 광을 공급하는, 프로세싱 챔버.
  10. 삭제
  11. 삭제
  12. 기판을 홀딩하는 기판 홀딩 스테이션으로서, 상기 기판은 기판 온도를 결정하기 위해, 감광성 및 감온성 물질에 인가된 광에 응답하여 형광을 방사하는 상기 기판의 이면 상에 증착된 상기 감광성 및 감온성 물질을 갖는, 상기 기판 홀딩 스테이션;
    상기 기판 홀딩 스테이션으로부터 상기 기판을 수용하고, 상기 기판이 프로세싱 챔버 내에 있을 때 액티브 프로세스 동작을 런-스루 (run-through) 하도록 구성된 상기 프로세싱 챔버로서, 상기 프로세싱 챔버는 광을 전달하도록 구성된 적어도 하나의 광파이프를 포함하는 정전척을 갖는, 상기 프로세싱 챔버; 및
    상기 프로세싱 챔버가 상기 액티브 프로세스 동작을 런-스루할 때 상기 기판의 이면 상에 증착된 상기 감광성 및 감온성 물질에 의해 방사된 형광을 검출하기 위해 상기 적어도 하나의 광파이프에 커플링된 검출기로서, 상기 기판 온도는 광원이 턴오프된 후에 상기 방사된 형광의 감쇠를 측정함으로써 결정되는, 상기 검출기를 포함하는, 클러스터 툴 시스템.
  13. 제 12 항에 있어서,
    상기 방사된 형광으로부터 상기 기판 온도를 결정하도록 구성된 분석기; 및
    상기 기판 온도가 제어 범위 밖에 있는지를 결정하고, 상기 프로세싱 챔버에서 프로세싱될 복수의 기판에 대해 상기 기판 온도가 상기 제어 범위 내에 있도록 상기 프로세싱 챔버의 온도 제어 파라미터를 조정하도록 구성된 챔버 제어기를 더 포함하는, 클러스터 툴 시스템.
  14. 제 12 항에 있어서,
    상기 검출기는, 상기 프로세싱 챔버에 통합되는, 클러스터 툴 시스템.
  15. 제 12 항에 있어서,
    상기 방사된 형광은, 기판 온도를 측정하기 위한 디바이스에 의해 포착되고, 추후 판독을 위해 메모리 디바이스로 전달되며, 상기 기판 온도를 측정하기 위한 디바이스 및 상기 메모리 디바이스는 상기 기판에 내장되는, 클러스터 툴 시스템.
  16. 제 15 항에 있어서,
    상기 기판은 또한, 상기 기판 온도를 측정하기 위한 디바이스 및 상기 메모리 디바이스를 충전하기 위해 배터리를 내장하는, 클러스터 툴 시스템.
  17. 제 16 항에 있어서,
    상기 기판 홀딩 스테이션은, 배터리 충전 스테이션을 포함하여 상기 기판에 내장된 상기 배터리를 충전하는, 클러스터 툴 시스템.
  18. 제 12 항에 있어서,
    상기 검출기는, 상기 기판 홀딩 스테이션에 통합되는, 클러스터 툴 시스템.
  19. 제 12 항에 있어서,
    상기 검출기는 무선 디바이스인, 클러스터 툴 시스템.
  20. 제 12 항에 있어서,
    상기 검출기는, IR (Infra-Red) 또는 광신호를 검출하는, 클러스터 툴 시스템.
  21. 제 12 항에 있어서,
    상기 기판 홀딩 스테이션은, 상기 클러스터 툴 시스템의 로드 락, 진공 이송 모듈, 또는 대기 이송 모듈 (ATM; Atmospheric Transport Module) 에 커플링되는, 클러스터 툴 시스템.
  22. 프로세스 챔버에서 기판의 프로세싱 중에 기판 온도를 인-시츄로 모니터링 및 제어하는 방법으로서,
    상기 프로세스 챔버에 기판을 배치하는 단계로서, 상기 기판은 기판의 이면 상의 하나 이상의 개소 위에 증착된 감광성 및 감온성 물질을 갖는, 상기 기판을 배치하는 단계;
    상기 프로세스 챔버에서 프로세싱 시퀀스를 개시하는 단계;
    상기 기판의 이면 상의 하나 이상의 개소에 증착된 상기 감광성 및 감온성 물질에 광을 인가하는 단계;
    상기 기판의 하나 이상의 구역에 걸쳐 기판 프로세싱 중의 하나 이상의 기판 온도를 결정하기 위해, 상기 감광성 및 감온성 물질에 광이 인가된 결과로서 상기 감광성 및 감온성 물질로부터 방사된 형광을 수집하는 단계로서, 상기 기판 온도는 광원이 턴오프된 후에 상기 방사된 형광의 감쇠를 측정함으로써 결정되는, 상기 방사된 형광을 수집하는 단계; 및
    기판 프로세싱 중의 하나 이상의 기판 온도가 제어 범위 내에 있는지를 결정하는 단계를 포함하는, 기판 온도의 모니터링 및 제어 방법.
  23. 제 22 항에 있어서,
    기판 프로세싱 중의 하나 이상의 기판 온도 중 어느 것도 제어 범위 내에 있지 않다면, 상기 기판 프로세싱 중의 하나 이상의 기판 온도가 제어 범위 내에 있도록, 제어 신호를 상기 프로세스 챔버로 전송하여 기판 온도 제어 엘리먼트를 조정하는 단계를 더 포함하는, 기판 온도의 모니터링 및 제어 방법.
  24. 제 22 항에 있어서,
    상기 프로세싱 시퀀스 중에 선택된 프로세스 단계에서의 선택된 시간 범위 동안 신호가 수집되는, 기판 온도의 모니터링 및 제어 방법.
  25. 제 24 항에 있어서,
    상기 프로세싱 시퀀스 중에 선택된 순간 (instant) 에서 신호가 수집되는, 기판 온도의 모니터링 및 제어 방법.
KR1020097005081A 2006-09-11 2007-08-30 인-시츄 웨이퍼 온도 측정 및 제어 KR101425237B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/519,542 US7560007B2 (en) 2006-09-11 2006-09-11 In-situ wafer temperature measurement and control
US11/519,542 2006-09-11
PCT/US2007/019231 WO2008033234A2 (en) 2006-09-11 2007-08-30 In-situ wafer temperature measurement and control

Publications (2)

Publication Number Publication Date
KR20090051092A KR20090051092A (ko) 2009-05-20
KR101425237B1 true KR101425237B1 (ko) 2014-08-01

Family

ID=39170201

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097005081A KR101425237B1 (ko) 2006-09-11 2007-08-30 인-시츄 웨이퍼 온도 측정 및 제어

Country Status (6)

Country Link
US (1) US7560007B2 (ko)
JP (1) JP5850601B2 (ko)
KR (1) KR101425237B1 (ko)
CN (1) CN101512307B (ko)
TW (1) TWI389231B (ko)
WO (1) WO2008033234A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190076100A (ko) * 2017-12-22 2019-07-02 (주)에스엔텍 공정시작조건 검출이 가능한 센서 장착 웨이퍼와 그를 위한 장치

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050084200A (ko) * 2002-12-09 2005-08-26 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 웨이퍼 제조 장치, 웨이퍼 제조 방법 및 웨이퍼 간의온도차 감소 방법
DE102006051550B4 (de) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Verfahren und Vorrichtung zum Strukturieren von Bauteilen unter Verwendung eines Werkstoffs auf der Basis von Siliziumoxid
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8303716B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8287688B2 (en) * 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
JP5293719B2 (ja) * 2010-10-01 2013-09-18 東京エレクトロン株式会社 基板処理装置のデータ取得方法及びセンサ用基板
US8852964B2 (en) 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
US20150060013A1 (en) * 2013-09-05 2015-03-05 Applied Materials, Inc. Tunable temperature controlled electrostatic chuck assembly
US9196514B2 (en) * 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US10006717B2 (en) 2014-03-07 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking system and method of using the same
EP3167479B1 (en) * 2014-07-08 2021-12-01 Watlow Electric Manufacturing Company Bonded assembly with integrated temperature sensing in bond layer
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
TWI629720B (zh) * 2015-09-30 2018-07-11 東京威力科創股份有限公司 用於濕蝕刻製程之溫度的動態控制之方法及設備
US10973088B2 (en) * 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
GB201611652D0 (en) * 2016-07-04 2016-08-17 Spts Technologies Ltd Method of detecting a condition
CN108010838B (zh) * 2016-10-27 2020-09-04 中微半导体设备(上海)股份有限公司 等离子体处理装置及硅片温度测量方法
US11069547B2 (en) 2017-03-02 2021-07-20 Applied Materials, Inc. In-situ temperature measurement for inside of process chamber
KR102016398B1 (ko) * 2017-07-25 2019-09-02 (주)제이디 센서 장착 웨이퍼 및 그의 보관 장치
CN109724712B (zh) * 2017-10-31 2021-04-30 上海微电子装备(集团)股份有限公司 温度检测装置及其制造方法和激光表面退火设备
CN108684098A (zh) * 2018-05-21 2018-10-19 广东美的厨房电器制造有限公司 微波炉解冻控制方法、微波炉、终端及计算机存储介质
WO2020023295A1 (en) * 2018-07-25 2020-01-30 Lam Research Corporation Substrate support temperature sensing systems and methods
CN113053775B (zh) * 2019-12-27 2024-04-09 中微半导体设备(上海)股份有限公司 晶圆温度控制器及系统、方法和等离子体处理装置
US20230089982A1 (en) * 2021-09-10 2023-03-23 Applied Materials, Inc. Methods and mechanisms for coupling sensors to transfer chamber robot

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004335621A (ja) 2003-05-02 2004-11-25 Tokyo Electron Ltd 熱処理装置、熱処理システム及び熱処理装置の温度制御方法
JP2005136025A (ja) * 2003-10-29 2005-05-26 Trecenti Technologies Inc 半導体製造装置、半導体装置の製造方法及びウエハステージ
KR20060034690A (ko) * 2003-07-07 2006-04-24 어드밴스드 마이크로 디바이시즈, 인코포레이티드 결함 검출에 기초하여 계측 디스패칭을 수행하는 방법 및장치

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5530428A (en) * 1993-04-06 1996-06-25 Woods; Randall Security alarm switch
US5332992A (en) * 1993-04-06 1994-07-26 Randall Woods Security alarm switch
TW277139B (ko) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
JP3453223B2 (ja) * 1994-08-19 2003-10-06 東京エレクトロン株式会社 処理装置
JPH09297072A (ja) * 1996-05-01 1997-11-18 Sony Corp 温度測定用光ファイバープローブ
US5673021A (en) * 1996-05-22 1997-09-30 Woods; Randall Magnetic switch assembly for detecting unauthorized opening of doors or windows
JP3653879B2 (ja) * 1996-08-07 2005-06-02 ソニー株式会社 蛍光式温度計
US5880659A (en) * 1997-03-17 1999-03-09 Woods; Randell Magnetic switch assembly for detecting unauthorized opening of doors or windows
US5977873A (en) * 1998-03-04 1999-11-02 Woods; Randall Alarm switch
US6218089B1 (en) * 1998-05-22 2001-04-17 Micron Technology, Inc. Photolithographic method
US20030112848A1 (en) * 2001-08-29 2003-06-19 Khan Abid L. Temperature sensing in controlled environment
US6830650B2 (en) * 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6907364B2 (en) * 2002-09-16 2005-06-14 Onwafer Technologies, Inc. Methods and apparatus for deriving thermal flux data for processing a workpiece
US7355715B2 (en) * 2004-10-12 2008-04-08 Tokyo Electron Limited Temperature measuring apparatus, temperature measurement method, temperature measurement system, control system and control method
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US20060238954A1 (en) * 2005-04-21 2006-10-26 Applied Materials, Inc., A Delaware Corporation Electrostatic chuck for track thermal plates

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004335621A (ja) 2003-05-02 2004-11-25 Tokyo Electron Ltd 熱処理装置、熱処理システム及び熱処理装置の温度制御方法
KR20060034690A (ko) * 2003-07-07 2006-04-24 어드밴스드 마이크로 디바이시즈, 인코포레이티드 결함 검출에 기초하여 계측 디스패칭을 수행하는 방법 및장치
JP2005136025A (ja) * 2003-10-29 2005-05-26 Trecenti Technologies Inc 半導体製造装置、半導体装置の製造方法及びウエハステージ

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190076100A (ko) * 2017-12-22 2019-07-02 (주)에스엔텍 공정시작조건 검출이 가능한 센서 장착 웨이퍼와 그를 위한 장치
KR102076290B1 (ko) 2017-12-22 2020-02-11 (주)제이디 공정시작조건 검출이 가능한 센서 장착 웨이퍼와 그를 위한 장치

Also Published As

Publication number Publication date
KR20090051092A (ko) 2009-05-20
US7560007B2 (en) 2009-07-14
WO2008033234A3 (en) 2008-07-10
TW200832583A (en) 2008-08-01
CN101512307B (zh) 2012-06-20
JP2010503231A (ja) 2010-01-28
US20080064126A1 (en) 2008-03-13
CN101512307A (zh) 2009-08-19
WO2008033234A2 (en) 2008-03-20
TWI389231B (zh) 2013-03-11
JP5850601B2 (ja) 2016-02-03

Similar Documents

Publication Publication Date Title
KR101425237B1 (ko) 인-시츄 웨이퍼 온도 측정 및 제어
US5098198A (en) Wafer heating and monitor module and method of operation
JP5683110B2 (ja) 赤外線透過による基板温度測定
JP2009532877A (ja) 基板の急速熱処理のための適応制御方法
US20090316749A1 (en) Substrate temperature measurement by infrared transmission in an etch process
JP2010503231A5 (ko)
WO1999005703A1 (en) Wafer out-of-pocket detector and susceptor leveling tool
CN111564398B (zh) 半导体处理装置及处理方法
US20050127192A1 (en) Endpoint detector for a substrate manufacturing process
KR101464477B1 (ko) 독립 광 소스를 이용한 웨이퍼 온도 측정을 위한 방법 및 장치
US20200381278A1 (en) Method for non-contact low substrate temperature measurement
US20100265988A1 (en) Substrate cool down control
WO2000007226A1 (en) Method and apparatus for endpoint detecting the formation of an hsg polysilicon layer
US20050145614A1 (en) Rapid temperature compensation module for semiconductor tool
US20230282500A1 (en) Apparatus, system, and method for non-contact temperature monitoring of substrate supports
JP2009147170A (ja) 半導体装置の製造方法および半導体装置の製造装置
US20040120797A1 (en) Method and system for eliminating wafer protrusion
US10656029B2 (en) Processing system having optical temperature measurement subsystem
Gabriel Wafer temperature measurements during dielectric etching in a MERIE etcher
US20240038557A1 (en) Methods and apparatus for processing a substrate
WO2005029020A1 (ja) 基板処理装置およびデバイスの製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170712

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee