CN101512307B - 原位晶片温度测量和控制 - Google Patents

原位晶片温度测量和控制 Download PDF

Info

Publication number
CN101512307B
CN101512307B CN2007800336063A CN200780033606A CN101512307B CN 101512307 B CN101512307 B CN 101512307B CN 2007800336063 A CN2007800336063 A CN 2007800336063A CN 200780033606 A CN200780033606 A CN 200780033606A CN 101512307 B CN101512307 B CN 101512307B
Authority
CN
China
Prior art keywords
substrate
temperature
process chamber
control
substrate temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2007800336063A
Other languages
English (en)
Other versions
CN101512307A (zh
Inventor
基思·加夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101512307A publication Critical patent/CN101512307A/zh
Application granted granted Critical
Publication of CN101512307B publication Critical patent/CN101512307B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K11/00Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00
    • G01K11/20Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00 using thermoluminescent materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

大体而言,本发明的实施例通过提供原位晶片温度测量方法以及设备满足该需求。该原位基片温度测量方法以及设备提供瞬时晶片温度信息以允许持续监测该蚀刻工艺。该方法以及设备还允许瞬时基片温度控制以使得晶片与晶片之间以及室与室之间工艺分布更紧密。提供示范性的组合设备工具系统。该组合设备工具系统包括基片夹持工作台,用于夹持能够发出指示基片温度的信号的基片;以及处理室,该处理室配置为从该基片夹持工作台接收基片以及当该基片在该处理室中时进行主动工艺操作。该组合设备工具系统还包括信号检测器,用于检测在该处理室进行该主动工艺操作时由该基片发出的信号,该信号检测器配置为收集所发出的收集指示该基片温度的信号。

Description

原位晶片温度测量和控制
背景技术
随着半导体工业持续缩减特征尺寸,关键尺寸(CD)的控制变得越来越重要以及对整个晶片CD变化的限制变得更加严格。对于半导体等离子蚀刻工艺,往往使用多个等离子蚀刻室以实现产量目标。蚀刻工艺的室与室之间的匹配以及保持一致的室蚀刻性能对于获得良好的CD控制以满足严格的要求是关键的。
蚀刻形貌关键尺寸(如线宽)受到许多因素的影响,但是最典型地是光刻工艺。在蚀刻工艺期间,基片温度对蚀刻速率和所蚀刻的形貌有很强的影响。为了实现CD紧密控制,基片温度必须仔细地监测和控制。
常规上,蚀刻工艺室中的基片温度并不在原位监测。在硬件显影或硬件维护期间间或测量基片温度以在开始新的工艺之前校准工艺温度。通常,通过控制ESC温度直接控制基片温度。然而,在蚀刻工艺期间,由于晶片和ESC表面之间热传递的热传递系数比ESC的热传递系数低而导致基片温度会比ESC的表面高差不多60℃。所以,测量ESC温度来精确控制基片温度是不现实的。
如前面提到的,在硬件显影或硬件维护期间间或测量基片温度以调节工艺设置。然而,在等离子蚀刻期间,由于在蚀刻工艺中使用工艺化学制剂而导致静电卡盘(ESC)(用以支撑基片)经历表面粗糙度的变化。表面粗糙度的改变会因此导致ESC和该晶片之间的接触变化,其导致基片(或晶片)温度随时间漂移,即使在设备和工艺设置保持不变的情况下。进一步的问题是,这种温度漂移导致室与室之间的变化,以及增加了在多个室之间获得一致的蚀刻结果的难度。
鉴于前面所述,需要一种自动原位测量基片温度以及自动调节室工艺温度以补偿制造期间基片温度变化或差异的机制。该原位温度测量机制以及自动工艺参数调节将允许紧密CD控制以满足高级半导体制造的严格CD要求。
发明内容
大体而言,本发明的实施例通过提供自动原位晶片(或基片)温度测量方法方法以及用于通过该蚀刻处理工具自动调节工艺参数的设备来满足的该需求。该原位晶片温度测量方法以及设备提供瞬时晶片温度信息以允许连续监测该蚀刻工艺以及允许紧密CD控制。应当认识到,本发明可以多种方式实现,包括工艺、设备或系统。下面描述本发明的多个创新性实施例。
在一个实施例中,提供处理室。该处理室包括静电卡盘,其包括至少一个光线管,该静电卡盘能够接收基片,其在该基片背面上至少一个点上具有感光以及温敏材料。该处理室还包括耦接到该至少一个光线管的光源,该光源配置为提供光线至该至少一个光线管,以便当该基片位于该静电卡盘上时提供光线至该基片背面上的至少一个点。
该处理室进一步包括耦接到该光线管的检测器,该检测器配置为收集从该基片背面上至少一个点上的感光以及温敏材料发出的光线以确定该基片背面至少一个点上的基片温度。另外,该处理室包括室控制器,基于所确定的基片温度以调节该静电卡盘的温度控制从而为在该静电卡盘上处理的多个基片保持所需的基片温度范围。
在另一实施例中,提供组合设备工具系统。该组合设备工具系统包括基片夹持工作台,用于夹持能够发出指示基片温度信号的基片;以及处理室,该处理室配置为从该基片夹持工作台接收基片以及当该基片在该处理室中时进行主动工艺操作。该组合设备工具系统还包括用于在该处理室运行主动工艺操作时检测由该基片发出的信号的信号检测器,该信号检测器配置为收集所发出的指示该基片温度的信号。
在又一实施例中,提供在处理室中基片处理期间原位监测以及控制基片温度的方法。该方法包括将基片设在该处理室中,以及在该处理室开始一个处理序列。该方法还包括从该基片收集温度测量信号以确定纵贯该基片一个或多个区的一个或多个基片处理温度,以及确定该一个或多个基片处理温度是否在控制范围内。
本发明的这些和其他特征将在下面结合附图、作为本发明示例说明的具体描述中变得更加明显。
附图说明
在附图中,本发明作为示例而不是作为限制来说明,以及类似的参考标号指出相似的元件。
图1A示出在处理室中工艺温度测量原位使用的基片的一个实施例的剖视示意图。
图1B示出荧光灯发射强度(I)衰减与时间(t)的函数。
图1C示出τ与温度函数的示范性曲线。
图1D示出基片温度监测以及控制区的另一示例。
图1E示出基片温度监测以及控制区的另一示例。
图1F示出基片温度监测以及控制区的又一示例。
图2示出组合设备工具,具有处理室和夹持基片用于工艺温度测量的操作台或装载锁。
图3A示出硬件维护期间利用用于原位工艺温度测量的基片进行的基片温度校准工艺的工艺流程。
图3B示出在利用用于原位基片温度测量的基片进行的工艺期间监测以及控制基片温度的工艺流程。
图4示出对于示例性的多晶硅工艺的基片温度变化与时间的函数的曲线。
图5A示出处理室中工艺温度测量原位使用的基片的另一个实施例的剖视示意图。
图5B示出图5A中工艺温度测量原位使用的基片的剖视示意图。
图5C示出夹持图5A中用于原位温度测量基片的基片夹持室的一个实施例。
图5D示出夹持图5A中用于原位温度测量基片的基片夹持室的另一个实施例。具体实施方式
现在将描述原位晶片(或基片)温度测量和控制系统、方法和设备的多个示范性实施例。对于本领域技术人员来说,显然,本发明可不采用这里描述的具体细节的一些或者全部而实现。
如之前描述的,传统的通过控制ESC温度直接控制基片温度的方法不能对高级蚀刻工艺起作用,因为ESC表面温度比基片温度低得多。这是因为相比于ESC的热传递系数,晶片与ESC表面之间热传递的热传递系数相对较低,这显著地导致基片温度改变。所以,由于蚀刻工艺化学制剂导致的ESC表面粗糙度变化使得基片与基片之间以及室与室之间的ESC和基片之间的温度关系恶化。有效的原位基片温度(在处理)测量以及控制方法以及设备可提供瞬时基片温度信息以及可提供瞬时基片温度控制以将产品基片温度保持在紧密控制限制内,晶片与晶片之间以及室与室之间工艺变化可以最小化。
图1A示出本发明一实施例,提供基片150,其可设在处理室100中的基片支撑件160上以在处理期间原位测量基片温度。在基片150的背面,具有被感光材料层155(如钇铝石榴石(YAG)或其他稀土掺杂陶瓷)覆盖的位置,其对于光线以及基片温度变化敏感。该感光材料155应当选择对工艺温度附近范围内的温度变化敏感以帮助确定基片温度的变化。对于某些蚀刻系统,基片温度的范围在大约-10℃到大约80℃之间。
当光或光脉冲照在基片150的材料155上时,该材料155可发生不同波长的荧光。一旦关闭光源,发射强度就会衰减。在一定时间内发射强度衰减的速率取决于表面温度。所以,可基于发射的荧光强度的特征衰减时间来计算基片温度。图1B示出荧光发射的强度(I)衰减与时间(t)的函数,如公式(1)所说明的。I=I0exp(-t/τ)(1)时刻0的强度是I0。衰减速率受到常量τ(衰减时间)影响,其是温度的函数。图1C示出τ与温度的函数的示例性曲线。
当将用于处理常规产品基片的工艺应用于该基片时,测量原位基片温度。所以,在基片温度测量,该工艺气体、功率和其他工艺参数可以与处理常规基片相同的方式来操作。在该基片支撑件160中,在具有感光材料155的位置下面的右边设有光纤管道(或者光线管道)165。该光纤管道165包住光纤163,光纤允许光线从光源168传递到在基片150背面上的感光材料155。该光纤管道165还包住光纤163,其允许响应入射光(也是通过光纤163)从感光材料155发出的荧光从材料155到达检测器170。从该光源168传递到感光材料155的光线的示例包括红外(IR)以及可见光。在一个实施例中,来自光源168的光线的波长在大约300nm至大约1600nm之间。
在一个实施例中,该检测器170将来自光纤164的可见信号(或光)转变为电信号,其然后通过分析器171以电学的方式处理。该分析器171处理该电信号以确定基片温度。在一个实施例中,该分析器171从强度衰减与时间的函数数据确定衰减时间(τ)。然后从该衰减时间(τ)确定基片温度,如上面在图1B和1C中描述的。然后将该分析器171的结果发送到室控制器180。该室控制器180确定温度是否在控制限制内。另外,该室控制器180具有软件和硬件,可将控制信号发送到静电卡盘(ESC)加热/冷却元件(如ESC散热器163,ESC加热器162以及ESC中的背部He 161)的控制器以调节这些加热/冷却元件的参数,从而使得基片温度在控制限制内。例如,如果温度过高,该室控制器180可发送信号至He压力控制器181以增加基片背面He压力,从而降低ESC以及基片温度。或者,该室控制器180还可发送信号至加热元件控制器182以增加静电卡盘(ESC)的加热功率或至该ESC散热器以增加该ESC冷却液的温度从而增加ESC以及基片的温度。基于上述示范性的机制,可以监测和控制该基片温度。
另外,可按照基片上不同的“区”监测和控制基片温度。图1D、1E以及1F示出基片上不同区的示例。例如,图1D中,有区I以及区II。区I以及区II中的基片温度可以独立监测和控制。类似地,图1E以及1F中不同的区也可以独立监测和控制。在另一实施例中,该检测器170捕获的光线是从该基片150的前面或背面之一反射的光线。
原位使用以在处理期间测量基片温度的基片(或晶片)150当没用来测量基片温度时可设在工作台或负载锁中,如在常规(或普通)基片处理期间。该基片150可用来在处理一定数量的基片后或在室硬件启动或维护期间测量(监测或校准)基片温度。
图2示出半导体工艺组合设备工具系统200的一个实施例,具有真空传输模块202、负载锁204(或晶片传输壁)以及用于将基片或晶片208从一个或多个盒210传送到该负载锁204的启动传输模块(ATM)206。在该气动传输模块206中,有一个前端机械手(未示),用来在这些盒210和该负载锁204之间传送基片。该真空传输模块202也包含一个机械手(未示),用来在该负载锁204以及这些处理室226I、226II、226III之间传送基片。在该处理室226I、226II、226III中常规基片处理或处理室226I、226II、226III的室硬件维护期间,用于温度测量的基片250可设在挨着该气动传输模块(ATM)206的工作台232或挨着这些负载锁204之一的工作台234中。在一个实施例中,该基片250类似于图1A中示出的基片150。或者,这些负载锁204还可包括额外的槽以夹持该基片250。
为了在该处理室中的基片处理期间测量基片温度,该基片250可从其存储位置(如工作台232、工作台234或这些负载锁204之一)移动并在这些处理室226I、226II或226III之一中以在基片处理条件下测量该基片温度。通过使用该基片以及随附的仪器(如检测器和分析器)可原位、瞬时和直接测量该基片温度。根据测量结果,该基片温度可分为在控制限制内或超出控制限制。如果该基片温度在控制限制内,该处理室可以“有资格”启动或恢复基片处理。如果该基片温度超出控制限制,但是仍在系统可以响应的范围内,可以调节影响该基片温度的硬件参数(如背面He压力或ESC散热器温度或加热元件)以及将该基片温度调谐为在控制限制内。或者,如果该基片温度大大超出控制限制并且超出系统可以补偿的温度范围,该系统会向操作者发出警告(或报警)来考虑启动故障检测或维护。
图3A示出在处理期间使用基片以原位测量基片温度从而校准基片温度的工艺流程300。在步骤301,开始该处理室维护。在步骤302,开始该基片温度校准工艺。该基片温度校准工艺在步骤303通过将用于温度测量的基片设在该处理室中开始。在步骤304,开始基片温度测量工艺。在一个实施例中,该工艺包括在该处理室开始一个处理序列以及使光线到达温度监测基片的背面(在该基片背面上具有感光材料)。该感光材料在接收到该光线时可发射荧光,这可以检测并且分析。在步骤305I,检测指示基片温度的信号。在步骤305II,分析指示基片温度的信号或基片温度测量信号。在步骤306,根据该基片温度测量结果,该数据(或信号)分析器决定测量温度是否打到所需要的基片温度。如果该测量温度不在目标温度范围内以及测量温度超出工艺规范,该工艺可进行到步骤307以及向操作者发出报警。或者,如果该测量温度不在目标温度范围内但在系统调节可以使温度处于目标范围内的范围中,该工艺可通过向处理室发送控制信号以调节基片温度控制元件(如背面He压力、ESC散热器温度或ESC加热元件)进行到步骤308。在一个实施例中,向处理室发送信号以调节温度控制元件包括确定用于这些温度控制元件的控制设置点。
如之前在图1B、1C以及1D中描述的,基片温度可为该基片上不同区测量以及还可按照不同的区控制。在调节这些温度控制元件后,该工艺可返回到步骤304以重复基片温度测量工艺。该温度测量和调节可重复直到该温度到达所需目标(或目标范围)。如果这些测量温度在目标范围内,该工艺进行到步骤309以及该处理室有资格用于基片处理。
图3B示出基片温度监测以及控制工艺流程350的另一实施例。该工艺开始于在步骤351在该处理室中处理常规基片。常规基片(或产品基片)意思是用来制作器件的基片。在步骤352,启动该温度监测以及控制工艺。在一个实施例中,步骤352包括接收信号以停止处理常规基片(或产品基片)而开始温度监测以及控制工艺。在步骤353,该基片温度监测工艺开始于将特殊的基片(例如类似于之间描述的基片150的基片)设在该处理室中以测量基片温度。在步骤354,开始基片温度测量工艺。在一个实施例中,该工艺包括在该处理室中开始一个处理序列以及使光线到达温度监测基片的背面(在该基片背面上有感光材料)。该感光材料在接收到该光线时将发射荧光,其可以被检测和分析。在步骤355I,检测指示基片温度的信号。在步骤355II,分析指示基片温度的信号或基片温度测量信号。
在步骤356,根据该基片温度测量结果,该数据分析器决定该测量温度是否到达所需基片温度。如果测量温度不在目标温度范围内以及测量温度超出操作者规定的工艺限制,该工艺可进行到步骤357以向操作者发出报警从而采取必要的行动。或者,如果测量温度不在目标温度范围内,但在系统调节可使该温度处于目标范围内的范围,则该工艺可通过向处理室发送控制信号以调节基片温度控制元件(如背面He压力、ESC散热器温度或ESC加热元件)而进行到步骤358。在一个实施例中,步骤358包括在发送控制信号至处理室以调节基片温度控制元件之前决定用于该基片温度控制元件控制设置点。在调节该温度控制元件之后,该工艺可回到步骤354以重复基片温度测量工艺。该温度测量以及调节可持续到温度达到所需温度(或目标范围)。如果测量温度在目标范围内,该工艺可进行到步骤359以在具有新的氦气压力、ESC加热器设置点和/或ESC散热器温度的处理室恢复处理常规基片。
图3A的基片温度校准工艺以及图3B的基片温度监测以及控制工艺可用于集合系统(如图2所示)的多个室(如室226I、226II、226III)。对于高级蚀刻,室与室之间的匹配对于实现紧密CD控制是非常重要的。如之前描述的,基片温度强烈地影响蚀刻性能,在室之间的处理期间基片温度的匹配对实现密集CD控制是关键的。
关于处理期间的基片温度,基片温度经过具有高点和低点的曲线。图4示出用于基片的多晶硅蚀刻工艺的一个示范性基片温度分布403。该温度分布示出在预蚀刻步骤期间温度快速上升,在主蚀刻步骤相对平地下降。在过蚀刻步骤期间,该基片温度低于主蚀刻步骤。跟着该过蚀刻步骤是去卡紧步骤。在该去卡紧步骤,该基片温度在该基片去卡紧之后剧烈地上升以及剧烈地下降。使用特殊基片(如上面描述的基片150)以在处理期间测量该基片温度,可在该蚀刻工艺的特定步骤(如该预蚀刻步骤、主蚀刻步骤、过蚀刻步骤或去卡紧步骤)或整个蚀刻工艺中进行数据收集。如果选择特定步骤,那么所选择进行监测的步骤通常是温度改变对于蚀刻工艺性能(包括关键尺寸(CD)分布)最关键的步骤。例如,可选择主蚀刻步骤从TA到TB的蚀刻时间来监测基片温度。基于许多基片的处理数据,可建立控制区域以设定可接收的控制范围。图4中,虚线401以及402是多晶硅蚀刻的示范性的上、下控制曲线。该特殊基片可用来在基片处理期间测量特定瞬时的基片温度。例如,可选择测量该主蚀刻步骤的TA的基片温度以与控制上限TU以及控制下限TL相比较。如果测量温度超出TU或TL,调节该He压力161、该加热元件162或散热器163的设置以使该基片温度处于控制限制内。
除了使用在该基片背面具有感光材料的温度测量基片之外,还可使用其他类型的技术。例如,California,PleasantHill的OnWafer Technologies,提供带有温度传感器的晶片或者在晶片上提供可检测基片温度的装置。这些传感器(或装置)置于该晶片内。在一个实施例中,该传感器晶片在该晶片上具有存储装置以存储由该传感器收集到的基片温度数据。OnWafer Technologies的传感器晶片可设置在处理室内以与上述基片150类似的方式测量基片温度。利用OnWafer Technologies的传感器晶片,不需要额外的光源。该传感器晶片可设置在类似于图2的工作台232、234以及也类似于该负载锁204的工作台上。该传感器晶片还可用于图3A以及3B中描述的类似的工艺流程。另外,传感器晶片(如OnWafer Technology的)可能需要电池来向晶片上的装置和存储装置供电。在一个实施例中,用于夹持该传感器晶片的工作台或负载锁装备有电池充电器。
图5A示出示范性的传感器基片550,其设在ESC 560上。该基片550具有用于在基片表面上测量基片温度的装置551(见图5B)。在一个实施例中,基片550具有存储装置552,其存储由该装置551收集的数据(或信号)用于之后读取。在一个实施例中,该传感器基片550具有存储装置552,其可存储由装置551收集的数据(或信号)用于之后读取。在一个实施例中,该传感器基片550还具有电池553,其向该装置551以及存储装置552供电。该存储装置552耦接至端口554,其可以是物理端口、红外端口或光学端口。
在该传感器基片550完成处理室500中的数据收集之后,将基片550返回到其存储位置(工作台)555,如工作台232、工作台234或负载锁204。在其存储工作台(或室)555,基片550设在电池充电工作台580上,其具有与基片550的电池553电连接的电池充电装置581(见图5C)。该电池充电装置581经过物理连接件或者通过使用将电力传递到该基片550上的电池553导电回路任一方式电连接到电池554。在一个实施例中,降低具有探针571的信号检测器570以接触基片550。该探针571电连接到物理端口554,其耦接到该存储装置s552。在另一实施例中,具有信号采集器571的信号检测器570不与该基片物理接触。该信号采集器571处于该端口的554视界内以收集红外或光学信号。将由检测器570收集以及由该存储装置552存储的信号通过该物理端口554提供至分析器171′。在另一实施例中,该端口554是红外端口,该探针571发射红外线以从端口554收集数据。在一个实施例中,该分析器171′处理该数据以确定该基片温度。然后将来自该分析器171′的结果发送到该室控制器180。该结果允许该室控制器180确定该温度是否在控制限制内。如上所述,该室控制器180具有软件和硬件以向静电卡盘(ESC)加热/冷却元件(如ESC加热器162、ESC冷却液通道163以及该ESC中的He背面压力161)的控制器发送控制信号,从而调节该加热/冷却元件的参数以使基片温度在控制限制内。
除了使用如该信号检测器570的探针571的装置从基片550收集数据之外,也可使用无线信号检测(收集)装置590通过该基片550上的无线端口554′从该基片550收集数据(见图5D)。类似地,如上所述,该数据可提供至分析器171″以及该分析器171″的结果可发送到室控制器180。
使用特殊基片以原位测量温度,可以收集准确的基片温度数据,以及该温度数据可用来在随后的基片处理期间更精确地控制该基片温度。利用更精确的该基片温度测量以及控制,可以实现晶片与晶片之间以及室与室之间更紧密的CD分布。
本发明的概念可应用于任何适用的系统以及工艺,并且不限于蚀刻室及蚀刻工艺。例如,化学气相沉积以及光刻胶预烘干系统和工艺也可采用本发明的概念。该应用最适于校准、监测和/或控制性能对基片温度敏感的工艺以及设备。
尽管为了清楚理解的目的相当详细地描述前述发明,但是显然,可在所附权利要求的范围内实施某些变化和修改。因此,当前实施例应当认为是说明性而非限制性的,以及本发明并不限于这里给出的细节,而是可在所附权利要求的范围以及等同方式内进行修改。

Claims (24)

1.一种处理室,包括:
静电卡盘,包括至少一个光线管,该静电卡盘能够接收在背面至少一个点上具有感光以及温敏材料的基片;
光源,耦接到该至少一个光线管,该光源配置为提供光线至该至少一个光线管以便当该基片在该静电卡盘上时提供光线至在该基片背面的该至少一个点上的该感光以及温敏材料;
检测器,耦接至该至少一个光线管,该检测器配置为收集由提供到该感光以及温敏材料上的光线导致的从该感光以及温敏材料发出的荧光以确定在该基片背面至少一个点上的基片温度,其中该基片温度通过测量所发出的荧光在关掉光源后的衰减来测量;以及
室控制器,利用所确定的基片温度来调节该静电卡盘温度控制参数,从而为在该静电卡盘上处理的多个基片保持所需的基片温度范围。
2.根据权利要求1所述的处理室,进一步包括:
分析器,配置为处理所接收到的从该基片背面至少一个点上的感光以及温敏材料发出的荧光以确定在该基片背面至少一个点上的基片温度。
3.根据权利要求1所述的处理室,其中该室控制器配置为根据所确定的基片温度辨别在该基片背面多个点的任意一个是否发生临界温度变化。
4.根据权利要求3所述的处理室,其中当检测到在该基片背面至少一个点之一上的温度度数超出所需基片温度范围时发生该临界温度变化。
5.根据权利要求1所述的处理室,其中使用一个或多个加热/冷却元件设定该温度控制参数。
6.根据权利要求5所述的处理室,其中该加热/冷却元件之一由背面氦气(He)压力设定。
7.根据权利要求1所述的处理室,其中在该基片背面有多个具有该感光以及温敏材料的点,以及在该静电卡盘中与该多个点相关的独立控制的区内调节温度控制参数,从而单独控制该独立控制区中的温度。
8.根据权利要求1所述的处理室,其中在背面至少一个点上具有感光以及温敏材料的基片设置在工作台或负载锁中,该工作台或负载锁耦接到基片传送室。
9.根据权利要求1所述的处理室,其中该光源通过嵌在该光线管中的光纤提供光线。
10.一种组合设备工具系统,包括:
基片夹持工作台,用于夹持基片,其中该基片在其背面具有感光以及温敏材料,以响应施加到该感光以及温敏材料的光线发出荧光从而确定基片温度;
处理室,该处理室配置为从该基片夹持工作台接收该基片以及当该基片在该处理室中时进行主动工艺操作,其中该处理室具有包括至少一个光线管的静电卡盘,该至少一个光线管构造为通过光线;以及
检测器,连接到该至少一个光线管用于在该处理室进行该主动工艺操作时检测由该基片背面上的感光以及温敏材料发出的荧光,其中该基片温度通过测量所发出荧光在光源关闭后的衰减来测量。
11.根据权利要求10所述的组合设备工具系统,进一步包括:
分析器,配置为从发出的荧光确定该基片温度;以及
室控制器,配置为确定该基片温度是否超出控制范围以及调节该处理室的温度控制参数以使该基片温度在用于多个在该处理室处理的基片的控制范围内。
12.根据权利要求10所述的组合设备工具系统,其中该检测器整合在该处理室中。
13.根据权利要求10所述的组合设备工具系统,其中发出的荧光被用于测量基片温度的装置捕获以及传输到存储装置用于之后读取,该测量基片温度的装置以及该存储装置嵌在该基片中。
14.根据权利要求10所述的组合设备工具系统,其中该基片进一步嵌入电池以对该测量基片温度的装置以及该存储装置充电。
15.根据权利要求14所述的组合设备工具系统,其中该基片夹持工作台包括电池充电工作台以便对嵌在该基片中的电池充电。
16.根据权利要求10所述的组合设备工具系统,其中该信号检测器整合在该基片夹持工作台中。
17.根据权利要求10所述的组合设备工具系统,其中该信号检测器是无线装置。
18.根据权利要求10所述的组合设备工具系统,其中该信号检测器检测光学信号。
19.根据权利要求10所述的组合设备工具系统,其中该信号检测器检测红外信号。
20.根据权利要求10所述的组合设备工具系统,其中该基片夹持工作台耦接至该组合设备工具系统的负载锁、真空传输模块或至气动传输模块。
21.一种在权利要求1或10所述的处理室中处理基片期间原位监测以及控制基片温度的方法,包括:
将基片设在该处理室中,其中该基片在其背面一个或多个点上具有感光以及温敏材料;
在该处理室中开始一个处理序列;
将光线施加到在该基片背面一个或多个点上的感光以及温敏材料;
收集由施加到该感光以及温敏材料导致从该感光以及温敏材料发出的荧光以确定纵贯该基片的一个或多个区的一个或多个基片处理过程中的基片温度,其中该基片温度通过测量发出的荧光在光源关闭之后的衰减来测量;以及
确定该一个或多个基片处理温度是否在控制范围内。
22.根据权利要求21所述的方法,进一步包括:
如果该一个或多个基片处理过程中的基片温度的任何一个不在控制范围内,则发送控制信号至该处理室以调节基片温度控制元件以使该一个或多个基片温度在控制范围内。
23.根据权利要求21所述的方法,其中该信号在该处理序列期间所选取的工艺步骤中选取的时间范围内收集。
24.根据权利要求23所述的方法,其中该信号在该处理序列期间选取的瞬时收集。
CN2007800336063A 2006-09-11 2007-08-30 原位晶片温度测量和控制 Expired - Fee Related CN101512307B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/519,542 2006-09-11
US11/519,542 US7560007B2 (en) 2006-09-11 2006-09-11 In-situ wafer temperature measurement and control
PCT/US2007/019231 WO2008033234A2 (en) 2006-09-11 2007-08-30 In-situ wafer temperature measurement and control

Publications (2)

Publication Number Publication Date
CN101512307A CN101512307A (zh) 2009-08-19
CN101512307B true CN101512307B (zh) 2012-06-20

Family

ID=39170201

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800336063A Expired - Fee Related CN101512307B (zh) 2006-09-11 2007-08-30 原位晶片温度测量和控制

Country Status (6)

Country Link
US (1) US7560007B2 (zh)
JP (1) JP5850601B2 (zh)
KR (1) KR101425237B1 (zh)
CN (1) CN101512307B (zh)
TW (1) TWI389231B (zh)
WO (1) WO2008033234A2 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003280184A1 (en) * 2002-12-09 2004-06-30 Koninklijke Philips Electronics N.V. System and method for suppression of wafer temperature drift in cold-wall cvd system
DE102006051550B4 (de) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Verfahren und Vorrichtung zum Strukturieren von Bauteilen unter Verwendung eines Werkstoffs auf der Basis von Siliziumoxid
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8287688B2 (en) * 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8303716B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
JP5293719B2 (ja) * 2010-10-01 2013-09-18 東京エレクトロン株式会社 基板処理装置のデータ取得方法及びセンサ用基板
US8852964B2 (en) 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
US20150060013A1 (en) * 2013-09-05 2015-03-05 Applied Materials, Inc. Tunable temperature controlled electrostatic chuck assembly
US9196514B2 (en) * 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US10006717B2 (en) 2014-03-07 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking system and method of using the same
CN106688087B (zh) * 2014-07-08 2021-07-23 沃特洛电气制造公司 具有在粘结层中集成温度感测的粘结装置
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
TWI629720B (zh) * 2015-09-30 2018-07-11 東京威力科創股份有限公司 用於濕蝕刻製程之溫度的動態控制之方法及設備
US10973088B2 (en) * 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
GB201611652D0 (en) * 2016-07-04 2016-08-17 Spts Technologies Ltd Method of detecting a condition
CN108010838B (zh) * 2016-10-27 2020-09-04 中微半导体设备(上海)股份有限公司 等离子体处理装置及硅片温度测量方法
US11069547B2 (en) 2017-03-02 2021-07-20 Applied Materials, Inc. In-situ temperature measurement for inside of process chamber
KR102016398B1 (ko) * 2017-07-25 2019-09-02 (주)제이디 센서 장착 웨이퍼 및 그의 보관 장치
CN109724712B (zh) * 2017-10-31 2021-04-30 上海微电子装备(集团)股份有限公司 温度检测装置及其制造方法和激光表面退火设备
KR102076290B1 (ko) * 2017-12-22 2020-02-11 (주)제이디 공정시작조건 검출이 가능한 센서 장착 웨이퍼와 그를 위한 장치
CN108684098A (zh) * 2018-05-21 2018-10-19 广东美的厨房电器制造有限公司 微波炉解冻控制方法、微波炉、终端及计算机存储介质
WO2020023295A1 (en) * 2018-07-25 2020-01-30 Lam Research Corporation Substrate support temperature sensing systems and methods
CN113053775B (zh) * 2019-12-27 2024-04-09 中微半导体设备(上海)股份有限公司 晶圆温度控制器及系统、方法和等离子体处理装置
US20230089982A1 (en) * 2021-09-10 2023-03-23 Applied Materials, Inc. Methods and mechanisms for coupling sensors to transfer chamber robot

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6907364B2 (en) * 2002-09-16 2005-06-14 Onwafer Technologies, Inc. Methods and apparatus for deriving thermal flux data for processing a workpiece

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5530428A (en) * 1993-04-06 1996-06-25 Woods; Randall Security alarm switch
US5332992A (en) * 1993-04-06 1994-07-26 Randall Woods Security alarm switch
TW277139B (zh) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
JP3453223B2 (ja) * 1994-08-19 2003-10-06 東京エレクトロン株式会社 処理装置
JPH09297072A (ja) * 1996-05-01 1997-11-18 Sony Corp 温度測定用光ファイバープローブ
US5673021A (en) * 1996-05-22 1997-09-30 Woods; Randall Magnetic switch assembly for detecting unauthorized opening of doors or windows
JP3653879B2 (ja) * 1996-08-07 2005-06-02 ソニー株式会社 蛍光式温度計
US5880659A (en) * 1997-03-17 1999-03-09 Woods; Randell Magnetic switch assembly for detecting unauthorized opening of doors or windows
US5977873A (en) * 1998-03-04 1999-11-02 Woods; Randall Alarm switch
US6218089B1 (en) * 1998-05-22 2001-04-17 Micron Technology, Inc. Photolithographic method
US20030112848A1 (en) * 2001-08-29 2003-06-19 Khan Abid L. Temperature sensing in controlled environment
US6830650B2 (en) * 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
JP4586333B2 (ja) 2003-05-02 2010-11-24 東京エレクトロン株式会社 熱処理装置、熱処理システム及び熱処理装置の温度制御方法
US20050021272A1 (en) * 2003-07-07 2005-01-27 Jenkins Naomi M. Method and apparatus for performing metrology dispatching based upon fault detection
JP2005136025A (ja) * 2003-10-29 2005-05-26 Trecenti Technologies Inc 半導体製造装置、半導体装置の製造方法及びウエハステージ
US7355715B2 (en) * 2004-10-12 2008-04-08 Tokyo Electron Limited Temperature measuring apparatus, temperature measurement method, temperature measurement system, control system and control method
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US20060238954A1 (en) * 2005-04-21 2006-10-26 Applied Materials, Inc., A Delaware Corporation Electrostatic chuck for track thermal plates

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6907364B2 (en) * 2002-09-16 2005-06-14 Onwafer Technologies, Inc. Methods and apparatus for deriving thermal flux data for processing a workpiece

Also Published As

Publication number Publication date
CN101512307A (zh) 2009-08-19
JP5850601B2 (ja) 2016-02-03
US7560007B2 (en) 2009-07-14
KR20090051092A (ko) 2009-05-20
WO2008033234A3 (en) 2008-07-10
US20080064126A1 (en) 2008-03-13
WO2008033234A2 (en) 2008-03-20
TWI389231B (zh) 2013-03-11
JP2010503231A (ja) 2010-01-28
TW200832583A (en) 2008-08-01
KR101425237B1 (ko) 2014-08-01

Similar Documents

Publication Publication Date Title
CN101512307B (zh) 原位晶片温度测量和控制
CN1129962C (zh) 在工艺过程中控制半导体晶片温度的温度控制装置和方法
US6190037B1 (en) Non-intrusive, on-the-fly (OTF) temperature measurement and monitoring system
JP7378538B2 (ja) 測定ウェハ装置
US5775808A (en) Apparatus for real-time, in situ measurement of temperature and a method of fabricating and using same
KR102303147B1 (ko) 독립적으로 제어가능한 가열기 엘리먼트들의 어레이를 갖는 기판 캐리어
JP2010503231A5 (zh)
US20070077355A1 (en) Film formation apparatus and methods including temperature and emissivity/pattern compensation
US20070074665A1 (en) Apparatus temperature control and pattern compensation
CN105206552A (zh) 多路的加热器阵列的故障检测方法
TWI709192B (zh) 用以校正具有靜電夾頭之溫度值的有限數目感應器用純量場作用值與用以根據校正值估計溫度分布輪廓之系統與方法
WO2010019283A2 (en) Method for measuring dopant concentration during plasma ion implantation
US20120251705A1 (en) Temperature controlling method and plasma processing system
CN105374657A (zh) 等离子体处理装置及其温度控制方法
US20050127192A1 (en) Endpoint detector for a substrate manufacturing process
US20210388501A1 (en) Semiconductor deposition monitoring device
KR102287443B1 (ko) 정전척 히터의 온도 제어 시스템
US10656029B2 (en) Processing system having optical temperature measurement subsystem
US11749542B2 (en) Apparatus, system, and method for non-contact temperature monitoring of substrate supports
Kim et al. Measuring the wafer temperature in HVM process tools using a new approach with automated wireless HighTemp-400 and EtchTemp-SE wafer systems
Gabriel Wafer temperature measurements during dielectric etching in a MERIE etcher
KR970006212B1 (ko) 이온주입장비의 웨이퍼 가열 시스템
Freed et al. Real time in-situ data acquisition using autonomous on-wafer sensor arrays
WO2024015694A1 (en) Plasma detection in semiconductor fabrication apparatuses
KR20010018427A (ko) 반도체 웨이퍼 정렬장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120620

Termination date: 20180830

CF01 Termination of patent right due to non-payment of annual fee