KR101349266B1 - Plasma processing apparatus and method of forming micro crystal silicon layer - Google Patents

Plasma processing apparatus and method of forming micro crystal silicon layer Download PDF

Info

Publication number
KR101349266B1
KR101349266B1 KR1020117015570A KR20117015570A KR101349266B1 KR 101349266 B1 KR101349266 B1 KR 101349266B1 KR 1020117015570 A KR1020117015570 A KR 1020117015570A KR 20117015570 A KR20117015570 A KR 20117015570A KR 101349266 B1 KR101349266 B1 KR 101349266B1
Authority
KR
South Korea
Prior art keywords
gas
substrate
hydrogen
shower plate
concentration
Prior art date
Application number
KR1020117015570A
Other languages
Korean (ko)
Other versions
KR20110094115A (en
Inventor
사다츠구 와카마츠
고지 가메사키
마사시 기쿠치
요스케 짐보
겐지 에토
신 아사리
히로토 우치다
Original Assignee
가부시키가이샤 아루박
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 아루박 filed Critical 가부시키가이샤 아루박
Publication of KR20110094115A publication Critical patent/KR20110094115A/en
Application granted granted Critical
Publication of KR101349266B1 publication Critical patent/KR101349266B1/en

Links

Images

Classifications

    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

이 플라즈마 처리 장치는 챔버(2)와 전극 플랜지(4)와 절연 플랜지(81)로 구성되고, 반응실(α)을 가지는 처리실; 상기 반응실(α) 내에 수용되고, 기판(10)이 놓이는 지지부(15); 상기 반응실(α) 내에 수용되고, 상기 기판(10)에 대향하도록 배치되며, 상기 기판(10)으로 향하여 프로세스 가스를 공급하는 샤워 플레이트(5); 상기 전극 플랜지(4)와 상기 샤워 플레이트(5) 사이의 공간(31) 내에 설치되고, 복수의 가스 도입구(34) 각각에 연통하여 동심형상이면서 환상으로 배치되며, 상기 샤워 플레이트(5)로 향하여 다른 조성의 상기 프로세스 가스를 독립하여 공급하는 복수의 가스 공급부(8); 상기 샤워 플레이트(5)와 상기 지지부(15) 사이에 전압을 인가하는 전압 인가부(33);를 포함한다.This plasma processing apparatus includes a processing chamber composed of a chamber 2, an electrode flange 4, and an insulating flange 81, and having a reaction chamber α; A support part 15 accommodated in the reaction chamber and on which the substrate 10 is placed; A shower plate (5) accommodated in the reaction chamber (alpha), disposed to face the substrate (10), and supplying a process gas toward the substrate (10); It is installed in the space 31 between the electrode flange 4 and the shower plate 5, and is concentrically and annularly arranged in communication with each of the plurality of gas inlets 34, and to the shower plate 5 A plurality of gas supply parts 8 for independently supplying the process gases of different compositions toward the fuel cell; And a voltage applying unit 33 for applying a voltage between the shower plate 5 and the support unit 15.

Description

플라즈마 처리 장치 및 마이크로 크리스탈 실리콘의 성막 방법{Plasma processing apparatus and method of forming micro crystal silicon layer}Plasma processing apparatus and method of forming micro crystal silicon layer

본 발명은 플라즈마 처리 장치에 관한 것이다.The present invention relates to a plasma processing apparatus.

본원은 2009년 1월 9일에 출원된 특원 2009-004023호에 기초하여 우선권을 주장하고, 그 내용을 여기에 원용한다.This application claims priority based on Japanese Patent Application No. 2009-004023 for which it applied on January 9, 2009, and uses the content here.

종래부터 플라즈마 처리의 일례로서 플라즈마 상태의 프로세스 가스를 이용하여 기판 상에 박막을 형성하는 플라즈마 CVD법에 의한 성막 장치(p-CVD 성막 장치)가 알려져 있다. 이 p-CVD 성막 장치는, 예를 들면 기판 상에 아몰퍼스 실리콘(a-Si) 막을 형성할 때에 이용된다.DESCRIPTION OF RELATED ART Conventionally, the film-forming apparatus (p-CVD film-forming apparatus) by the plasma CVD method which forms a thin film on a board | substrate using the process gas of a plasma state as an example of a plasma process is known. This p-CVD film forming apparatus is used, for example, when forming an amorphous silicon (a-Si) film on a substrate.

도 6은 종래의 p-CVD 성막 장치의 일례를 도시하는 모식적인 단면도이다.6 is a schematic cross-sectional view showing an example of a conventional p-CVD film deposition apparatus.

도 6에서, 성막 장치(101)는 챔버(102)를 가지고 있고, 챔버(102)의 하부에는 챔버(102)의 바닥면을 삽입 관통하여 상하 방향으로 승강 가능한 지주(支柱)(125)가 배치되어 있다. 챔버(102) 내에서의 지주(125)의 단부에는 판형상의 베이스 플레이트(103)가 장착되어 있다. 챔버(102)의 상부에는 절연 플랜지(181)를 개재하여 전극 플랜지(104)가 장착되어 있다.In FIG. 6, the film forming apparatus 101 has a chamber 102, and a strut 125 that is capable of lifting up and down by inserting a bottom surface of the chamber 102 into the lower portion of the chamber 102 is disposed. It is. The plate-shaped base plate 103 is attached to the end of the strut 125 in the chamber 102. The electrode flange 104 is attached to the upper part of the chamber 102 via the insulating flange 181.

챔버(102)와 전극 플랜지(104) 사이에서, 전극 플랜지(104)에는 샤워 플레이트(105)가 장착되어 있다. 샤워 플레이트(105)와 전극 플랜지(104) 사이에는 공간(131)이 형성되어 있다.Between the chamber 102 and the electrode flange 104, a shower plate 105 is mounted to the electrode flange 104. A space 131 is formed between the shower plate 105 and the electrode flange 104.

전극 플랜지(104)에는 가스 도입관(107)이 접속되어 있다. 성막 가스 공급부(121)로부터 가스 도입관(107)을 통해 프로세스 가스는 공간(131) 내에 공급된다. 샤워 플레이트(103)에는 다수의 가스 분출구(106)가 설치되어 있다. 공간(131) 내에 공급된 프로세스 가스는 가스 분출구(106)로부터 챔버(102) 내로 분출된다.The gas introduction pipe 107 is connected to the electrode flange 104. The process gas is supplied into the space 131 from the deposition gas supply part 121 through the gas introduction pipe 107. The shower plate 103 is provided with a plurality of gas blowing holes 106. Process gas supplied into the space 131 is ejected from the gas outlet 106 into the chamber 102.

또한, 피처리체인 기판(115)에 막을 형성할 때에 챔버(102)의 내벽면 등에도 성막 재료가 부착되어 버린다. 이러한 성막 재료를 제거하기 위해, 성막 장치(101)는 챔버(102)에 접속된 라디칼원(123)과, 라디칼원(123)에 접속된 불소 가스 공급부(122)를 가진다. 불소 가스 공급부(122)로부터 공급된 불소 가스는 라디칼원(123)에서 분해되어 불소 라디칼이 얻어지고, 불소 라디칼을 챔버(102) 내의 성막 공간에 공급함으로써 부착물(성막 재료)이 제거된다.In addition, when a film is formed on the substrate 115 which is the object to be processed, a film forming material adheres to the inner wall surface of the chamber 102 or the like. In order to remove such a film forming material, the film forming apparatus 101 has a radical source 123 connected to the chamber 102 and a fluorine gas supply part 122 connected to the radical source 123. The fluorine gas supplied from the fluorine gas supply unit 122 is decomposed at the radical source 123 to obtain fluorine radicals, and the deposits (deposition materials) are removed by supplying the fluorine radicals to the film formation space in the chamber 102.

베이스 플레이트(103)의 표면은 평탄하게 형성되어 있다. 베이스 플레이트(103)의 상면에는 지지부(110)가 놓여져 있다. 이와 같이 베이스 플레이트(103) 상에 지지부(110)를 올려놓음으로써 지지부(110)의 변형량이 억제된다.The surface of the base plate 103 is formed flat. The support 110 is placed on the upper surface of the base plate 103. The amount of deformation of the support 110 is suppressed by placing the support 110 on the base plate 103 in this way.

또한, 지지부(110)의 표면은 베이스 플레이트(103)와 같이 평탄하게 형성되어 있다. 지지부(110)의 상면에는 기판(115)이 놓여져 있다.In addition, the surface of the support part 110 is formed flat like the base plate 103. The substrate 115 is placed on the upper surface of the support 110.

기판(115)이 배치되면, 기판(115)과 샤워 플레이트(105)는 서로 근접하여 대략 평행해진다.When the substrate 115 is disposed, the substrate 115 and the shower plate 105 are approximately parallel to each other in close proximity.

지지부(110) 상에 기판(115)이 배치된 상태로 가스 분출구(106)로부터 프로세스 가스를 분출시키면, 프로세스 가스는 기판(115)의 표면 상에 공급된다.When the process gas is ejected from the gas ejection port 106 with the substrate 115 disposed on the support 110, the process gas is supplied onto the surface of the substrate 115.

전극 플랜지(104) 및 샤워 플레이트(105)는 도전재로 구성되어 있다. 전극 플랜지(104)는 챔버(102)의 외부에 설치된 RF 전원(133)(고주파 전원)에 접속되어 있다.The electrode flange 104 and the shower plate 105 are made of a conductive material. The electrode flange 104 is connected to an RF power source 133 (high frequency power source) provided outside the chamber 102.

상기 구성의 성막 장치(101)를 이용하여 기판(115)의 표면에 박막을 형성하는 데는, 우선 진공 펌프(128)를 이용하여 챔버(102) 안을 감압한다.In order to form a thin film on the surface of the substrate 115 using the film forming apparatus 101 having the above configuration, first, the vacuum pump 128 is used to reduce the pressure inside the chamber 102.

챔버(102) 안을 진공 상태로 유지된 상태에서 기판(115)이 진공 챔버(102) 내에 반입되어 지지부(110) 상에 놓인다.The substrate 115 is loaded into the vacuum chamber 102 and placed on the support 110 with the chamber 102 maintained in a vacuum state.

그 후, 가스 도입관(107)을 통해 프로세스 가스가 공급되고, 가스 분출구(106)로부터 진공 챔버(102) 안으로 프로세스 가스가 분출된다.Thereafter, the process gas is supplied through the gas introduction pipe 107, and the process gas is ejected from the gas ejection port 106 into the vacuum chamber 102.

전극 플랜지(104)는 절연 플랜지(181)를 개재하여 챔버(102)와 전기적으로 절연되어 있다. 챔버(102)가 접지된 상태로 고주파 전원(133)(예를 들면, RF 전원)을 기동하고, 전극 플랜지(104)에 고주파 전압을 인가한다. 이에 의해, 샤워 플레이트(105)와 지지부(110) 사이에 고주파 전압이 인가되어 방전이 발생하고, 샤워 플레이트(105)와 기판(115)의 표면 사이에 프로세스 가스의 플라즈마(P)가 발생한다. 이와 같이 발생한 플라즈마(P) 내에서 프로세스 가스가 분해되고, 기판(115)의 표면에서 기상 성장 반응이 생겨 기판(115)의 표면에 박막이 성막된다.The electrode flange 104 is electrically insulated from the chamber 102 via the insulating flange 181. The high frequency power supply 133 (for example, an RF power supply) is started in the state in which the chamber 102 is grounded, and a high frequency voltage is applied to the electrode flange 104. As a result, a high frequency voltage is applied between the shower plate 105 and the support 110 to generate a discharge, and plasma P of the process gas is generated between the shower plate 105 and the surface of the substrate 115. The process gas is decomposed in the plasma P generated as described above, and a gas phase growth reaction occurs on the surface of the substrate 115 to form a thin film on the surface of the substrate 115.

또한, 상기와 같은 성막 공정이 몇 번이나 반복되면 챔버(102)의 내벽면 등에 성막 재료가 부착되기 때문에, 챔버(102) 안은 정기적으로 클리닝된다. 클리닝 공정에서는, 불소 가스 공급부(122)로부터 공급된 불소 가스가 라디칼원(123)에 의해 분해되어 불소 라디칼이 생기고, 불소 라디칼이 챔버(102) 내에 공급된다. 이와 같이 챔버(102) 내의 성막 공간에 불소 라디칼을 공급함으로써 화학 반응이 생기고, 챔버(102)의 내벽면 등에 부착된 부착물이 제거된다.In addition, since the film forming material adheres to the inner wall surface of the chamber 102 and the like after the film forming process is repeated many times, the inside of the chamber 102 is periodically cleaned. In the cleaning process, the fluorine gas supplied from the fluorine gas supply unit 122 is decomposed by the radical source 123 to generate fluorine radicals, and the fluorine radicals are supplied into the chamber 102. By supplying fluorine radicals to the film formation space in the chamber 102 in this manner, a chemical reaction occurs, and deposits attached to the inner wall surface of the chamber 102 and the like are removed.

그런데, 종래의 액정 디스플레이(LCD: Liquid Crystal Display) 제조 등에 비해 태양 전지의 제조, 특히 마이크로 크리스탈 실리콘(μc-Si)을 이용한 태양 전지의 제조에서는 생산성의 관점에서 성막 속도의 고속화가 필요하다.By the way, compared with the conventional liquid crystal display (LCD) manufacture, solar cell manufacture, especially the manufacture of the solar cell using microcrystal silicon (microc-Si), requires the speed-up of the film-forming speed from a viewpoint of productivity.

성막 조건으로서, 예를 들면 모노실란(SiH4)에 대해 수소(H2)가 비교적 높은 배율로 희석된 고압 프로세스가 이용되는 것이 일반적이다. 이러한 고속 성막법으로서는 내로우 갭에 의한 고압 고갈법이 유효하게 이용된다(예를 들면, 특허문헌 1 및 특허문헌 2 참조).As deposition conditions, for example, a high pressure process in which hydrogen (H 2 ) is diluted at a relatively high magnification with respect to monosilane (SiH 4 ) is generally used. As such a high speed film-forming method, the high pressure depletion method by a narrow gap is used effectively (for example, refer patent document 1 and patent document 2).

또한, 마이크로 크리스탈 실리콘의 성막법에서는 수소 라디칼이 마이크로 크리스탈 실리콘의 막질에 영향을 준다. 수소 라디칼의 양이 많은 경우에는 실리콘막이 결정화되기 쉽다. 또한, 수소 라디칼의 양이 적은 경우에는 아몰퍼스막이 얻어지기 쉽다.In addition, in the film formation method of microcrystal silicon, hydrogen radicals affect the film quality of microcrystal silicon. When the amount of hydrogen radicals is large, the silicon film is likely to crystallize. In addition, when the amount of hydrogen radicals is small, an amorphous film is easily obtained.

최근 상술한 태양 전지의 제조에서는, LCD 제조에서의 G5 사이즈(1100mm×1300mm) 정도 이상의 크기를 가지는 기판을 사용하여 태양 전지를 생산하는 경우가 많다.In the manufacture of the above-mentioned solar cell, the solar cell is often produced using the board | substrate which has the magnitude | size more than about G5 size (1100 mm x 1300 mm) in LCD manufacture in recent years.

실제의 생산 장치에서는, 전극 플랜지에 1개소 또는 복수 개소에 가스 도입구가 설치되어 있고, 모노실란 및 수소의 혼합 가스(프로세스 가스)가 공간(131) 내에 공급되어 있다. 또, 샤워 플레이트에 의해 프로세스 가스의 방출 속도가 균일화되고, 이 프로세스 가스가 성막 공간에 방출되며, 발생된 플라즈마에 의해 프로세스 가스가 분해되어 기판 상에 막이 형성되어 있다(예를 들면, 특허문헌 3 참조).In an actual production apparatus, gas inlets are provided at one or a plurality of locations on the electrode flange, and a mixed gas (process gas) of monosilane and hydrogen is supplied into the space 131. Moreover, the discharge rate of process gas is made uniform by a shower plate, this process gas is discharged to the film-forming space, the process gas is decomposed | disassembled by the generated plasma, and the film | membrane is formed on the board | substrate (for example, patent document 3). Reference).

실제의 플라즈마 처리 장치에서는, 서셉터(지지부)의 주변부에 형성된 배기구를 통해 처리 공간 내의 가스가 배기되어 있다. 그 때문에, 모노실란 및 수소가 같은 비율로 포함되어 있는 혼합 가스를 균일하게 처리 공간 내에 공급(방출)해도 플라즈마 반응에 의해 모노실란 가스가 분해됨으로써 수소 라디칼이 발생하고, 기판(115)의 외측에서 수소 가스로부터 생기는 수소 라디칼(H*1)과 모노실란 가스가 분해되어 생기는 수소 라디칼(H*2)이 서로 합해진 수소 라디칼(H*)의 양이 높아지는(많아지는) 문제가 있었다.In the actual plasma processing apparatus, the gas in the processing space is exhausted through an exhaust port formed at the periphery of the susceptor (support). Therefore, even if the mixed gas containing monosilane and hydrogen in the same ratio is uniformly supplied (released) in the processing space, hydrogen radicals are generated by decomposing the monosilane gas by the plasma reaction, so that the hydrogen radicals are generated from the outside of the substrate 115. there is a hydrogen radical (H * 1) and the monosilane gas is decomposed generated hydrogen radicals (H 2 *) are (to be increased), the amount of hydrogen radicals (H *), combined with each other problems arising from the increasing hydrogen gas.

즉, 처리 공간 내에 존재하는 라디칼을 포함하는 가스를 배기함으로써 서셉터의 주변부, 즉 지지부의 외측으로 향하는 흐름이 생겨 처리 공간 내의 위치에 따라 수소 라디칼(H*)의 양에 편차가 생기는 문제가 있었다.That is, by exhausting a gas containing radicals present in the processing space, a flow is generated toward the periphery of the susceptor, i.e., the support, and thus the amount of hydrogen radicals H * varies depending on the position in the processing space. .

이러한 상태는, 예를 들면 도 7과 같이 모식적으로 나타난다.Such a state is shown schematically, for example, as shown in FIG.

도 7은, 종래의 플라즈마 처리 장치를 이용하여 프로세스 가스를 공급(방출)하고 반응시킨 경우에 처리 공간(성막 공간)에 포함되는 수소 라디칼의 양(농도)과 처리 공간에서의 위치(측정점)의 관계를 나타내는 모식도이다.7 shows the amount (concentration) of hydrogen radicals contained in the processing space (film formation space) and the position (measurement point) in the processing space when the process gas is supplied (released) and reacted using a conventional plasma processing apparatus. It is a schematic diagram showing a relationship.

도 7에서, 수소 가스로부터 생기는 수소 라디칼(H*1)의 농도가 일점쇄선으로 나타나고, 모노실란 가스가 분해되어 생기는 수소 라디칼(H*2)의 농도가 이점쇄선으로 나타나며, 수소 라디칼(H*1 및 H*2)이 서로 합해진 수소 라디칼(H*)의 양이 실선으로 나타나 있다.In FIG. 7, the concentration of the hydrogen radical (H * 1 ) generated from the hydrogen gas is shown by the dashed-dotted line, the concentration of the hydrogen radical (H * 2 ) produced by the decomposition of the monosilane gas is shown by the double- dotted line, and the hydrogen radical (H *). The amount of hydrogen radicals H * combined with 1 and H * 2 ) are shown in solid lines.

도 7에 도시된 바와 같이, 종래 구조를 가지는 플라즈마 처리 장치에서는, 모노실란과 수소가 혼합된 프로세스 가스가 균일하게 성막 공간 내에 방출되도록 샤워 플레이트를 조정해도 처리 공간 내의 위치에 따라 수소 라디칼(H*)의 양에 편차가 생겨 버린다. 기판(115)의 중앙부(성막 공간의 중앙부)부터 주연부까지의 영역에 있어서, 기판(115)에 플라즈마 처리를 균일하게 행하기가 어려웠다.As shown in Fig. 7, in the plasma processing apparatus having the conventional structure, even if the shower plate is adjusted so that the process gas mixed with monosilane and hydrogen is uniformly discharged into the film formation space, the hydrogen radicals (H * A difference occurs in the quantity of). In the region from the central portion (center portion of the deposition space) to the peripheral edge portion of the substrate 115, it was difficult to uniformly perform plasma treatment on the substrate 115.

따라서, 기판(115) 상에 성막된 막질의 면내 균일성을 얻기가 어려운 문제가 있었다.Therefore, there is a problem that it is difficult to obtain in-plane uniformity of the film quality formed on the substrate 115.

또한, 상기 특허문헌 1 및 특허문헌 2에서는 프로세스 가스를 균일하게 공급(방출)하여 고속 성막이 실현되어 있는데, 성막 공간에 공급(방출)된 프로세스 가스의 반응에 의해 발생하는 수소 라디칼의 양이 고려되어 있지 않다.Moreover, in the said patent document 1 and patent document 2, high speed film forming is implement | achieved by supplying (releasing) a process gas uniformly, but the quantity of the hydrogen radical generated by reaction of the process gas supplied (released) to the film-forming space is considered. It is not.

또, 상기 특허문헌 3에서는 기판 상에 퇴적되는 퇴적막의 막두께의 균일성이 향상되어 있는데, 처리 공간에서의 복수의 위치 각각에 존재하는 수소 라디칼(H*)의 양이 고려되어 있지 않다.Moreover, although the uniformity of the film thickness of the deposited film deposited on the board | substrate improves in the said patent document 3, the quantity of the hydrogen radical (H * ) which exists in each of several position in a process space is not considered.

그 때문에, 상기 특허문헌 1~3에서는 처리 공간 내의 위치에 따라 수소 라디칼(H*)의 양을 균일하게 조정할 수 없고, 기판(115)의 중앙부부터 주연부까지의 영역에서 기판(115)에 플라즈마 처리를 균일하게 행할 수 없다.Therefore, in Patent Documents 1 to 3, the amount of hydrogen radicals H * cannot be uniformly adjusted according to the position in the processing space, and the plasma processing is performed on the substrate 115 in the region from the center portion to the peripheral portion of the substrate 115. Cannot be performed uniformly.

특허문헌 1: 특개 2002-280377호 공보Patent Document 1: Japanese Patent Application Laid-Open No. 2002-280377 특허문헌 2: 특개 2004-296526호 공보Patent Document 2: Japanese Patent Application Laid-Open No. 2004-296526 특허문헌 3: 특개 2006-13799호 공보Patent Document 3: Japanese Patent Application Laid-Open No. 2006-13799

본 발명은 상술한 사정을 감안하여 이루어진 것으로, 플라즈마 처리가 실시되는 기판의 중앙부부터 주연부까지의 영역에서 기판에 균일하게 플라즈마 처리를 행하는 것이 가능한 플라즈마 처리 장치를 제공하는 것을 목적으로 한다.This invention is made in view of the above-mentioned situation, and an object of this invention is to provide the plasma processing apparatus which can perform a plasma processing to a board | substrate uniformly in the area | region from the center part to the periphery part of a board | substrate to which a plasma process is performed.

상기 과제를 해결하기 위해, 본 발명의 플라즈마 처리 장치는 챔버, 복수의 가스 도입구를 가지는 전극 플랜지, 상기 챔버 및 상기 전극 플랜지에 의해 개재된 절연 플랜지로 구성되고, 반응실을 가지는 처리실; 상기 반응실 내에 수용되고, 기판이 놓이며, 상기 기판의 온도를 제어하는 지지부; 상기 반응실 내에 수용되고, 상기 기판에 대향하도록 배치되며, 상기 기판으로 향하여 프로세스 가스를 공급하는 샤워 플레이트; 상기 전극 플랜지와 상기 샤워 플레이트 사이의 공간 내에 설치되고, 복수의 상기 가스 도입구 각각에 연통하여 동심형상이면서 환상으로 배치되며, 상기 샤워 플레이트로 향하여 다른 조성의 상기 프로세스 가스를 독립하여 공급하는 복수의 가스 공급부; 상기 샤워 플레이트와 상기 지지부 사이에 전압을 인가하는 전압 인가부;를 포함한다.In order to solve the above problems, the plasma processing apparatus of the present invention comprises a chamber, an electrode flange having a plurality of gas inlets, a processing chamber having an reaction flange interposed by the chamber and the electrode flange; A support part accommodated in the reaction chamber, a substrate is placed, and controlling a temperature of the substrate; A shower plate accommodated in the reaction chamber, disposed to face the substrate, and supplying a process gas toward the substrate; It is provided in the space between the electrode flange and the shower plate, and arranged in concentric and annular communication with each of the plurality of gas inlet port, a plurality of independent supply of the process gas of different composition toward the shower plate Gas supply unit; And a voltage applying unit configured to apply a voltage between the shower plate and the support unit.

이 구성에서는, 가스 공급부에 복수의 제1 가스 분출구가 설치되어 있다. 또한, 샤워 플레이트에 복수의 제2 가스 분출구가 설치되어 있다. 또한, 가스 공급부는 동심형상으로 배치된 환상부를 포함한다. 또한, 샤워 플레이트는 제1 전극부로서 기능한다. 또한, 지지부는 제2 전극부로서 기능한다. 제2 가스 분출구를 통과하여 기판으로 향하여 공급된 프로세스 가스는 전압 인가부에 의해 공급된 전압에 의해 플라즈마 상태가 된다.In this structure, the some gas supply port is provided in the gas supply part. In addition, a plurality of second gas ejection ports are provided in the shower plate. The gas supply portion also includes an annular portion arranged concentrically. In addition, the shower plate functions as the first electrode portion. The support portion also functions as the second electrode portion. The process gas supplied through the second gas jet port toward the substrate is in a plasma state by the voltage supplied by the voltage applying unit.

본 발명의 플라즈마 처리 장치는 성막 장치인 것이 바람직하다.It is preferable that the plasma processing apparatus of this invention is a film-forming apparatus.

본 발명의 플라즈마 처리 장치는 에칭 장치인 것이 바람직하다.It is preferable that the plasma processing apparatus of this invention is an etching apparatus.

본 발명의 플라즈마 처리 장치에서는, 상기 가스 공급부는 상기 기판의 중앙부에 공급되는 수소의 농도보다도 상기 기판의 주연부에 공급되는 수소의 농도를 낮게 하도록 상기 프로세스 가스를 상기 기판 상에 공급하는 것이 바람직하다.In the plasma processing apparatus of the present invention, the gas supply unit preferably supplies the process gas onto the substrate so that the concentration of hydrogen supplied to the peripheral portion of the substrate is lower than the concentration of hydrogen supplied to the central portion of the substrate.

본 발명의 플라즈마 처리 장치에서는, 샤워 플레이트로 향하여 다른 조성 또는 종류의 프로세스 가스를 독립하여 공급하는 복수의 가스 공급부가 설치되고, 가스 공급부에 복수의 제1 가스 분출구가 배치되어 있다. 이 구성에 의하면, 기판의 처리면(표면)의 중앙부부터 주연부까지의 영역에서 소정 위치마다 프로세스 가스의 반응에 의해 발생하는 수소 라디칼량을 고려하여 플라즈마 처리를 하기 전에 가스 공급부마다 프로세스 가스의 수소 농도(비율)를 조정할 수 있다. 따라서, 혼합 가스의 비율 또는 각 가스의 농도가 불균일한 프로세스 가스를 공간 내에 공급(방출)할 수 있다.In the plasma processing apparatus of the present invention, a plurality of gas supply units that independently supply process gases of different compositions or types toward the shower plate are provided, and a plurality of first gas ejection ports are arranged in the gas supply unit. According to this configuration, in consideration of the amount of hydrogen radicals generated by the reaction of the process gas at predetermined positions in the region from the center portion to the peripheral portion of the processing surface (surface) of the substrate, the hydrogen concentration of the process gas for each gas supply portion before the plasma treatment is performed. You can adjust the ratio. Therefore, it is possible to supply (release) the process gas in which the ratio of the mixed gas or the concentration of each gas is nonuniform.

또한, 샤워 플레이트에는 복수의 제2 가스 분출구가 배치되어 있고, 제2 가스 분출구를 통과하여 기판으로 향하여 프로세스 가스가 공급된다. 또한, 전압 인가부는 상기 샤워 플레이트로 이루어지는 제1 전극부와 지지부로 이루어지는 제2 전극부 사이에 고주파 전압을 인가한다. 이에 따라, 가스 공급부의 제1 가스 분출구를 통해 공간 내에 혼합 가스의 비율 또는 각 가스의 농도가 불균일한 프로세스 가스가 공급(방출)된다. 이 프로세스 가스는 샤워 플레이트의 제2 가스 분출구를 통해 기판이 배치된 반응 공간에 균일하게 공급된다. 이에 따라, 플라즈마 상태의 프로세스 가스가 얻어진다.In addition, a plurality of second gas ejection openings are arranged in the shower plate, and the process gas is supplied to the substrate through the second gas ejection opening. In addition, the voltage applying unit applies a high frequency voltage between the first electrode portion made of the shower plate and the second electrode portion made of the support portion. Thereby, the process gas in which the ratio of the mixed gas or the density | concentration of each gas is nonuniform is supplied (released) in space through the 1st gas blowing port of a gas supply part. This process gas is uniformly supplied to the reaction space in which the substrate is disposed through the second gas outlet of the shower plate. As a result, a process gas in a plasma state is obtained.

예를 들면, 프로세스 가스로서 모노실란(SiH4) 가스 및 수소(H2) 가스로 이루어지는 혼합 가스를 이용한 경우, 기판의 처리면의 중앙부부터 주연부까지의 영역에서 소정 위치마다 프로세스 가스에 포함되는 수소 가스 및 모노실란 가스의 혼합 비율이 변경된다. 이에 따라, 수소 가스로부터 생기는 수소 라디칼(H*1)과 모노실란 가스가 분해되어 생기는 수소 라디칼(H*2)이 서로 합해진 수소 라디칼(H*)의 총량이 상기 소정 위치 각각에서 같아지도록 제어하는 것이 가능하게 된다. 즉, 기판의 처리면의 중앙부부터 주연부까지의 영역에서 처리면 상의 위치에 의존하지 않고 수소 라디칼을 균일하게 처리면에 노출할 수 있다.For example, when a mixed gas consisting of a monosilane (SiH 4 ) gas and a hydrogen (H 2 ) gas is used as the process gas, hydrogen contained in the process gas at predetermined positions in the region from the center portion to the peripheral portion of the processing surface of the substrate is used. The mixing ratio of the gas and the monosilane gas is changed. Accordingly, to control the total amount of the hydrogen radicals (H * 1) and monosilane hydrogen radicals generated gas is decomposed (H * 2) hydrogen radicals are combined with each other (H *) generated from the hydrogen gas to be equal at the predetermined position respectively, It becomes possible. In other words, the hydrogen radicals can be uniformly exposed to the processing surface regardless of the position on the processing surface in the region from the central portion to the peripheral edge of the processing surface of the substrate.

본 발명에 의하면, 기판의 처리면의 전면에 플라즈마 처리를 균일하게 실시하는 것이 가능한 플라즈마 처리 장치가 얻어진다.According to this invention, the plasma processing apparatus which can perform a plasma process uniformly on the whole surface of the process surface of a board | substrate is obtained.

예를 들면, 상술한 바와 같이 프로세스 가스로서 수소 가스 및 모노실란 가스를 포함하는 혼합 가스를 이용한 경우에는, 처리면의 전면에 형성된 실리콘막의 두께를 균일하게 할 수 있고, 막질도 균질한 실리콘막(예를 들면, a-Si막 또는 미(微)결정 Si막 등)을 성막하는 것이 가능하게 된다.For example, when a mixed gas containing hydrogen gas and monosilane gas is used as the process gas as described above, the thickness of the silicon film formed on the entire surface of the processing surface can be made uniform, and the film quality is uniform. For example, an a-Si film or a microcrystalline Si film or the like can be formed.

또한, 프로세스 가스로서 기판의 처리면 상에 성막된 막을 에칭하는 혼합 가스를 이용한 경우에는, 원하는 에칭 속도로 처리면 상의 막의 전면을 균일하게 에칭할 수 있다. 또한, 처리면 상에 형성된 막 상에 개구부를 가지는 레지스트 패턴이 형성되어 있는 경우에는, 개구부의 형상에 따라 처리면 상에 형성된 막을 에칭할 수 있다.In addition, when the mixed gas which etches the film formed on the process surface of a board | substrate as a process gas is used, the whole surface of the film on a process surface can be etched uniformly at a desired etching rate. In addition, when the resist pattern which has an opening part is formed on the film | membrane formed on the process surface, the film formed on the process surface can be etched according to the shape of an opening part.

또한, 전극 플랜지를 가공하는 공정에서 생산성이 저하되는 일이 없고, 가스 도입구의 수의 증가에 따라 전극 플랜지의 기계 강도가 저하되는 일이 없다. 또, 가스 공급계의 수가 늘지 않고 제조 비용이 증가하는 일도 없다.In addition, productivity does not fall in the process of processing an electrode flange, and the mechanical strength of an electrode flange does not fall with the increase of the number of gas introduction ports. Moreover, the number of gas supply systems does not increase, and manufacturing cost does not increase.

도 1은 본 발명에 관한 플라즈마 처리 장치의 구성을 도시하는 개략 단면도이다.
도 2는 본 발명에 관한 플라즈마 처리 장치가 가지는 가스 공급부의 구조의 일례를 도시하는 개략 평면도이다.
도 3은 본 발명에 관한 플라즈마 처리 장치가 가지는 가스 공급부의 구조의 일례를 도시하는 개략 평면도이다.
도 4는 라만 분광법에 의해 측정되는 기판의 처리면 상의 복수의 측정점을 도시하는 모식도이다.
도 5는 본 발명에 관한 플라즈마 처리 장치의 성막 공간에서 플라즈마 상태의 프로세스 가스 내에 존재하는 수소 라디칼의 양(농도)과 위치의 관계를 도시하는 모식도이다.
도 6은 종래의 플라즈마 처리 장치의 구성을 도시하는 개략도이다.
도 7은 종래의 플라즈마 처리 장치의 성막 공간에서 플라즈마 상태의 프로세스 가스 내에 존재하는 수소 라디칼의 양(농도)과 위치의 관계를 도시하는 모식도이다.
1 is a schematic cross-sectional view showing the configuration of a plasma processing apparatus according to the present invention.
2 is a schematic plan view showing an example of a structure of a gas supply unit of the plasma processing apparatus according to the present invention.
3 is a schematic plan view showing an example of a structure of a gas supply unit of the plasma processing apparatus according to the present invention.
4 is a schematic diagram showing a plurality of measurement points on a processing surface of a substrate measured by Raman spectroscopy.
5 is a schematic diagram showing the relationship between the amount (concentration) and the position of hydrogen radicals present in the process gas in the plasma state in the film formation space of the plasma processing apparatus according to the present invention.
6 is a schematic view showing the configuration of a conventional plasma processing apparatus.
7 is a schematic diagram showing the relationship between the amount (concentration) and the position of hydrogen radicals present in the process gas in the plasma state in the film formation space of the conventional plasma processing apparatus.

이하, 본 발명에 관한 플라즈마 처리 장치의 실시형태를 도면에 기초하여 설명한다.EMBODIMENT OF THE INVENTION Hereinafter, embodiment of the plasma processing apparatus which concerns on this invention is described based on drawing.

또한, 이하의 설명에 이용하는 각 도면에서는 각 구성 요소를 도면 상에서 인식할 수 있는 정도의 크기로 하기 때문에, 각 구성 요소의 치수 및 비율을 실제의 것과는 적절히 다르게 하고 있다.In addition, in each drawing used for the following description, since each component is made into the magnitude | size which can be recognized on drawing, the dimension and ratio of each component are changed suitably from an actual thing.

또한, 본 실시형태에서는 플라즈마 처리 장치가 성막 장치인 경우에 대해 설명한다.In addition, in this embodiment, the case where a plasma processing apparatus is a film-forming apparatus is demonstrated.

도 1은 본 실시형태에서의 성막 장치의 구성을 도시하는 개략도이다.1 is a schematic view showing the configuration of a film forming apparatus in this embodiment.

도 1에 도시된 바와 같이, 플라즈마 CVD법에 의한 성막 장치(1)(p-CVD 성막 장치)는 반응실(α)을 가지는 처리실을 구비하고 있다. 처리실은 챔버(2), 전극 플랜지(4), 챔버(2) 및 전극 플랜지(4)에 개재된 절연 플랜지(81)로 구성되어 있다. 즉, 챔버(2)의 상부에는 절연 플랜지(81)를 개재하여 전극 플랜지(4)가 장착되어 있다. 따라서, 전극 플랜지(4)는 절연 플랜지(81)를 개재하여 챔버(2)와 전기적으로 절연되어 있다.As shown in FIG. 1, the film-forming apparatus 1 (p-CVD film-forming apparatus) by the plasma CVD method is equipped with the process chamber which has reaction chamber (alpha). The process chamber is composed of an insulating flange 81 interposed between the chamber 2, the electrode flange 4, the chamber 2, and the electrode flange 4. That is, the electrode flange 4 is attached to the upper part of the chamber 2 via the insulating flange 81. Therefore, the electrode flange 4 is electrically insulated from the chamber 2 via the insulating flange 81.

한편, 챔버(2)의 바닥부(11)에는 개구부가 형성되어 있다. 이 개구부에는 지주(25)가 삽입 관통되고, 지주(25)는 챔버(2)의 하부에 배치되어 있다. 챔버(2) 내에 위치하는 지주(25)의 단부는 판형상의 베이스 플레이트(3)의 바닥면(19)에 접속되어 있다.On the other hand, the opening part is formed in the bottom part 11 of the chamber 2. The strut 25 is inserted through the opening, and the strut 25 is disposed below the chamber 2. An end of the strut 25 located in the chamber 2 is connected to the bottom surface 19 of the plate-shaped base plate 3.

또한, 성막 장치(1)는 반응실(α) 내에 수용되고, 또한 피처리체인 기판(10)이 놓이는 지지부(15)를 구비하고 있다. 이 지지부(15)는 반응실(α)의 하방의 위치에 배치되어 있다.Moreover, the film-forming apparatus 1 is equipped with the support part 15 accommodated in the reaction chamber (alpha) and on which the board | substrate 10 which is a to-be-processed object is placed. This support part 15 is arrange | positioned below the reaction chamber (alpha).

챔버(2)에는 배기관(28)의 일단이 접속되어 있다. 배기관(28)의 타단에는 진공 펌프(27)가 설치되어 있다. 진공 펌프(27)가 기동하면, 진공 펌프(27)는 배기관(28)을 통해 챔버(2) 내에 존재하는 가스 및 반응 생성물을 배기하고, 챔버(2) 안이 진공 상태가 되도록 감압한다. 따라서, 반응실(α)은 기밀한 진공 처리실을 구성한다. 또, 챔버(2)는 전기적으로 접지되고, 챔버(2)의 전위는 접지 전위로 유지되어 있다. 여기서, 접지 전위란 챔버(2)의 전위가 그랜드 전위 상태 또는 어스한 상태임을 의미한다.One end of the exhaust pipe 28 is connected to the chamber 2. The other end of the exhaust pipe 28 is provided with a vacuum pump 27. When the vacuum pump 27 is started, the vacuum pump 27 exhausts the gas and the reaction product present in the chamber 2 through the exhaust pipe 28, and depressurizes the inside of the chamber 2 to a vacuum state. Therefore, reaction chamber (alpha) comprises an airtight vacuum processing chamber. In addition, the chamber 2 is electrically grounded, and the potential of the chamber 2 is maintained at the ground potential. Here, the ground potential means that the potential of the chamber 2 is in a ground potential state or an earth state.

베이스 플레이트(3)는 표면이 평탄하게 형성된 판형상의 부재이다. 베이스 플레이트(3)의 상면에는 지지부(15)가 놓여져 있다. 베이스 플레이트(3)는 인코넬(등록상표) 등의 니켈계 합금으로 형성되어 있다. 또, 베이스 플레이트(3)는 강성을 가지고 내식성 및 내열성을 가지는 재료이면, 다른 재료에 의해 형성되어도 된다.The base plate 3 is a plate-shaped member having a flat surface. The support part 15 is placed on the upper surface of the base plate 3. The base plate 3 is made of nickel-based alloys such as Inconel®. The base plate 3 may be formed of another material as long as it is a material having rigidity and having corrosion resistance and heat resistance.

또한, 지주(25)는 챔버(2)의 외부에 설치된 승강 기구(도시생략)에 접속되어 있고, 기판(10)의 연직 방향에서 상하로 이동 가능하다. 즉, 지주(25)의 단부에 접속되어 있는 베이스 부재(3)와 베이스 부재(3) 상에 배치된 지지부(15)는 상하 방향으로 승강 가능하다. 또한, 챔버(2)의 외부에서는 지주(25)의 외주를 덮도록 벨로즈(26)가 설치되어 있다.Moreover, the support | pillar 25 is connected to the lifting mechanism (not shown) provided in the exterior of the chamber 2, and can move up and down in the perpendicular direction of the board | substrate 10. As shown in FIG. That is, the base member 3 and the support part 15 arrange | positioned on the base member 3 connected to the edge part of the support | pillar 25 can be elevated up and down. Moreover, the bellows 26 is provided in the exterior of the chamber 2 so that the outer periphery of the support | pillar 25 may be covered.

전극 플랜지(4)의 챔버(2) 측에는 공간(31)을 형성하도록 샤워 플레이트(5)가 장착되어 있다. 이 샤워 플레이트(5)는 반응실(α) 내에 수용되고, 기판(10)의 처리면에 대향하도록 배치되어 있다. 샤워 플레이트(5)는 기판(10)으로 향하여 프로세스 가스(이하, 「성막 가스」라고 함)를 공급한다. 따라서, 샤워 플레이트(5)와 전극 플랜지(4) 사이에 공간(31)이 형성되어 있다.The shower plate 5 is attached to the chamber 2 side of the electrode flange 4 so as to form the space 31. The shower plate 5 is accommodated in the reaction chamber α and is disposed to face the processing surface of the substrate 10. The shower plate 5 supplies a process gas (hereinafter referred to as "film formation gas") toward the substrate 10. Therefore, the space 31 is formed between the shower plate 5 and the electrode flange 4.

샤워 플레이트(5)에는 복수의 제2 가스 분출구(6)가 설치되어 있다. 공간(31) 내에 도입된 성막 가스는 제2 가스 분출구(6)를 통해 챔버(2) 내에 분출된다.The shower plate 5 is provided with a plurality of second gas ejection openings 6. The film forming gas introduced into the space 31 is ejected into the chamber 2 through the second gas ejection opening 6.

또한, 전극 플랜지(4) 및 샤워 플레이트(5)는 모두 도전재로 구성되어 있다. 전극 플랜지(4)는 챔버(2)의 외부에 설치된 전압 인가부인 RF 전원(33)(고주파 전원)에 접속되어 있다.The electrode flange 4 and the shower plate 5 are both made of a conductive material. The electrode flange 4 is connected to the RF power supply 33 (high frequency power supply) which is a voltage application part provided in the exterior of the chamber 2.

RF 전원(33)은 샤워 플레이트(5)로 이루어지는 제1 전극부와 지지부(15)로 이루어지는 제2 전극부 사이에 고주파 전압을 인가한다. 이러한 고주파 전압의 인가에 따라, 제2 가스 분출구(6)를 통과하여 기판(10)으로 향하여 공급된 성막 가스는 플라즈마 상태가 된다.The RF power source 33 applies a high frequency voltage between the first electrode part made of the shower plate 5 and the second electrode part made of the support part 15. In accordance with the application of the high frequency voltage, the film forming gas supplied through the second gas ejection opening 6 toward the substrate 10 becomes a plasma state.

지지부(15)는 베이스 플레이트(3)와 같이 표면이 평탄하게 형성된 판형상의 부재이다. 지지부(15)의 상면에는 기판(10)이 놓인다. 이 지지부(15)는 접지 전극으로서 기능하기 때문에, 지지부(15)의 재료로서는 도전성을 가지는 재료가 채용된다. 기판(10)이 지지부(15) 상에 배치되면, 기판(10)과 샤워 플레이트(5)는 서로 근접하여 평행하게 위치한다. 지지부(15) 상에 기판(10)이 배치된 상태에서 가스 분출구(6)를 통해 성막 가스가 분출되면, 그 성막 가스는 기판(10)의 처리면에 공급된다.The support part 15 is a plate-like member with a flat surface like the base plate 3. The substrate 10 is placed on the upper surface of the support 15. Since this support part 15 functions as a ground electrode, the material which has electroconductivity is employ | adopted as the material of the support part 15. As shown in FIG. When the substrate 10 is placed on the support 15, the substrate 10 and the shower plate 5 are located in parallel and in close proximity to each other. When the film forming gas is ejected through the gas ejection opening 6 in a state where the substrate 10 is disposed on the support 15, the film forming gas is supplied to the processing surface of the substrate 10.

또한, 지지부(15)의 내부에는 온도를 제어하는 히터(16)가 설치되어 있고, 지지부(15)의 온도는 조절 가능하다. 이 히터(16)는, 예를 들면 알루미늄 합금으로 형성되어 있다. 히터(16)는 지지부(15)의 연직 방향에서 본 지지부(15)의 대략 중앙부의 이면(17)으로부터 돌출되어 있다. 히터(16)는 베이스 플레이트(3)의 연직 방향에서 본 베이스 플레이트(3)의 대략 중앙부에 형성된 관통공(18) 및 지주(25)의 내부에 삽입 관통되고, 챔버(2)의 외부로 도출되어 있다. 히터(16)는 챔버(2)의 외부에서 전원(도시생략)에 접속되고, 지지부(15)의 온도를 조절한다.Moreover, the heater 16 which controls temperature is provided in the inside of the support part 15, and the temperature of the support part 15 is adjustable. This heater 16 is made of aluminum alloy, for example. The heater 16 protrudes from the back surface 17 of the substantially center portion of the support part 15 seen in the vertical direction of the support part 15. The heater 16 is inserted into the through hole 18 and the support 25 formed in the substantially center portion of the base plate 3 viewed from the vertical direction of the base plate 3, and is led out of the chamber 2. It is. The heater 16 is connected to a power supply (not shown) outside the chamber 2, and adjusts the temperature of the support part 15.

또, 챔버(2)에는 배기관(28)과는 다른 가스 도입관(24)이 접속되어 있다. 이 가스 도입관(24)에는 라디칼원(23)을 개재하여 불소 가스 공급부(22)가 설치되어 있다. 라디칼원(23)은 불소 가스 공급부(22)로부터 공급된 불소 가스를 분해한다. 가스 도입관(24)은 불소 가스가 분해되어 얻어진 불소 라디칼을 챔버(2) 내의 성막 공간에 공급한다.In addition, a gas introduction pipe 24 different from the exhaust pipe 28 is connected to the chamber 2. The gas inlet tube 24 is provided with a fluorine gas supply unit 22 via a radical source 23. The radical source 23 decomposes the fluorine gas supplied from the fluorine gas supply unit 22. The gas introduction pipe 24 supplies the fluorine radicals obtained by decomposing fluorine gas to the film formation space in the chamber 2.

또한, 전극 플랜지(4)에는 복수의 가스 도입관(7A, 7B, 7C)이 접속되어 있다. 또한, 전극 플랜지(4)에는 복수의 가스 도입구(34A, 34B, 34C)가 설치되어 있다. 가스 도입관(7A, 7B, 7C)은 챔버(2)의 외부에 설치된 원료 가스 공급부(21A, 21B, 21C)와 가스 도입구(34A, 34B, 34C)를 각각 접속한다. 가스 도입구(34A, 34B, 34C)는 원료 가스 공급부(21)로부터 가스 도입관(7A, 7B, 7C)을 통해 공간(31) 내에 성막 가스(예를 들면, 모노실란(SiH4) 가스와 수소(H2) 가스의 혼합 가스)를 공급한다.In addition, the gas flanges 7A, 7B, and 7C are connected to the electrode flange 4. In addition, the electrode flange 4 is provided with a plurality of gas inlets 34A, 34B, and 34C. The gas introduction pipes 7A, 7B and 7C connect the source gas supply parts 21A, 21B and 21C and the gas introduction ports 34A, 34B and 34C respectively provided outside the chamber 2. The gas inlets 34A, 34B, and 34C are formed from the source gas supply unit 21 through the gas inlet pipes 7A, 7B, and 7C in the space 31 and the deposition gas (for example, monosilane (SiH 4 ) gas). Hydrogen (H 2 ) gas).

원료 가스 공급부(21)는 복수의 원료 가스 공급부(21A, 21B, 21C)에 의해 구성되어 있다. 원료 가스 공급부(21A, 21B, 21C)는 다른 조성 또는 종류의 프로세스 가스를 독립하여 공간(31) 내로 공급(방출)한다. 원료 가스 공급부(21A, 21B, 21C) 각각에서는 성막 프로세스를 행하기 전에 성막 가스에 포함되는 가스의 혼합 비율, 예를 들면 수소 가스 및 모노실란 가스의 혼합 비율을 조정할 수 있다. 도 1에 도시된 바와 같이, 본 실시형태에서는, 원료 가스 공급부(21)는 3개의 원료 가스 공급부(21A, 21B, 21C)에 의해 구성되어 있다.The source gas supply part 21 is comprised by the some source gas supply part 21A, 21B, 21C. The source gas supply parts 21A, 21B, 21C independently supply (discharge) the process gases of different compositions or types into the space 31. In each of the source gas supply units 21A, 21B, and 21C, the mixing ratio of the gas included in the deposition gas, for example, the mixing ratio of the hydrogen gas and the monosilane gas, can be adjusted before performing the deposition process. As shown in FIG. 1, in this embodiment, the source gas supply part 21 is comprised by three source gas supply parts 21A, 21B, and 21C.

또한, 가스 도입관(7)은 원료 가스 공급부(21A, 21B, 21C)에 각각 접속하고 있고, 원료 가스 공급부(21A, 21B, 21C)와 가스 도입구(34A, 34B, 34C) 사이의 도중에 각각이 2개의 경로로 분기된 3세트의 가스 도입관(7A, 7B, 7C)을 포함한다.In addition, the gas introduction pipe 7 is connected to the source gas supply parts 21A, 21B, and 21C, respectively, and is in the middle between the source gas supply parts 21A, 21B, and 21C, and the gas introduction ports 34A, 34B, and 34C, respectively. Three sets of gas introduction pipes 7A, 7B, and 7C branched into these two paths are included.

또한, 공간(31) 내에는 샤워 플레이트(5)로 향하여 다른 조성 또는 종류의 성막 가스를 독립하여 도출하는 가스 공급부(8)가 복수 배치되어 있다. 가스 공급부(8)는 내부에 가스가 흐르는 유로를 가지는 관에 의해 형성되어 있다. 복수의 가스 공급부(8) 각각은 동심형상이면서 환상으로 배치되어 있다. 즉, 복수의 가스 공급부(8) 각각의 중심 위치는 일치한다(도 3 참조). 이 환상부 각각에는 복수의 제1 가스 분출구(9)가 설치되어 있다. 본 실시형태에 있어서, 가스 공급부(8)는 도 2 또는 도 3에 도시된 바와 같이 3개의 환상부(8A, 8B, 8C)에 의해 구성되어 있다. 도 2 또는 도 3에서, 내측에 위치하는 제1 환상부(8A)에는 복수의 제1 가스 분출구(9A)가 배치되어 있다. 외측에 위치하는 제3 환상부(8C)에는 복수의 제1 가스 분출구(9C)가 각각 배치되어 있다. 제1 환상부(8A)와 제3 환상부(8C) 사이(중간 위치)에 위치하는 제2 환상부(8B)에는 복수의 제1 가스 분출구(9B)가 각각 배치되어 있다.Moreover, in the space 31, the gas supply part 8 which guides the film-forming gas of a different composition or a kind independently to the shower plate 5 is arrange | positioned. The gas supply part 8 is formed by the tube which has the flow path which gas flows inside. Each of the plurality of gas supply units 8 is arranged concentrically and annularly. That is, the center positions of each of the plurality of gas supply units 8 coincide (see FIG. 3). Each of the annular portions is provided with a plurality of first gas ejection openings 9. In this embodiment, the gas supply part 8 is comprised by the three annular parts 8A, 8B, 8C, as shown in FIG. 2 or 3, a plurality of first gas ejection openings 9A are disposed in the first annular portion 8A located inside. A plurality of first gas ejection openings 9C are disposed in the third annular portion 8C located outside. A plurality of first gas ejection openings 9B are disposed in the second annular portion 8B positioned between the first annular portion 8A and the third annular portion 8C (middle position).

또한, 이러한 가스 공급부(8)는 전극 플랜지(4)에 설치된 복수의 가스 도입구(34A, 34B, 34C)에 각각 연통되어 있다. 본 실시형태에서는, 가스 공급부(8)를 구성하는 하나의 환상부에서 2개의 가스 도입구가 환상부에 연통된 구성이 나타나 있다. 즉, 제1 환상부(8A)는 2개의 가스 도입구(34A)에 연통되고, 제2 환상부(8B)는 2개의 가스 도입구(34B)에 연통되며, 제3 환상부(8C)는 2개의 가스 도입구(34C)에 연통되어 있다. 그리고, 3개의 환상부(8A, 8B, 8C) 각각은 가스 도입구(34A, 34B, 34C)를 개재하여 가스 도입관(7A, 7B, 7C)에 접속되어 있다.In addition, such a gas supply part 8 communicates with the some gas introduction port 34A, 34B, 34C provided in the electrode flange 4, respectively. In this embodiment, a configuration in which two gas inlets communicate with the annular portion in one annular portion constituting the gas supply part 8 is shown. That is, the first annular portion 8A communicates with the two gas inlets 34A, the second annular portion 8B communicates with the two gas inlets 34B, and the third annular portion 8C It is in communication with two gas inlet ports 34C. Each of the three annular portions 8A, 8B, 8C is connected to the gas introduction pipes 7A, 7B, 7C via gas introduction ports 34A, 34B, 34C.

본 실시형태에 있어서, 가스 공급부(8(8A, 8B, 8C))와 가스 도입관(7A, 7B, 7C)이 접속되는 복수의 접속점(가스 도입구(34A, 34B, 34C)의 위치)은 환상부의 중심에 기초하여 대칭으로 배치되어 있다. 또한, 가스 공급부(8A, 8B, 8C) 각각의 2개소에 상술한 가스 도입관이 접속되어 있다.In the present embodiment, the plurality of connection points (positions of the gas inlets 34A, 34B, 34C) to which the gas supply units 8 (8A, 8B, 8C) and the gas inlet pipes 7A, 7B, 7C are connected are provided. It is arrange | positioned symmetrically based on the center of an annular part. Moreover, the gas introduction pipe mentioned above is connected to two places of gas supply parts 8A, 8B, and 8C, respectively.

즉, 도 2에 도시된 바와 같이, 환상부(8A, 8B, 8C)와 가스 도입관(7A, 7B, 7C)이 접속되는 접속점은 환상부의 길이 방향으로 교차하는 중심선(CL)에 기초하여 선대칭으로 위치되어 있다. 다시 말하면, 환상부의 옆 방향의 중앙부에 접속점이 배치되어 있다.That is, as shown in Fig. 2, the connection point to which the annular portions 8A, 8B and 8C and the gas introduction pipes 7A, 7B and 7C are connected is based on line symmetry based on the center line CL intersecting in the longitudinal direction of the annular portion. Is located. In other words, the connection point is arrange | positioned at the center part of the lateral direction of an annular part.

한편, 도 3에 도시된 바와 같이, 환상부(8A, 8B, 8C)와 가스 도입관(7A, 7B, 7C)이 접속되는 접속점은 환상부의 중심(O)에 기초하여 점대칭으로 위치되어 있다. 다시 말하면, 환상부에서의 서로 대향하는 각부에 접속점이 배치되어 있다.On the other hand, as shown in Fig. 3, the connection points to which the annular portions 8A, 8B and 8C and the gas introduction pipes 7A, 7B and 7C are connected are located in point symmetry based on the center O of the annular portion. In other words, connection points are arranged at respective parts of the annular part facing each other.

이와 같이 접속점을 대칭(선대칭 또는 점대칭)으로 배치함으로써, 접속점의 위치에 기초하여 혼합 가스의 비율 또는 각 가스의 농도가 불균일한 성막 가스를 공간(31) 내로 공급(방출)할 수 있다.By arranging the connection points symmetrically (line symmetry or point symmetry) in this manner, the deposition gas having a nonuniformity in the proportion of the mixed gas or the concentration of each gas can be supplied into the space 31 based on the position of the connection point.

또한, 환상부(8A, 8B, 8C)로 이루어지는 가스 공급부(8)는 기판(10) 상에 공급되는 가스 농도의 분포를 원하는 대로 얻기 위해 적절히 조정 가능하다.In addition, the gas supply part 8 which consists of annular part 8A, 8B, 8C can be suitably adjusted in order to obtain the distribution of the gas concentration supplied on the board | substrate 10 as desired.

예를 들면, 후술하는 바와 같이, 환상부(8A, 8B, 8C)의 형상 및 구조를 조정함으로써, 기판(10)의 중앙부에 공급되는 수소의 농도보다도 기판(10)의 주연부에 공급되는 수소의 농도를 낮게 하도록 원료 가스를 기판 상에 공급하는 것이 가능하다.For example, as will be described later, by adjusting the shape and structure of the annular portions 8A, 8B, and 8C, the hydrogen supplied to the periphery of the substrate 10 rather than the concentration of hydrogen supplied to the central portion of the substrate 10 is determined. It is possible to supply the source gas onto the substrate to lower the concentration.

다음에, 상술한 구성을 가지는 성막 장치(1)를 이용하여 기판(10)에 성막하는 경우에 대해 설명한다.Next, the case where it forms into the board | substrate 10 using the film-forming apparatus 1 which has the above-mentioned structure is demonstrated.

우선, 진공 펌프(27)를 이용하여 챔버(2) 안을 감압한다.First, the pressure inside the chamber 2 is reduced using the vacuum pump 27.

챔버(2) 안이 진공으로 유지한 상태로 기판(10)은 챔버(2) 내에 반입되고, 지지부(15) 상에 놓인다.The substrate 10 is loaded into the chamber 2 and placed on the support 15 with the chamber 2 maintained in vacuum.

여기서, 기판(10)을 올려놓기 전에 지지부(15)는 챔버(2) 내의 하방에 위치하고 있다. 즉, 기판(10)이 반입되기 전에는 지지부(15)와 샤워 플레이트(5)의 간격이 넓어져 있으므로, 로봇 아암(도시생략)을 이용하여 기판(10)을 지지부(15) 상에 쉽게 올려놓을 수 있다.Here, the support part 15 is located below the chamber 2 before putting the board | substrate 10 on. That is, since the distance between the support part 15 and the shower plate 5 is widened before the board | substrate 10 is carried in, the board | substrate 10 can be easily mounted on the support part 15 using a robot arm (not shown). Can be.

그리고, 기판(10)이 지지부(15) 상에 놓인 후에 승강 기구(도시생략)가 기동하여 지주(25)가 상방으로 밀어올려지고, 지지부(15) 상에 놓인 기판(10)도 상방으로 이동한다. 이에 따라, 적절히 성막을 행하기 위해 필요한 간격이 되도록 샤워 플레이트(5)와 기판(10)의 간격이 원하는 대로 결정되고, 이 간격이 유지된다.Then, after the substrate 10 is placed on the support 15, a lifting mechanism (not shown) is activated to lift the support 25 upward, and the substrate 10 placed on the support 15 also moves upward. do. Thereby, the space | interval of the shower plate 5 and the board | substrate 10 is determined as desired so that it may become the space | interval required for film-forming suitably, and this space | interval is maintained.

그 후, 성막 가스는 원료 가스 공급부(21(21A, 21B, 21C))로부터 가스 도입관(7)에 공급되고, 가스 도입관(7A, 7B, 7C)에 의해 분기되어 가스 도입구(34A, 34B, 34C)에 도달한다. 또, 성막 가스는 상술한 복수의 접속점을 통해 제1 환상부(8A), 제2 환상부(8B) 및 제3 환상부(8C)에 공급되고, 제1 가스 분출구(9(9A, 9B, 9C))를 통해 공간(31) 내에 공급(방출)된다. 또, 성막 가스는 제2 가스 분출구(6)를 통해 공간(31)으로부터 챔버(2) 내에 공급된다.Thereafter, the film forming gas is supplied from the source gas supply units 21 (21A, 21B, 21C) to the gas introduction pipe 7, branched by the gas introduction pipes 7A, 7B, 7C, and the gas introduction ports 34A, 34B, 34C). The film forming gas is supplied to the first annular portion 8A, the second annular portion 8B, and the third annular portion 8C through the plurality of connection points described above, and the first gas ejection openings 9 (9A, 9B, 9C)) into the space 31 (discharge). In addition, the deposition gas is supplied from the space 31 into the chamber 2 via the second gas ejection opening 6.

다음에, RF 전원(33)을 기동하여 전극 플랜지(4)에 고주파 전압을 인가한다.Next, the RF power supply 33 is started to apply a high frequency voltage to the electrode flange 4.

이에 의해, 샤워 플레이트(5)와 지지부(15) 사이에 고주파 전압이 인가되어 방전이 생기고, 전극 플랜지(4)와 기판(10)의 처리면(표면) 사이에 플라즈마(P)가 발생한다. 그리고, 플라즈마(P) 내에서 프로세스 가스가 분해되어 기판(10)의 처리면에서 기상 성장 반응이 생기고, 기판(10)의 처리면에 박막이 형성된다.Thereby, a high frequency voltage is applied between the shower plate 5 and the support part 15, and discharge generate | occur | produces, and the plasma P generate | occur | produces between the electrode flange 4 and the process surface (surface) of the board | substrate 10. FIG. The process gas is decomposed in the plasma P to generate a gas phase growth reaction on the processing surface of the substrate 10, and a thin film is formed on the processing surface of the substrate 10.

본 실시형태에서는, 발진 주파수로서 13.56MHz 또는 27.12MHz의 고주파 전원(RF 전원)이 사용되고 있다. 또한, 이러한 성막 장치(1)를 이용하여 양산에 적합한 성막 속도를 얻기 위해 성막 공간의 압력이 100Pa~300Pa로 설정된다. 이 압력 조건에서는, 전압이 인가되는 샤워 플레이트(5)와 접지 전극인 지지부(15) 간의 거리(전극 간 거리)는 일반적으로 15~25mm 정도이다.In this embodiment, a high frequency power supply (RF power supply) of 13.56 MHz or 27.12 MHz is used as the oscillation frequency. Moreover, in order to obtain the film-forming speed suitable for mass production using such a film-forming apparatus 1, the pressure of film-forming space is set to 100 Pa-300 Pa. Under this pressure condition, the distance (inter-electrode distance) between the shower plate 5 to which a voltage is applied and the support part 15 which is a ground electrode is generally about 15-25 mm.

또한, 상기와 같은 성막 공정이 몇 번이나 반복되면 챔버(2)의 내벽면 등에 성막 재료가 부착되기 때문에, 챔버(2) 안은 정기적으로 클리닝된다.In addition, since the film forming material adheres to the inner wall surface of the chamber 2 and the like after the film forming process is repeated several times, the inside of the chamber 2 is periodically cleaned.

클리닝 공정에서는, 불소 가스 공급부(22)로부터 공급된 불소 가스가 라디칼원(23)에 의해 분해되어 불소 라디칼이 생기고, 불소 라디칼이 챔버(2)에 접속된 가스 도입관(24)을 통과하여 진공 챔버(2) 내에 공급된다. 이와 같이 챔버(2) 내의 성막 공간에 불소 라디칼을 공급함으로써, 화학 반응이 생겨 성막 공간의 주위의 배치된 부재 또는 챔버(2)의 내벽면에 부착된 부착물이 제거된다.In the cleaning process, the fluorine gas supplied from the fluorine gas supply unit 22 is decomposed by the radical source 23 to generate fluorine radicals, and the fluorine radicals pass through the gas inlet tube 24 connected to the chamber 2 to vacuum. It is supplied in the chamber 2. By supplying fluorine radicals to the film forming space in the chamber 2 in this manner, a chemical reaction occurs to remove the members disposed around the film forming space or deposits attached to the inner wall surface of the chamber 2.

상술한 바와 같이, 본 실시형태에서는 전극 플랜지(4)와 샤워 플레이트(5) 사이에 설치한 공간(31) 내에 가스 공급부(8)가 설치되어 있다. 가스 공급부(8)는 샤워 플레이트(5)로 향하여 다른 조성 또는 종류의 프로세스 가스를 독립하여 공급하는 동심형상으로 배치된 복수의 환상부(8A, 8B, 8C)를 포함한다. 이러한 구성에 의하면, 프로세스 가스(성막 가스)의 반응(예를 들면, 모노실란의 분해 반응)에 의해 발생하는 수소 라디칼의 양을 고려하여 성막 프로세스를 행하기 전에 프로세스 가스에 포함되는 혼합 가스의 비율, 예를 들면 수소 가스 및 모노실란 가스의 혼합 비율을 가스 공급부마다 제어(조정)하는 것이 가능하다. 따라서, 혼합 가스의 비율 또는 각 가스의 농도가 불균일한 성막 가스를 공간 내에 공급(방출)할 수 있다.As mentioned above, in this embodiment, the gas supply part 8 is provided in the space 31 provided between the electrode flange 4 and the shower plate 5. The gas supply portion 8 includes a plurality of concentrically arranged annular portions 8A, 8B, 8C that independently supply process gases of different compositions or types toward the shower plate 5. According to such a structure, the ratio of the mixed gas contained in a process gas before performing a film-forming process in consideration of the quantity of hydrogen radicals which generate | occur | produces by reaction of process gas (film-forming gas) (for example, the decomposition reaction of monosilane) is carried out. For example, it is possible to control (adjust) the mixing ratio of hydrogen gas and monosilane gas for every gas supply part. Therefore, the film-forming gas whose ratio of mixed gas or the density | concentration of each gas is nonuniform can be supplied (release) in space.

이에 의해, 가스 공급부로부터 공급되고, 또한 혼합 가스의 비율 또는 각 가스의 농도가 불균일한 프로세스 가스를 샤워 플레이트를 개재하여 기판(10)이 배치된 반응 공간에 균일하게 공급할 수 있다.Thereby, the process gas supplied from the gas supply part and the ratio of the mixed gas or the concentration of each gas is nonuniform can be uniformly supplied to the reaction space in which the substrate 10 is arranged via the shower plate.

또한, 고주파 전압의 인가에 의해 플라즈마 상태의 프로세스 가스가 성막 공간에서 얻어진다. 플라즈마 상태의 프로세스 가스에서는, 수소 가스로부터 생기는 수소 라디칼(H*1)과 모노실란 가스가 분해되어 생기는 수소 라디칼(H*2)이 서로 합해진 수소 라디칼(H*)의 총량의 분포가 기판(10) 상에서 벗어나 흩어지거나 불균일해지지 않는다. 따라서, 기판(10) 상에서 수소 라디칼(H*)의 총량이 균일한 수소 라디칼을 얻을 수 있다.In addition, a process gas in a plasma state is obtained in the film formation space by application of a high frequency voltage. The process gas in the plasma state, hydrogen radicals generated from the hydrogen gas (H * 1) and the monosilane gas is generated hydrogen radical decomposition (H * 2) is the distribution of the total amount of the hydrogen radicals (H *) combined each other, the substrate (10 It does not scatter out of the phase or become uneven. Thus, hydrogen radicals in which the total amount of hydrogen radicals H * are uniform on the substrate 10 can be obtained.

따라서, 기판(10)의 중앙부부터 주연부까지의 전역에서 기판(10) 상의 위치에 의존하지 않고 수소 라디칼을 균일하게 기판(10)의 처리면에 노출할 수 있다. 이에 따라, 균질한 조성을 가지는 막을 안정하게 형성할 수 있다.Therefore, the hydrogen radicals can be uniformly exposed to the processing surface of the substrate 10 regardless of the position on the substrate 10 throughout the center to the peripheral portion of the substrate 10. As a result, the film having a homogeneous composition can be stably formed.

또, 본 발명의 기술 범위는 상기 실시형태에 한정되지 않고 본 발명의 취지를 벗어나지 않는 범위에서 여러 가지의 변경을 가하는 것이 가능하다. 즉, 본 실시형태에서 서술한 구체적인 재료 또는 구성 등은 본 발명의 일례로서, 적절히 변경이 가능하다.Moreover, the technical scope of this invention is not limited to the said embodiment, It is possible to add various changes in the range which does not deviate from the meaning of this invention. That is, the specific material, structure, etc. which were described in this embodiment are an example of this invention, and can be changed suitably.

예를 들면, 상기 실시형태에서는 도 2 및 도 3에 도시된 바와 같이 하나의 환상부에 2개의 접속점이 설치된 구성을 채용하였지만, 필요에 따라 3개 이상의 접속점이 환상부에 설치되어도 된다. 또한, 접속점의 위치는 필요에 따라 적절히 결정된다.For example, in the said embodiment, although the structure in which two connection points were provided in one annular part was employ | adopted as shown to FIG. 2 and FIG. 3, three or more connection points may be provided in an annular part as needed. In addition, the position of a connection point is suitably determined as needed.

또한, 상기 실시형태에서는 성막 장치에 본 발명을 적용한 경우에 대해 설명하였지만, 성막 장치에 한정되지 않고 본 발명은 에칭 장치에 적용해도 된다.In addition, although the said embodiment demonstrated the case where this invention was applied to the film-forming apparatus, it is not limited to a film-forming apparatus, You may apply this invention to an etching apparatus.

이 경우, 에칭에 이용되는 프로세스 가스는 기판 상에 형성된 에칭되는 막의 종류에 따라 적절히 선택된다.In this case, the process gas used for etching is suitably selected according to the kind of film etched on the board | substrate.

이러한 에칭 장치에 의하면, 원하는 에칭 속도로 처리면 상의 막의 전면을 균일하게 에칭할 수 있다. 또한, 처리면 상에 형성된 막 상에 개구부를 가지는 레지스트 패턴이 형성되어 있는 경우에는, 개구부의 형상에 따라 처리면 상에 형성된 막을 에칭할 수 있다.According to such an etching apparatus, the whole surface of the film | membrane on a process surface can be etched uniformly at a desired etching rate. In addition, when the resist pattern which has an opening part is formed on the film | membrane formed on the process surface, the film formed on the process surface can be etched according to the shape of an opening part.

실시예Example

다음에, 실시예를 서술한다.Next, an Example is described.

이 실시예에서는, 상술한 플라즈마 처리 장치(1)를 이용하여 프로세스 가스에 포함되는 수소 농도를 조정하고, 성막 공간에서의 수소 라디칼의 양을 균일하게 하여 기판의 처리면 상에 막을 형성하여 막질의 면내 균일성을 확인하였다.In this embodiment, the concentration of hydrogen contained in the process gas is adjusted using the above-described plasma processing apparatus 1, the amount of hydrogen radicals in the film formation space is made uniform, and a film is formed on the processing surface of the substrate to achieve film quality. In-plane uniformity was confirmed.

우선, 단변(y)이 1100mm이고, 장변(x)이 1400mm인 직사각형의 TCO(Transparent Conductive Oxide) 기판을 준비하였다. 플라즈마 처리 장치에서는, 제1 전극부로서 기능하는 샤워 플레이트(5)의 크기는 1300mm×1600mm이고, 제2 전극부로서 기능하는 지지부(15)(히터를 내장하는 서셉터)의 크기는 1400mm×1700mm이다. 이러한 플라즈마 처리 장치를 이용하여 기판의 표면에 막두께 1.5μm의 i형 실리콘층(I층)을 형성하였다.First, a rectangular transparent conductive oxide (TCO) substrate having a short side y of 1100 mm and a long side x of 1400 mm was prepared. In the plasma processing apparatus, the size of the shower plate 5 serving as the first electrode portion is 1300 mm × 1600 mm, and the size of the support portion 15 (susceptor incorporating the heater) serving as the second electrode portion is 1400 mm × 1700 mm. to be. Using this plasma processing apparatus, an i-type silicon layer (I layer) having a thickness of 1.5 탆 was formed on the surface of the substrate.

또한, 본 실시예에서는, 성막에 이용되는 원료 가스로서 규소를 함유하는 가스(실란 가스: SiH4)와 반응을 촉진하는 희석 가스(수소 가스: H2)가 소정의 비율로 혼합된 프로세스 가스를 프로세스 가스 공급부(21(21A, 21B, 21C)) 각각으로부터 공급하였다.In addition, in the present embodiment, a process gas in which silicon-containing gas (silane gas: SiH 4 ) and dilution gas (hydrogen gas: H 2 ) that promotes reaction is mixed at a predetermined ratio as a source gas used for film formation. It supplied from each of the process gas supply parts 21 (21A, 21B, 21C).

가스 공급부(8)의 내측에 배치된 제1 환상부(8A)에서는, 규소 함유 가스의 유량을 0.33slm으로 설정하고, 희석 가스의 유량을 5.0slm으로 설정하였다. 또한, 중간에 배치된 제2 환상부(8B)에서는, 규소 함유 가스의 유량을 0.33slm으로 설정하고, 희석 가스의 유량을 4.7slm으로 설정하였다. 또한, 외측에 배치된 제3 환상부(8C)에서는, 규소 함유 가스의 유량을 0.33slm으로 설정하고, 희석 가스의 유량을 4.3slm으로 설정하였다. 본 실시예에서의 가스 공급은 도 5와 같이 모식적으로 나타난다.In 8 A of 1st annular parts arrange | positioned inside the gas supply part 8, the flow volume of the silicon containing gas was set to 0.33 slm, and the flow volume of the dilution gas was set to 5.0 slm. In addition, in the 2nd annular part 8B arrange | positioned in the middle, the flow volume of a silicon containing gas was set to 0.33 slm, and the flow volume of a dilution gas was set to 4.7 slm. In addition, in 8 C of 3rd annular parts arrange | positioned at the outer side, the flow volume of the silicon containing gas was set to 0.33 slm, and the flow volume of the dilution gas was set to 4.3 slm. The gas supply in this embodiment is shown schematically as shown in FIG.

도 5는 본 발명에 관한 플라즈마 처리 장치(1)를 이용하여 프로세스 가스를 공급(방출)하고 반응시켰을 때에 성막 공간에 포함되는 수소 라디칼의 양(농도)과 기판 상의 위치의 관계를 도시하는 모식도이다.FIG. 5 is a schematic diagram showing the relationship between the amount (concentration) of hydrogen radicals included in the deposition space and the position on the substrate when the process gas is supplied (released) and reacted using the plasma processing apparatus 1 according to the present invention. .

도 5에서, 횡축의 중앙에 나타난 부호「O」는 기판 상의 중앙부를 나타내고, 부호「O」에서 좌측방향 및 우측방향은 기판의 주연부로 향하는 방향을 나타낸다.In Fig. 5, the symbol " O " shown at the center of the horizontal axis represents the center portion on the substrate, and the left and right directions in the symbol " O "

도 5에서, 수소 가스로부터 생기는 수소 라디칼(H*1)의 농도가 일점쇄선으로 나타나 있고, 모노실란 가스가 분해되어 생기는 수소 라디칼(H*2)의 농도가 이점쇄선으로 나타나 있다. 라디칼(H*1 및 H*2)이 서로 합한 수소 라디칼(H*)의 양이 실선으로 나타나 있다.In FIG. 5, the concentration of the hydrogen radical (H * 1 ) which arises from hydrogen gas is shown by the dashed-dotted line, and the density | concentration of the hydrogen radical (H * 2 ) which arises from the decomposition | disassembly of monosilane gas is shown by the double- dotted line. Radical, the amount of hydrogen radicals (H *) (H * 1 and * 2 H) is combined with each other are shown by solid lines.

도 5에 도시된 바와 같이, 본 발명에 관한 플라즈마 처리 장치(1)를 이용한 본 실시예에서는, 가스 공급부(8)를 이용함으로써 원료 가스에 포함되는 수소 가스로부터 생기는 수소 라디칼(H*1)의 농도가 기판의 단부(주연부)로 향함에 따라 내려가도록 프로세스 가스가 조정되어 있다. 즉, 혼합 가스의 비율 또는 각 가스의 농도가 불균일해지도록 프로세스 가스가 조정되어 있고, 이 프로세스 가스가 기판 상에 공급되어 있다. 즉, 가스 공급부(8)는 기판의 중앙부에 공급되는 수소의 농도보다도 기판의 주연부에 공급되는 수소의 농도를 낮게 하도록 원료 가스를 기판 상에 공급한다.As shown in Fig. 5, in the present embodiment using the plasma processing apparatus 1 according to the present invention, the hydrogen radical (H * 1) generated from the hydrogen gas contained in the source gas by using the gas supply unit 8 is used. The process gas is adjusted so that the concentration is lowered toward the end (peripheral) of the substrate. That is, the process gas is adjusted so that the ratio of the mixed gas or the concentration of each gas becomes nonuniform, and the process gas is supplied onto the substrate. That is, the gas supply part 8 supplies source gas on a board | substrate so that the density | concentration of hydrogen supplied to the periphery of a board | substrate may be lower than the density | concentration of hydrogen supplied to the center part of a board | substrate.

본 실시예의 플라즈마 처리 장치(1)에서는, 제1 환상부(8A)는 250mm×325mm의 크기를 가지고, 배관경이 1/2인치이며, 제1 가스 분출구(9A)의 개구경이 1mm이고, 제1 가스 분출구(9A)의 피치(간격)가 30mm이었다.In the plasma processing apparatus 1 of the present embodiment, the first annular portion 8A has a size of 250 mm x 325 mm, the pipe diameter is 1/2 inch, and the opening diameter of the first gas ejection port 9A is 1 mm. The pitch (interval) of one gas ejection port 9A was 30 mm.

또한, 제2 환상부(8B)는 500mm×650mm의 크기를 가지고, 배관경이 1/2인치이며, 제1 가스 분출구(9B)의 개구경이 1mm이고, 제1 가스 분출구(9B)의 피치(간격)가 30mm이었다.Further, the second annular portion 8B has a size of 500 mm x 650 mm, the pipe diameter is 1/2 inch, the opening diameter of the first gas ejection port 9B is 1 mm, and the pitch of the first gas ejection port 9B ( Spacing) was 30 mm.

또, 제3 환상부(8C)는 1100mm×1300mm의 크기를 가지고, 배관경이 1/2인치이며, 제1 가스 분출구(9C)의 개구경이 1mm이고, 제1 가스 분출구(9C)의 피치(간격)가 30mm이었다.Further, the third annular portion 8C has a size of 1100 mm x 1300 mm, the pipe diameter is 1/2 inch, the opening diameter of the first gas ejection port 9C is 1 mm, and the pitch of the first gas ejection port 9C ( Spacing) was 30 mm.

또한, 성막 조건으로서 고주파 전원(33)의 주파수가 27.12MHz이고, 고주파의 Power밀도가 1.2W/㎠이며, 샤워 플레이트와 기판의 거리가 10mm이고, 압력이 700Pa이다.In addition, as the film forming condition, the frequency of the high frequency power supply 33 is 27.12 MHz, the power density of the high frequency is 1.2 W / cm 2, the distance between the shower plate and the substrate is 10 mm, and the pressure is 700 Pa.

그리고, 기판 상에 형성된 박막의 막질을 측정하기 위해, 기판 상에서 서로 대칭인 복수의 측정점을 선택하였다. 측정점으로서는 도 4에 도시된 바와 같이 기판의 좌측 상부 부분에 위치하는 A점, 기판의 중앙 부분에 위치하는 B점 및 기판의 우측 하부 부분에 위치하는 C점으로 이루어지는 3점을 선택하였다. A점, B점, C점의 크기는 각각 25mm×25mm이다.And, in order to measure the film quality of the thin film formed on the board | substrate, the some measuring point which is symmetrical with each other on the board | substrate was selected. As a measurement point, three points which consist of A point located in the upper left part of a board | substrate, B point located in the center part of a board | substrate, and C point located in the lower right part of a board | substrate were selected as shown in FIG. The size of A, B, and C points is 25 mm x 25 mm, respectively.

각 측정점에서 형성된 박막을 라만 분광법에 의해 평가하였다. 구체적으로 라만 산란 스펙트럼에서 520cm-1의 결정 Si에 기인하는 피크 강도(Ic)와 480cm-1의 아몰퍼스 Si에 기인하는 피크 강도(Ia)를 관측하고, Ic를 Ia로 나눔으로써 결정화율(Ic/Ia)을 구하였다. 각 측정점에서 형성된 박막의 결정화율을 평가하였다. 본 실시예의 평가 결과를 표 1에 나타내었다.The thin film formed at each measuring point was evaluated by Raman spectroscopy. More specifically, the crystallization rate by observing a peak intensity (Ia) of the peak intensity due to the amorphous Si (Ic) and 480cm -1 due to the Si crystal of 520cm -1 in a Raman scattering spectrum, and dividing the Ic by Ia (Ic / Ia) was obtained. The crystallization rate of the thin film formed at each measurement point was evaluated. Table 1 shows the results of the evaluation of this example.

한편, 비교예로서 상술한 종래의 플라즈마 처리 장치(101)를 이용하여 상기 실시예와 같이 TCO 기판의 표면에 I층을 1.5μm의 막두께로 형성하였다.On the other hand, using the conventional plasma processing apparatus 101 described above as a comparative example, an I layer was formed on the surface of the TCO substrate with a film thickness of 1.5 탆 as in the above embodiment.

비교예에서는, 성막에 이용되는 원료 가스가 가스 도입관(107)을 통해 공급되고, 가스 유량으로서 규소 함유 가스의 유량이 1slm으로 설정하고, 희석 가스의 유량이 15slm으로 설정하였다. 비교예에 의해 형성한 박막을 라만 분광법에 의해 상기 실시예와 같이 평가하였다. 비교예의 평가 결과를 표 1에 나타내었다.In the comparative example, the source gas used for film-forming was supplied through the gas introduction pipe 107, the flow volume of the silicon containing gas was set to 1 slm as the gas flow volume, and the flow volume of the dilution gas was set to 15 slm. The thin film formed by the comparative example was evaluated by Raman spectroscopy like the said Example. Table 1 shows the evaluation results of the comparative examples.

실시예Example 비교예Comparative Example 위치location Ic강도
(cm-1)
Ic strength
(cm -1 )
Ia강도
(cm-1)
Ia strength
(cm -1 )
결정화율
(Ic강도/
Ia강도)
Crystallization rate
(Ic strength /
Ia strength)
Ic강도
(cm-1)
Ic strength
(cm -1 )
Ia강도
(cm-1)
Ia strength
(cm -1 )
결정화율
(Ic강도/
Ia강도)
Crystallization rate
(Ic strength /
Ia strength)
A점A point 518.3518.3 480480 5.45.4 517.1517.1 480480 4.24.2 B점B point 518.5518.5 480480 5.65.6 519.2519.2 480480 6.66.6 C점C point 518.2518.2 480480 5.45.4 517.4517.4 480480 3.93.9

표 1에 나타내는 결정화율의 평가 결과로부터, 본 발명의 플라즈마 처리 장치를 이용한 경우, 기판의 처리면 상에 균질한 조성을 가지는 막을 형성할 수 있었던 것을 알 수 있다. 한편, 종래의 플라즈마 처리 장치를 이용한 경우, 본 발명과 비교하여 균질한 조성을 가지는 막이 얻어지지 않는 것을 알 수 있다.The evaluation result of the crystallization rate shown in Table 1 shows that when the plasma processing apparatus of this invention was used, the film | membrane which has a homogeneous composition could be formed on the process surface of a board | substrate. On the other hand, when using the conventional plasma processing apparatus, it turns out that the film | membrane which has a homogeneous composition is not obtained compared with this invention.

상술한 바와 같이, 본 발명의 플라즈마 처리 장치와 같이, 전극 플랜지와 샤워 플레이트 사이에 설치한 공간 내에 동심형상으로 배치된 복수의 환상부로 구성되는 가스 공급부가 배치되어 있다. 이에 따라, 샤워 플레이트로 향하여 혼합 비율이 다른 프로세스 가스를 독립하여 공급하는 것이 가능하고, 샤워 플레이트로 향하여 공급(방출)되는 프로세스 가스(성막 가스)에 포함되는 수소 라디칼의 양(농도)이 조정되어 있다. 따라서, 상기 실시예에서의 평가 결과로부터 명백한 바와 같이, 기판의 처리면의 중앙부부터 주연부까지의 영역에서 기판의 처리면에 대해 플라즈마 처리를 균일하게 행할 수 있고, 기판 상에 균질한 조성을 가지는 막을 형성할 수 있었던 것을 알 수 있다.As described above, like the plasma processing apparatus of the present invention, a gas supply portion composed of a plurality of annular portions arranged concentrically is arranged in a space provided between the electrode flange and the shower plate. Thereby, it is possible to independently supply process gases having different mixing ratios toward the shower plate, and the amount (concentration) of the hydrogen radicals contained in the process gas (film forming gas) supplied to the shower plate is adjusted. have. Therefore, as is clear from the evaluation results in the above embodiments, the plasma processing can be uniformly performed on the processing surface of the substrate in the region from the center portion to the peripheral portion of the processing surface of the substrate, and a film having a homogeneous composition is formed on the substrate. I can see that I could.

또한, 종래의 플라즈마 처리 장치를 이용한 경우에서는, 기판 상에 형성된 막의 조성이 기판의 위치에 따라 불균질한 조성이었다. 이에 대해, 본 발명의 플라즈마 처리 장치를 이용하는 경우에서는 기판 상의 위치에 관계없이 기판 상에 균질한 조성을 가지는 막을 전체적으로 형성할 수 있었던 것을 알 수 있다.In the case of using a conventional plasma processing apparatus, the composition of the film formed on the substrate was a heterogeneous composition depending on the position of the substrate. On the other hand, when using the plasma processing apparatus of this invention, it turns out that the film | membrane which has a uniform composition can be formed as a whole on the board | substrate irrespective of the position on a board | substrate.

또, 상기 실시예에서는 모노실란 및 수소가 혼합된 프로세스 가스를 이용하여 I층을 성막한 경우에 대해 서술하였지만, 본 발명은 이에 한정되지 않는다. 모노실란 및 수소가 혼합된 프로세스 가스를 이용하여 p형 실리콘층(P층) 또는 n형 실리콘층(N층)을 성막하는 경우, 모노실란 및 수소가 혼합된 프로세스 가스 이외의 가스가 채용되어도 된다. 예를 들면, 게르만(GeH4) 또는 디실란(Si2H6)과 수소의 조합 혹은 디실란, 게르만 및 수소의 조합 등을 이용한 경우라도 본 발명을 실시할 수 있다.Moreover, in the said Example, although the case where I layer was formed using the process gas which mixed monosilane and hydrogen was described, this invention is not limited to this. When forming a p-type silicon layer (P layer) or an n-type silicon layer (N layer) using the process gas which mixed monosilane and hydrogen, gas other than the process gas which mixed monosilane and hydrogen may be employ | adopted. . For example, the present invention can be carried out even when a combination of germane (GeH 4 ) or disilane (Si 2 H 6 ) and hydrogen, or a combination of disilane, germane and hydrogen is used.

본 발명에 관한 플라즈마 처리 장치는, 액정 디스플레이 또는 태양 전지 등의 여러 가지의 반도체 제조 분야에서 이용하는 것이 가능하고, 특히 생산성의 관점에서 성막 속도의 고속화가 필요로 하는 마이크로 크리스탈 실리콘을 이용한 태양 전지의 제조에 있어서 유용하다.The plasma processing apparatus according to the present invention can be used in various semiconductor manufacturing fields such as a liquid crystal display or a solar cell, and in particular, the production of a solar cell using microcrystal silicon, which requires a high speed of film formation from the viewpoint of productivity. Useful for

α 반응실, 1 성막 장치(플라즈마 처리 장치), 2 챔버, 3 베이스 플레이트, 4 전극 플랜지, 5 샤워 플레이트, 6 제2 가스 분출구, 7(7A, 7B, 7C) 가스 도입관, 8(8A, 8B, 8C) 가스 공급부, 9 제1 가스 분출구, 10 기판(피처리체), 15 지지부, 16 히터, 21(21A, 21B, 21C) 원료 가스 공급부, 31 공간, 33 RF 전원(고주파 전원, 전압 인가부), 34A, 34B, 34C 가스 도입구, 81 절연 플랜지α reaction chamber, 1 film forming apparatus (plasma processing apparatus), 2 chambers, 3 base plates, 4 electrode flanges, 5 shower plates, 6 second gas outlets, 7 (7A, 7B, 7C) gas introduction tubes, 8 (8A, 8B, 8C) Gas supply part, 9th gas outlet, 10 board | substrates (process object), 15 support part, 16 heaters, 21 (21A, 21B, 21C) source gas supply part, 31 spaces, 33 RF power supply (high frequency power supply, voltage application) 34A, 34B, 34C gas inlet, 81 insulated flange

Claims (7)

플라즈마 처리 장치로서,
챔버와, 복수의 가스 도입구를 가지는 전극 플랜지와, 상기 챔버 및 상기 전극 플랜지에 의해 개재된 절연 플랜지로 구성되고, 반응실을 가지는 처리실;
상기 반응실 내에 수용되고, 기판이 놓이며, 상기 기판의 온도를 제어하는 지지부;
상기 반응실 내에 수용되고, 상기 기판에 대향하도록 배치되며, 상기 기판으로 향하여 프로세스 가스를 공급하는 샤워 플레이트;
상기 전극 플랜지와 상기 샤워 플레이트 사이의 공간 내에 설치되고, 복수의 상기 가스 도입구 각각에 연통하여 동심형상이면서 환상으로 배치되며, 상기 샤워 플레이트로 향하여 다른 조성의 상기 프로세스 가스를 독립하여 공급하는 복수의 가스 공급부;
상기 샤워 플레이트와 상기 지지부 사이에 전압을 인가하는 전압 인가부;를 포함하며,
상기 프로세스 가스는 적어도 수소 가스와 규소를 함유하는 가스를 포함하는 혼합 가스이며,
상기 수소 가스로부터 생기는 수소 라디칼(H*1)의 농도와 상기 규소를 함유하는 가스로부터 생기는 수소 라디칼(H*2)의 농도를 합한 수소 라디칼(H*)의 농도가 상기 기판의 중앙부로부터 상기 기판의 주연부에 걸쳐 균일하게 되도록 상기 프로세스 가스의 혼합 가스 비율 또는 혼합 가스 농도가 조정되어 공급되는 것을 특징으로 하는 플라즈마 처리 장치.
A plasma processing apparatus comprising:
A processing chamber comprising a chamber, an electrode flange having a plurality of gas inlets, and an insulating flange interposed by the chamber and the electrode flange;
A support part accommodated in the reaction chamber, a substrate is placed, and controlling a temperature of the substrate;
A shower plate accommodated in the reaction chamber, disposed to face the substrate, and supplying a process gas toward the substrate;
It is provided in the space between the electrode flange and the shower plate, and arranged in concentric and annular communication with each of the plurality of gas inlet port, a plurality of independent supply of the process gas of different composition toward the shower plate Gas supply unit;
And a voltage applying unit configured to apply a voltage between the shower plate and the support unit.
The process gas is a mixed gas comprising a gas containing at least hydrogen gas and silicon,
The substrate concentration of the hydrogen radicals (H *) plus the concentration of the hydrogen radicals (H * 2) generated from a gas containing the concentration and the silicon of the hydrogen radicals (H * 1) generated from the hydrogen gas from the central portion of the substrate The mixed gas ratio or the mixed gas concentration of the process gas is adjusted and supplied so as to be uniform over the periphery of the plasma processing apparatus.
제1항에 있어서,
상기 플라즈마 처리 장치는 성막 장치인 것을 특징으로 하는 플라즈마 처리 장치.
The method of claim 1,
And the plasma processing apparatus is a film forming apparatus.
제1항에 있어서,
상기 플라즈마 처리 장치는 에칭 장치인 것을 특징으로 하는 플라즈마 처리 장치.
The method of claim 1,
The plasma processing apparatus is an etching apparatus.
제1항 내지 제3항 중 어느 한 항에 있어서,
상기 가스 공급부는, 상기 기판의 중앙부에 공급되는 수소의 농도보다도 상기 기판의 주연부에 공급되는 수소의 농도를 낮게 하도록 상기 프로세스 가스를 상기 기판 상에 공급하는 것을 특징으로 하는 플라즈마 처리 장치.
4. The method according to any one of claims 1 to 3,
And the gas supply unit supplies the process gas onto the substrate so that the concentration of hydrogen supplied to the peripheral portion of the substrate is lower than the concentration of hydrogen supplied to the central portion of the substrate.
제1항 내지 제3항 중 어느 한 항에 있어서,
상기 샤워 플레이트와 상기 지지부와의 거리는 15 ~ 25 mm인 것을 특징으로 하는 플라즈마 처리 장치.
4. The method according to any one of claims 1 to 3,
Plasma processing apparatus, characterized in that the distance between the shower plate and the support is 15 ~ 25 mm.
마이크로 크리스탈 실리콘의 성막 방법에 있어서,
기판이 놓이는 지지부와 샤워 플레이트와의 거리를 15 ~ 25 mm로 설정하고,
수소 가스와 규소를 함유하는 가스를 포함하는 원료 가스를 상기 샤워 플레이트로부터 상기 기판 상으로 공급하고,
상기 샤워 플레이트와 상기 지지부와의 사이에 플라즈마를 발생시키고,
플라즈마 CVD법에 의해 상기 기판 상에 마이크로 크리스탈 실리콘 막을 형성하며,
상기 수소 가스로부터 생기는 수소 라디칼(H*1)의 농도와 상기 규소를 함유하는 가스로부터 생기는 수소 라디칼(H*2)의 농도를 합한 수소 라디칼(H*)의 농도가 상기 기판의 중앙부로부터 상기 기판의 주연부에 걸쳐 균일하게 되도록 프로세스 가스의 혼합 가스 비율 또는 혼합 가스 농도가 조정되어 공급되는 것을 특징으로 하는 마이크로 크리스탈 실리콘의 성막 방법.
In the film formation method of micro crystalline silicon,
Set the distance between the support on which the substrate is placed and the shower plate to 15-25 mm,
Source gas containing a gas containing hydrogen gas and silicon is supplied from the shower plate onto the substrate,
Generating a plasma between the shower plate and the support,
Forming a micro crystalline silicon film on the substrate by plasma CVD;
The substrate concentration of the hydrogen radicals (H *) plus the concentration of the hydrogen radicals (H * 2) generated from a gas containing the concentration and the silicon of the hydrogen radicals (H * 1) generated from the hydrogen gas from the central portion of the substrate The mixed gas ratio or the mixed gas concentration of the process gas is adjusted and supplied so as to be uniform over the periphery of the microcrystalline silicon film deposition method.
제6항에 있어서,
상기 기판의 중앙부에 공급되는 수소의 농도 보다도 상기 기판의 주연부에 공급되는 수소의 농도를 낮게 공급하는 것을 특징으로 하는 마이크로 크리스탈 실리콘의 성막 방법.
The method according to claim 6,
And depositing a concentration of hydrogen supplied to the periphery of the substrate lower than that of hydrogen supplied to the central portion of the substrate.
KR1020117015570A 2009-01-09 2010-01-08 Plasma processing apparatus and method of forming micro crystal silicon layer KR101349266B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2009004023 2009-01-09
JPJP-P-2009-004023 2009-01-09
PCT/JP2010/000093 WO2010079766A1 (en) 2009-01-09 2010-01-08 Plasma processing apparatus

Publications (2)

Publication Number Publication Date
KR20110094115A KR20110094115A (en) 2011-08-19
KR101349266B1 true KR101349266B1 (en) 2014-01-10

Family

ID=42316532

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117015570A KR101349266B1 (en) 2009-01-09 2010-01-08 Plasma processing apparatus and method of forming micro crystal silicon layer

Country Status (6)

Country Link
JP (1) JP5378416B2 (en)
KR (1) KR101349266B1 (en)
CN (1) CN102272896A (en)
DE (1) DE112010000869B4 (en)
TW (1) TW201112886A (en)
WO (1) WO2010079766A1 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201325326A (en) * 2011-10-05 2013-06-16 Applied Materials Inc Plasma processing apparatus and substrate support assembly thereof
US10232324B2 (en) 2012-07-12 2019-03-19 Applied Materials, Inc. Gas mixing apparatus
KR102558925B1 (en) * 2016-02-15 2023-07-24 삼성디스플레이 주식회사 The plasma deposition device
US11535936B2 (en) 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
JP7175162B2 (en) * 2018-11-05 2022-11-18 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus for object to be processed
SG11202105321TA (en) 2018-12-20 2021-07-29 Applied Materials Inc Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US20220415618A1 (en) * 2019-07-18 2022-12-29 Hitachi High-Tech Corporation Plasma processing apparatus

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0139741B1 (en) * 1994-10-11 1998-07-15 이헌조 Fabrication method of tft
JP2007173848A (en) * 2001-01-22 2007-07-05 Tokyo Electron Ltd Processing device
KR20080086361A (en) * 2007-03-21 2008-09-25 어플라이드 머티어리얼스, 인코포레이티드 Gas flow diffuser

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP2002280377A (en) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc Substrate treatment apparatus
JP4176523B2 (en) * 2003-03-25 2008-11-05 独立行政法人科学技術振興機構 Semiconductor device manufacturing method and semiconductor device
JP3837539B2 (en) 2003-03-25 2006-10-25 独立行政法人産業技術総合研究所 Plasma CVD equipment
JP2006013799A (en) 2004-06-24 2006-01-12 Tokai Rika Co Ltd Portable device
JP4667425B2 (en) 2007-06-21 2011-04-13 シャープ株式会社 Magnetic recording / reproducing system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0139741B1 (en) * 1994-10-11 1998-07-15 이헌조 Fabrication method of tft
JP2007173848A (en) * 2001-01-22 2007-07-05 Tokyo Electron Ltd Processing device
KR20080086361A (en) * 2007-03-21 2008-09-25 어플라이드 머티어리얼스, 인코포레이티드 Gas flow diffuser

Also Published As

Publication number Publication date
JP5378416B2 (en) 2013-12-25
DE112010000869T8 (en) 2013-01-17
JPWO2010079766A1 (en) 2012-06-21
DE112010000869B4 (en) 2013-10-17
TW201112886A (en) 2011-04-01
CN102272896A (en) 2011-12-07
KR20110094115A (en) 2011-08-19
DE112010000869T5 (en) 2012-11-15
WO2010079766A1 (en) 2010-07-15

Similar Documents

Publication Publication Date Title
KR101349266B1 (en) Plasma processing apparatus and method of forming micro crystal silicon layer
US20100024729A1 (en) Methods and apparatuses for uniform plasma generation and uniform thin film deposition
US20060087211A1 (en) Plasma processing apparatus
JP5328814B2 (en) Plasma processing apparatus and plasma CVD film forming method
JP5377749B2 (en) Plasma generator
CN101748378B (en) Film-forming carrier board and production method of solar batteries
JP2010161316A (en) Plasma processing device
EP2471973A1 (en) Apparatus for forming deposited film and method for forming deposited film
KR20120016955A (en) Apparatus for processing substrate using plasma
WO2011099205A1 (en) Film formation device
KR101290738B1 (en) Plasma processing apparatus
KR101351399B1 (en) Apparatus and method of processing substrate
JP5038769B2 (en) Plasma processing equipment
JP2011109141A (en) Plasma cvd device, and method of manufacturing silicon-based film using the same
WO2010079740A1 (en) Plasma processing apparatus
KR102053303B1 (en) Apparatus for processing substrate
JPH1041286A (en) Plasma cvd apparatus
JP2011222991A (en) Plasma cvd device and thin-film substrate manufacturing method
JP5691740B2 (en) Plasma processing equipment
JP5308733B2 (en) Non-integrated cathode electrode and plasma CVD apparatus
JP3986483B2 (en) Electrode for plasma CVD apparatus and plasma CVD apparatus
JP5302835B2 (en) Plasma processing equipment
JPS62262419A (en) Plasma cvd equipment
JP3546095B2 (en) Plasma CVD equipment
JP2011063827A (en) Plasma cvd apparatus and film deposition method by plasma cvd

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161124

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171121

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181128

Year of fee payment: 6