JP2007173848A - Processing device - Google Patents

Processing device Download PDF

Info

Publication number
JP2007173848A
JP2007173848A JP2006356433A JP2006356433A JP2007173848A JP 2007173848 A JP2007173848 A JP 2007173848A JP 2006356433 A JP2006356433 A JP 2006356433A JP 2006356433 A JP2006356433 A JP 2006356433A JP 2007173848 A JP2007173848 A JP 2007173848A
Authority
JP
Japan
Prior art keywords
gas
supplied
electrode
processing
diffusion plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006356433A
Other languages
Japanese (ja)
Other versions
JP4454621B2 (en
Inventor
Yoshihiro Kato
良裕 加藤
Satoshi Goto
聡 後藤
Hikari Yoshitaka
光 義高
Makoto Aoki
誠 青木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006356433A priority Critical patent/JP4454621B2/en
Publication of JP2007173848A publication Critical patent/JP2007173848A/en
Application granted granted Critical
Publication of JP4454621B2 publication Critical patent/JP4454621B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a plasma processing device, which can process the surface of a substrate with high uniformity, and is excellent in conservativeness, such as maintenance, without complicating the device structure. <P>SOLUTION: A first channel 30 is formed in the side of a first diffusion plate 21 which is on the side of a gas inlet tube 27 and a recess 32 is formed in the side which is on the side of an electrode plate 19. The first channel 30 and the recess 32 communicate with each other through a plurality of inlet ports 31. The first channel 30 and the inlet ports 31 form a gas flow passage L which leads to the recess 32 from the gas inlet tube 27. As a process gas supplied from the gas inlet tube 27 passes through the gas flow passage L, it is supplied and dispersed to a hollow portion formed between the recess 32 and the electrode plate 19. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、被処理体に成膜処理、エッチング処理等の処理を行う処理装置に関する。   The present invention relates to a processing apparatus that performs a process such as a film forming process and an etching process on an object to be processed.

半導体装置、液晶表示装置等の製造プロセスには、プラズマを用いてこれらの基板の表面を処理するプラズマ処理装置が使用されている。プラズマ処理装置としては、例えば、基板にエッチングを施すプラズマエッチング装置や、化学的気相成長(Chemical Vapor Deposition:CVD)を施すプラズマCVD装置等が挙げられる。中でも、平行平板型のプラズマ処理装置は、処理の均一性に優れ、また、装置構成も比較的簡易であることから、広く使用されている。   In a manufacturing process of a semiconductor device, a liquid crystal display device or the like, a plasma processing apparatus that processes the surface of these substrates using plasma is used. Examples of the plasma processing apparatus include a plasma etching apparatus that performs etching on a substrate and a plasma CVD apparatus that performs chemical vapor deposition (CVD). Among them, the parallel plate type plasma processing apparatus is widely used because it is excellent in processing uniformity and the apparatus configuration is relatively simple.

平行平板型のプラズマ処理装置は、上下に互いに平行に対向する2つの平板電極を備える。2つの電極のうち、下部電極の上に基板が載置される。上部電極は、下部電極と対向する、多数のガス穴を有する電極板を備え、いわゆるシャワーヘッド構造を有する。上部電極は処理ガスの供給源に接続されており、処理時には、処理ガスが電極板のガス穴を介して2つの電極の間に供給される。電極間に供給された処理ガスは、電極への高周波電力の印加によりプラズマとされ、このプラズマによって基板の表面が処理される。   The parallel plate type plasma processing apparatus includes two plate electrodes which are vertically opposed to each other. Of the two electrodes, the substrate is placed on the lower electrode. The upper electrode includes an electrode plate having a large number of gas holes facing the lower electrode, and has a so-called shower head structure. The upper electrode is connected to a processing gas supply source, and at the time of processing, the processing gas is supplied between the two electrodes through the gas holes of the electrode plate. The processing gas supplied between the electrodes is turned into plasma by applying high-frequency power to the electrodes, and the surface of the substrate is processed by this plasma.

上記プラズマ処理装置において、基板表面を高い均一性で処理するには、各ガス穴からの処理ガスの供給を高精度に制御することが重要である。例えば、ガス穴からのガス供給が不均一である場合には、成膜処理された基板表面の膜厚分布が不均一となる。   In the plasma processing apparatus, in order to process the substrate surface with high uniformity, it is important to control the supply of the processing gas from each gas hole with high accuracy. For example, when the gas supply from the gas holes is non-uniform, the film thickness distribution on the surface of the substrate subjected to the film formation process is non-uniform.

シャワーヘッド構造の上部電極は、内部に中空の拡散部を有する。拡散部は、電極板の一面を覆うように設けられ、処理ガスは拡散部により多数のガス穴に拡散される。この構成において、ガス穴からの処理ガスの供給を制御するには、拡散部における処理ガスの拡散を制御してガス穴に導くことが大事である。   The upper electrode of the showerhead structure has a hollow diffusion part inside. The diffusion part is provided so as to cover one surface of the electrode plate, and the processing gas is diffused into a large number of gas holes by the diffusion part. In this configuration, in order to control the supply of the processing gas from the gas hole, it is important to control the diffusion of the processing gas in the diffusing section and guide it to the gas hole.

拡散部での処理ガスの拡散を制御するため、例えば、拡散部を複数の領域に分け、各領域にそれぞれ処理ガスを供給する構造が開発されている。この構造によれば、各領域に供給される処理ガスの量を調節することにより、各領域に連通するガス穴から噴出される処理ガスの量を制御することが可能となる。これにより、基板表面に均一性の高い処理を施すことができる。   In order to control the diffusion of the processing gas in the diffusion unit, for example, a structure has been developed in which the diffusion unit is divided into a plurality of regions and the processing gas is supplied to each region. According to this structure, it is possible to control the amount of the processing gas ejected from the gas hole communicating with each region by adjusting the amount of the processing gas supplied to each region. Thereby, a highly uniform process can be performed on the substrate surface.

しかし、上記構造を用いた場合、複数の拡散領域にそれぞれ接続する配管が必要となる。このため、装置の部品点数が増加し、装置構造が複雑となり、製造コストが増大するとともに、メンテナンス等の保守性が低下する。以上のように、装置構造を複雑化することなく、基板表面に均一性の高い処理を施すことができるプラズマ処理装置は、従来無かった。   However, when the above structure is used, pipes connected to the plurality of diffusion regions are necessary. For this reason, the number of parts of the apparatus increases, the apparatus structure becomes complicated, the manufacturing cost increases, and maintainability such as maintenance decreases. As described above, there has been no plasma processing apparatus that can perform highly uniform processing on the substrate surface without complicating the apparatus structure.

上記事情を鑑みて、本発明は、簡単な装置構成で、被処理体に均一性の高い処理を施すことのできる処理装置を提供することを目的とする。
また、本発明は、簡便な装置構成で、被処理体に処理ガスを制御性よく供給することのできる処理装置を提供することを目的とする。
In view of the above circumstances, an object of the present invention is to provide a processing apparatus capable of performing a highly uniform process on an object to be processed with a simple apparatus configuration.
It is another object of the present invention to provide a processing apparatus capable of supplying a processing gas to an object to be processed with good controllability with a simple apparatus configuration.

上記目的を達成するため、本発明の処理装置は、
チャンバ(2)と、
複数のガス穴(22)を有し、前記ガス穴(22)を介して前記チャンバ(2)内に処理用のガスを供給する供給板(19)と、
前記ガスを、前記供給板(19)の主面に略水平な方向に拡散させる第1の拡散部と、
前記第1の拡散部が拡散した前記ガスを前記ガス穴(22)に導く第2の拡散部と、
を備え、
前記第2の拡散部は、その一面に形成され、前記供給板(19)に積層されて前記一面との間に中空部を形成する溝(32、57)と、前記溝(32、57)内に設けられ他面側に通じる貫通孔(31a、54)と、を備える円盤状部材(21、41)から構成され、前記第1の拡散部からの前記ガスは、前記貫通孔(31a、54)を介して前記中空部に供給され、
さらに、第2の拡散部を構成する前記溝(57)内に設けられ、前記中空部を複数の領域に分ける仕切り部材(56)を備え、前記第1の拡散部は、前記ガスを前記複数の領域に分散して供給する、ことを特徴とする。
In order to achieve the above object, the processing apparatus of the present invention provides:
Chamber (2);
A supply plate (19) having a plurality of gas holes (22) and supplying a processing gas into the chamber (2) through the gas holes (22);
A first diffusion section for diffusing the gas in a substantially horizontal direction on the main surface of the supply plate (19);
A second diffusion part for guiding the gas diffused by the first diffusion part to the gas hole (22);
With
The second diffusion part is formed on one surface thereof, and is laminated on the supply plate (19) to form a hollow portion between the one surface and the groove (32, 57), and the groove (32, 57). And a through hole (31a, 54) provided in the inner surface and having a through hole (31a, 54) provided therein, and the gas from the first diffusion portion passes through the through hole (31a, 54) through the hollow part,
And a partition member (56) provided in the groove (57) constituting the second diffusion part and dividing the hollow part into a plurality of regions, wherein the first diffusion part transfers the gas to the plurality of regions. It is characterized by being distributed and supplied to the area.

本発明の実施の形態にかかる処理装置について、以下図面を参照して説明する。以下に示す実施の形態では、半導体ウェハ(以下、ウェハW)にCVD(Chemical Vapor Deposition)によりフッ化酸化シリコン(SiOF)膜を形成する、平行平板型のプラズマ処理装置を例として説明する。   A processing apparatus according to an embodiment of the present invention will be described below with reference to the drawings. In the embodiment described below, a parallel plate type plasma processing apparatus that forms a silicon fluoride oxide (SiOF) film on a semiconductor wafer (hereinafter referred to as wafer W) by CVD (Chemical Vapor Deposition) will be described as an example.

(第1の実施の形態)
図1に、本発明の第1の実施の形態に係るプラズマ処理装置1の断面図を示す。
図1に示すように、プラズマ処理装置1は、略円筒形状のチャンバ2を有する。チャンバ2は、アルマイト処理(陽極酸化処理)されたアルミニウム等の導電性材料からなる。また、チャンバ2は接地されている。
(First embodiment)
FIG. 1 shows a cross-sectional view of a plasma processing apparatus 1 according to a first embodiment of the present invention.
As shown in FIG. 1, the plasma processing apparatus 1 has a substantially cylindrical chamber 2. The chamber 2 is made of a conductive material such as aluminum that has been anodized (anodized). The chamber 2 is grounded.

チャンバ2の底部には排気口3が設けられている。排気口3には、ターボ分子ポンプなどからなる排気装置4が接続されている。排気装置4は、チャンバ2内を所定の圧力、例えば、0.01Pa以下まで排気する。また、チャンバ2の側壁には、気密に開閉可能なゲートバルブ5が設けられている。ゲートバルブ5を開放した状態で、チャンバ2とロードロック室(図示せず)との間でのウェハWの搬入出がなされる。   An exhaust port 3 is provided at the bottom of the chamber 2. An exhaust device 4 composed of a turbo molecular pump or the like is connected to the exhaust port 3. The exhaust device 4 exhausts the inside of the chamber 2 to a predetermined pressure, for example, 0.01 Pa or less. A gate valve 5 that can be opened and closed in an airtight manner is provided on the side wall of the chamber 2. With the gate valve 5 opened, the wafer W is loaded and unloaded between the chamber 2 and a load lock chamber (not shown).

チャンバ2の底部からは、略円柱状のサセプタ支持台6が起立している。サセプタ支持台6の上にはサセプタ8が設けられている。サセプタ8の上面には、ウェハWが載置される。サセプタ支持台6とサセプタ8とは、セラミックなどからなる絶縁体7により絶縁されている。また、サセプタ支持台6はシャフト9を介して昇降機構(図示せず)に接続され、チャンバ2内を昇降可能となっている。ゲートバルブ5から搬入されたウェハWは、下降位置においてサセプタ8上に載置される。また、サセプタ8の上昇位置において、ウェハWにプラズマ処理が施される。   A substantially cylindrical susceptor support base 6 stands up from the bottom of the chamber 2. A susceptor 8 is provided on the susceptor support 6. A wafer W is placed on the upper surface of the susceptor 8. The susceptor support 6 and the susceptor 8 are insulated by an insulator 7 made of ceramic or the like. Further, the susceptor support base 6 is connected to an elevating mechanism (not shown) via a shaft 9 so that the inside of the chamber 2 can be elevated. The wafer W loaded from the gate valve 5 is placed on the susceptor 8 at the lowered position. Further, plasma processing is performed on the wafer W at the raised position of the susceptor 8.

サセプタ支持台6又はチャンバ2の内壁には、円板状環状のバッフル板10が取り付けられている。バッフル板10は、サセプタ8よりも少し下方に設けられている。バッフル板10はアルミニウム等の導電体で構成されている。バッフル板10は図示しないスリットを有する。スリットは、ガスを通す一方で、プラズマの通過を妨げる。これにより、バッフル板10はプラズマをチャンバ2の上部に閉じこめる。   A disc-shaped annular baffle plate 10 is attached to the susceptor support 6 or the inner wall of the chamber 2. The baffle plate 10 is provided slightly below the susceptor 8. The baffle plate 10 is made of a conductor such as aluminum. The baffle plate 10 has a slit (not shown). The slit blocks the passage of the plasma while allowing the gas to pass. As a result, the baffle plate 10 confines the plasma in the upper part of the chamber 2.

サセプタ支持台6の下方は、例えば、ステンレス鋼からなるベローズ11で覆われている。ベローズ11は、その上端と下端とがそれぞれサセプタ支持台6の下部およびチャンバ2の底面にねじ止めされている。ベローズ11は、サセプタ支持台6の昇降と共に伸縮して、チャンバ2内の気密性を保持する。   The lower part of the susceptor support base 6 is covered with a bellows 11 made of, for example, stainless steel. An upper end and a lower end of the bellows 11 are screwed to the lower portion of the susceptor support base 6 and the bottom surface of the chamber 2, respectively. The bellows 11 expands and contracts as the susceptor support base 6 is raised and lowered to maintain the airtightness in the chamber 2.

サセプタ支持台6の内部には、下部冷媒流路12が設けられている。下部冷媒流路12には冷媒が循環している。下部冷媒流路12により、サセプタ8およびウエハWは所望の温度に維持される。   A lower refrigerant channel 12 is provided inside the susceptor support 6. A refrigerant circulates in the lower refrigerant flow path 12. The susceptor 8 and the wafer W are maintained at a desired temperature by the lower coolant channel 12.

サセプタ支持台6には、リフトピン13が、絶縁体7およびサセプタ8を貫通するように設けられている。リフトピン13はシリンダ等(図示せず)により昇降可能となっている。リフトピン13の昇降により、図示しない搬送アームとのウェハWの受け渡しがなされる。   In the susceptor support base 6, lift pins 13 are provided so as to penetrate the insulator 7 and the susceptor 8. The lift pin 13 can be moved up and down by a cylinder or the like (not shown). As the lift pins 13 are moved up and down, the wafer W is transferred to and from a transfer arm (not shown).

サセプタ8は、アルミニウム等の導体からなる円板状部材から構成されている。サセプタ8上には、ウエハWと略同形の図示しない静電チャックが設けられている。静電チャックに直流電圧が印加されて、ウェハWはサセプタ8上に固定される。   The susceptor 8 is composed of a disk-shaped member made of a conductor such as aluminum. On the susceptor 8, an electrostatic chuck (not shown) having the same shape as the wafer W is provided. A DC voltage is applied to the electrostatic chuck, and the wafer W is fixed on the susceptor 8.

サセプタ8の周縁には、サセプタ8を包囲するようにフォーカスリング14が設けられている。フォーカスリング14は、例えば、セラミックから構成されている。フォーカスリング14は、その内側に載置されたウェハWにプラズマ活性種を効果的に入射させる。   A focus ring 14 is provided on the periphery of the susceptor 8 so as to surround the susceptor 8. The focus ring 14 is made of, for example, ceramic. The focus ring 14 effectively causes plasma active species to be incident on the wafer W placed inside thereof.

サセプタ8は下部電極として機能する。サセプタ8には、第1の高周波電源15から第1の整合器16を介して、0.1〜13MHzの範囲の周波数の高周波電力が供給される。   The susceptor 8 functions as a lower electrode. The susceptor 8 is supplied with high frequency power having a frequency in the range of 0.1 to 13 MHz from the first high frequency power supply 15 via the first matching unit 16.

サセプタ8の上方には、サセプタ8と平行にかつ対向するように上部電極17が設けられている。上部電極17は、絶縁材18を介してチャンバ2の上部に設置されている。上部電極17は、電極板19と、電極支持体20と、第1の拡散板21と、から構成されている。   An upper electrode 17 is provided above the susceptor 8 so as to be parallel to and face the susceptor 8. The upper electrode 17 is installed on the upper portion of the chamber 2 via an insulating material 18. The upper electrode 17 includes an electrode plate 19, an electrode support 20, and a first diffusion plate 21.

電極板19は、アルミニウム等の導電性材料から構成されている。電極板19は、電極板19を貫通する多数のガス穴22を有する。また、電極板19には、第2の整合器23を介して、第2の高周波電源24が接続されている。第2の高周波電源24は、13〜150MHzの高周波電力を電極板19に印加する。サセプタ8(下部電極)と上部電極17とに高周波電極を印加することにより、これらの間にプラズマが生成される。   The electrode plate 19 is made of a conductive material such as aluminum. The electrode plate 19 has a number of gas holes 22 penetrating the electrode plate 19. In addition, a second high frequency power supply 24 is connected to the electrode plate 19 via a second matching unit 23. The second high frequency power supply 24 applies a high frequency power of 13 to 150 MHz to the electrode plate 19. By applying a high frequency electrode to the susceptor 8 (lower electrode) and the upper electrode 17, plasma is generated between them.

電極支持体20には、第1の拡散板21および電極板19が保持されている。電極支持体20の内部には、上部冷媒流路25が設けられている。上部冷媒流路25には冷媒が循環している。上部冷媒流路25により、上部電極17は所望の温度に維持される。   A first diffusion plate 21 and an electrode plate 19 are held on the electrode support 20. An upper coolant channel 25 is provided inside the electrode support 20. A refrigerant circulates in the upper refrigerant flow path 25. The upper refrigerant channel 25 maintains the upper electrode 17 at a desired temperature.

また、電極支持体20にはガス供給管27が接続され、ガス供給源28に接続されている。ガス供給源28からは、SiOF膜の成膜に用いられている処理ガスが供給される。処理ガスは、例えば、四フッ化シラン(SiF)、シラン(SiH)、酸素(O)と、アルゴン(Ar)と、の混合ガスから構成される。 A gas supply pipe 27 is connected to the electrode support 20 and is connected to a gas supply source 28. From the gas supply source 28, a processing gas used for forming the SiOF film is supplied. The processing gas is composed of, for example, a mixed gas of tetrafluorosilane (SiF 4 ), silane (SiH 4 ), oxygen (O 2 ), and argon (Ar).

ガス供給源28とガス供給管27との間にはマスフローコントローラ(MFC)等の流量制御装置29が設けられている。ガス供給源28から、流量制御装置29によってその流量が調整されて処理ガスがチャンバ2内に供給される。   Between the gas supply source 28 and the gas supply pipe 27, a flow rate control device 29 such as a mass flow controller (MFC) is provided. The flow rate is adjusted by the flow rate control device 29 from the gas supply source 28 and the processing gas is supplied into the chamber 2.

第1の拡散板21は、アルミニウム等の導電性材料からなる円盤状部材から構成される。第1の拡散板21は、電極板19と電極支持体20とに挟まれ、電極板19とほぼ同径を有する。第1の拡散板21は、ガス供給管27から供給された処理ガスを、電極板19の一面に拡散させる。拡散された処理ガスは、電極板19の多数のガス穴22に供給される。これにより、電極板19のすべてのガス穴22からウェハWの表面に処理ガスが供給される。   The first diffusion plate 21 is composed of a disk-shaped member made of a conductive material such as aluminum. The first diffusion plate 21 is sandwiched between the electrode plate 19 and the electrode support 20 and has substantially the same diameter as the electrode plate 19. The first diffusion plate 21 diffuses the processing gas supplied from the gas supply pipe 27 to one surface of the electrode plate 19. The diffused processing gas is supplied to a number of gas holes 22 in the electrode plate 19. Thereby, the processing gas is supplied to the surface of the wafer W from all the gas holes 22 of the electrode plate 19.

上部電極17の分解した断面図を図2に示す。図2に示すように、第1の拡散板21には、一面に所定深さの溝として形成された第1のチャネル30と、第1の拡散板21を貫通する第1及び第2の導通口31a、31bと、他面に所定深さの凹部として形成された第1の凹部32と、が形成されている。第1のチャネル30と、第1及び第2の導通口31a、31bと、第1の凹部32と、は、切削加工、ドリル加工等によって、簡便に形成することができる。上部電極17の内部には、第1のチャネル30、第1及び第2の導通口31a、31bとから構成される、ガス流路Lが形成される。   An exploded sectional view of the upper electrode 17 is shown in FIG. As shown in FIG. 2, the first diffusion plate 21 has a first channel 30 formed as a groove having a predetermined depth on one surface, and first and second conductions that penetrate the first diffusion plate 21. Mouth 31a, 31b and the 1st recessed part 32 formed in the other surface as a recessed part of predetermined depth are formed. The first channel 30, the first and second conduction ports 31a and 31b, and the first recess 32 can be easily formed by cutting, drilling, or the like. Inside the upper electrode 17, a gas flow path L composed of a first channel 30 and first and second conduction ports 31 a and 31 b is formed.

第1のチャネル30はガス供給管27と連通しており、ガス供給源28からの処理ガスの供給を受けるよう配置されている。第1及び第2の導通口31a、31bは、第1のチャネル30と第1の凹部32とを接続している。従って、ガス供給管27から供給された処理ガスは、ガス流路Lを通って、図2の矢印に示すように水平方向に拡散されて第1の凹部32に供給される。   The first channel 30 communicates with the gas supply pipe 27 and is arranged to receive the processing gas supplied from the gas supply source 28. The first and second conduction ports 31 a and 31 b connect the first channel 30 and the first recess 32. Accordingly, the processing gas supplied from the gas supply pipe 27 passes through the gas flow path L, is diffused in the horizontal direction as shown by the arrow in FIG.

拡散された処理ガスは、電極板19と第1の拡散板21の第1の凹部32とにより形成された中空部に供給される。中空部(第1の凹部32)に拡散されて供給された処理ガスは、中空部でさらに拡散されて、電極板19の多数のガス穴22に、圧力等に関する高い均一性で供給される。従って、多数のガス穴22から、処理ガスがウェハWの表面全体にほぼ均等に供給される。これにより、良好な膜厚分布が得られるなど、均一性の高い処理が可能となる。   The diffused processing gas is supplied to a hollow portion formed by the electrode plate 19 and the first concave portion 32 of the first diffusion plate 21. The processing gas diffused and supplied to the hollow portion (first concave portion 32) is further diffused in the hollow portion, and is supplied to the many gas holes 22 of the electrode plate 19 with high uniformity with respect to pressure and the like. Accordingly, the processing gas is supplied almost uniformly over the entire surface of the wafer W from the large number of gas holes 22. As a result, a highly uniform process such as obtaining a good film thickness distribution is possible.

以下、第1の拡散板21の構成を詳細に説明する。図3に、第1の拡散板21のA矢視図を示す。図3に示すように、第1の拡散板21の一面に形成された第1のチャネル30は、4本の第1の溝30a、…、30aと、1本の第2の溝30bから構成される。   Hereinafter, the configuration of the first diffusion plate 21 will be described in detail. In FIG. 3, the A arrow directional view of the 1st diffuser plate 21 is shown. As shown in FIG. 3, the first channel 30 formed on one surface of the first diffusion plate 21 is composed of four first grooves 30a, ..., 30a and one second groove 30b. Is done.

第1の溝30a、…、30aは、第1の拡散板21の略中心から、互いに略直角となるように放射状に延びている。第1の溝30a、…、30aは、ほぼ同じ長さを有し、中心において接続している。また、第2の溝30bは、隣り合う第1の溝30a、30aの間に形成されている。第2の溝30bは、第1の溝30a、…、30aとほぼ同じ長さを有し、中心において第1の溝30a、…、30aと接続している。   The first grooves 30a, ..., 30a extend radially from substantially the center of the first diffusion plate 21 so as to be substantially perpendicular to each other. The first grooves 30a, ..., 30a have substantially the same length and are connected at the center. The second groove 30b is formed between the adjacent first grooves 30a and 30a. The second groove 30b has substantially the same length as the first grooves 30a, ..., 30a, and is connected to the first grooves 30a, ..., 30a at the center.

第1の溝30a、…、30a内には、第1の導通口31aが中心から等距離にそれぞれ設けられている。また、中心には第2の導通口31bが設けられている。第1及び第2の導通口31a、31bは、第1の拡散板21の両面を貫通して設けられている。   In the first grooves 30a, ..., 30a, first conduction ports 31a are provided at equal distances from the center. A second conduction port 31b is provided at the center. The first and second conduction ports 31 a and 31 b are provided through both surfaces of the first diffusion plate 21.

第2の溝30bは、ガス供給管27から処理ガスの供給を受ける位置に設けられている。すなわち、例えば、第2の溝30bは、ガス供給管27が電極支持体20に接続された位置の直下に配置されている。ガス供給管27から第1の拡散板21に向けて供給された処理ガスは、第2の溝30bにおいて受け止められる。その後、処理ガスは第2の溝30bを流れ、第2の導通口31bを介して他面側に流れるとともに、4本の第1の溝30aにほぼ均等に分散されて流れる。第1の溝30aを流れる処理ガスは、第1の導通口31aに達し、第1の導通口31bを介して他面側の第1の凹部32に供給される。   The second groove 30 b is provided at a position where the processing gas is supplied from the gas supply pipe 27. That is, for example, the second groove 30 b is disposed immediately below the position where the gas supply pipe 27 is connected to the electrode support 20. The processing gas supplied from the gas supply pipe 27 toward the first diffusion plate 21 is received in the second groove 30b. After that, the processing gas flows through the second groove 30b, flows to the other surface side through the second conduction port 31b, and flows almost uniformly dispersed in the four first grooves 30a. The processing gas flowing through the first groove 30a reaches the first conduction port 31a and is supplied to the first recess 32 on the other surface side through the first conduction port 31b.

図4に、第1の拡散板21のB矢視図を示す。図4に示すように、第1の拡散板21の他面には、略円形の第1の凹部32が形成されている。第1の凹部32は、第1の拡散板21のほぼ全面に所定の深さで形成されている。第1の拡散板21と電極板19とが重なって配置されて、第1の凹部32によって中空部が形成される。   FIG. 4 shows a view of the first diffusion plate 21 as seen from the direction of arrow B. As shown in FIG. 4, a substantially circular first recess 32 is formed on the other surface of the first diffusion plate 21. The first recess 32 is formed at a predetermined depth on substantially the entire surface of the first diffusion plate 21. The first diffusion plate 21 and the electrode plate 19 are arranged so as to overlap each other, and a hollow portion is formed by the first recess 32.

第1の拡散板21の一面に供給され、第1のチャネル30で拡散された処理ガスは、第1の導通口31a、…、31aおよび第2の導通口31bを介して、他面の中空部(第1の凹部32)に供給される。処理ガスは中空部内で拡散されてガス穴22に供給される。これにより、処理ガスはガス穴22からウェハWの表面に対して高い均一性で供給される。   The processing gas supplied to one surface of the first diffusion plate 21 and diffused in the first channel 30 is hollow on the other surface via the first conduction ports 31a, ..., 31a and the second conduction ports 31b. Part (first recess 32). The processing gas is diffused in the hollow portion and supplied to the gas hole 22. Thus, the processing gas is supplied from the gas hole 22 to the surface of the wafer W with high uniformity.

以下、上記構成のプラズマ処理装置1の、成膜処理時の動作について、図面を参照して説明する。なお、以下に示す動作は一例であり、同様の結果物が得られる構成であれば、いかなるものであってもよい。   Hereinafter, the operation during the film forming process of the plasma processing apparatus 1 having the above configuration will be described with reference to the drawings. The operation described below is an example, and any operation may be used as long as a similar result can be obtained.

まず、ウエハWをチャンバ2内に搬入する。ウェハWは、サセプタ支持台6が下降位置にある状態で、サセプタ8の表面から突出した状態のリフトピン13上に載置される。次いで、リフトピン13の降下によりウェハWはサセプタ8上に載置され、静電チャックにより固定される。次いで、ゲートバルブ5は閉鎖され、排気装置4によって、チャンバ2内は所定の真空度まで排気される。その後、サセプタ支持台6は、処理位置まで上昇する。この状態で、サセプタ8は所定の温度、例えば、50℃とされ、チャンバ2内は、排気装置4により高真空状態、例えば、0.01Paとされる。   First, the wafer W is loaded into the chamber 2. The wafer W is placed on the lift pins 13 that protrude from the surface of the susceptor 8 with the susceptor support base 6 in the lowered position. Next, the wafer W is placed on the susceptor 8 by the lowering of the lift pins 13 and fixed by an electrostatic chuck. Next, the gate valve 5 is closed, and the inside of the chamber 2 is exhausted to a predetermined degree of vacuum by the exhaust device 4. Thereafter, the susceptor support base 6 is raised to the processing position. In this state, the susceptor 8 is set to a predetermined temperature, for example, 50 ° C., and the inside of the chamber 2 is set to a high vacuum state, for example, 0.01 Pa by the exhaust device 4.

その後、処理ガス供給源28から、例えば、SiF、SiH、O、NF、NHガスおよびArガスからなる処理ガスが、流量制御装置29により所定の流量に制御されてガス供給管27に供給される。混合ガスは、拡散板21により十分に拡散されて、電極板19のガス穴22からウエハWに向けて高い均一性で噴出される。 Thereafter, a processing gas composed of, for example, SiF 4 , SiH 4 , O 2 , NF 3 , NH 3 gas and Ar gas is controlled from the processing gas supply source 28 to a predetermined flow rate by the flow rate control device 29, and the gas supply pipe 27. The mixed gas is sufficiently diffused by the diffusion plate 21 and ejected from the gas hole 22 of the electrode plate 19 toward the wafer W with high uniformity.

その後、第2の高周波電源24が、例えば、13〜150MHzの高周波電力を上部電極17に印加する。これにより、上部電極17と下部電極としてのサセプタ8との間に高周波電界が生じ、処理ガスのプラズマが発生する。他方、第1の高周波電源15が、例えば、0.1〜13MHzの高周波電力を下サセプタ8に供給する。これにより、プラズマ中の活性種がサセプタ8側へ引き込まれ、ウェハW表面近傍のプラズマ密度が高められる。生成したプラズマにより、ウェハWの表面で化学反応が進行し、ウェハWの表面にSiOF膜が形成される。   Thereafter, the second high frequency power supply 24 applies, for example, high frequency power of 13 to 150 MHz to the upper electrode 17. As a result, a high-frequency electric field is generated between the upper electrode 17 and the susceptor 8 as the lower electrode, and plasma of the processing gas is generated. On the other hand, the first high frequency power supply 15 supplies high frequency power of, for example, 0.1 to 13 MHz to the lower susceptor 8. As a result, active species in the plasma are drawn to the susceptor 8 side, and the plasma density near the surface of the wafer W is increased. Due to the generated plasma, a chemical reaction proceeds on the surface of the wafer W, and a SiOF film is formed on the surface of the wafer W.

所定の厚さの膜が形成された後、高周波電力の供給を停止するとともに、処理ガスの供給を停止する。チャンバ2内の圧力を元の圧力に戻した後、ウェハWを搬入したのと逆の順序で、ウェハWをチャンバ2内から搬出する。以上で、ウェハWの成膜工程は終了する。   After the film having a predetermined thickness is formed, the supply of the high frequency power is stopped and the supply of the processing gas is stopped. After returning the pressure in the chamber 2 to the original pressure, the wafer W is unloaded from the chamber 2 in the reverse order of loading the wafer W. Thus, the film formation process for the wafer W is completed.

以上説明したように、第1の実施の形態では、上部電極17は、ガス供給管27と、電極板19と、これらの間に設けられた第1の拡散板21と、から構成されている。第1の拡散板21は、一面に放射状に形成された複数の溝からなる第1のチャネル30を備え、他面にほぼ全体に形成された第1の凹部32を備える。ガス供給管27から供給された処理ガスは、第1のチャネル30において電極板19の主面に水平な方向に拡散された後に他面側の第1の凹部32に供給される。処理ガスは第1の凹部32においてさらに拡散されて多数のガス穴22にほぼ均等に供給される。これにより、処理ガスは、ガス穴22から高い均一性でウェハWに供給され、膜厚分布等の良好な、均一性の高い処理が可能となる。   As described above, in the first embodiment, the upper electrode 17 includes the gas supply pipe 27, the electrode plate 19, and the first diffusion plate 21 provided therebetween. . The first diffusing plate 21 includes a first channel 30 composed of a plurality of grooves formed radially on one surface, and includes a first recess 32 formed almost entirely on the other surface. The processing gas supplied from the gas supply pipe 27 is diffused in the first channel 30 in a direction horizontal to the main surface of the electrode plate 19 and then supplied to the first recess 32 on the other surface side. The processing gas is further diffused in the first recess 32 and is supplied to the multiple gas holes 22 almost uniformly. Thereby, the processing gas is supplied to the wafer W from the gas hole 22 with high uniformity, and processing with good uniformity such as film thickness distribution can be performed.

また、第1の拡散板21は、その両面に溝または凹部が形成された一枚の板状部材から構成されている。従って、第1の拡散板21は、例えば、一枚のアルミニウム板の両面に切削等の加工を施すことにより、簡単に作成することができる。さらに、複数の配管を必要としない。従って、簡便に、装置構成を複雑化させることなく、ガス穴22への均一性の高い処理ガスの拡散が可能となる。これにより、製造コストの増大、および、メンテナンス等の保守性の低下を防ぎつつ、均一性の高い処理を実現できる。   Moreover, the 1st diffuser plate 21 is comprised from the one plate-shaped member by which the groove | channel or the recessed part was formed in the both surfaces. Therefore, the 1st diffuser plate 21 can be easily produced, for example by performing processing, such as cutting, on both surfaces of one aluminum plate. Furthermore, a plurality of pipes are not required. Therefore, it is possible to easily diffuse the processing gas with high uniformity into the gas hole 22 without complicating the apparatus configuration. Thereby, it is possible to realize highly uniform processing while preventing an increase in manufacturing cost and a decrease in maintainability such as maintenance.

上記第1の実施の形態では、第1の拡散板21に4本の第1のチャネル30aを設け、4個の第1の導通口31aから中空部に処理ガスを供給する構成とした。しかし、第1の導通口31aの数はこれに限らず、第1のチャネル30aの数を5本以上として、各第1のチャネル30aに第1の導通口31aを設けてもよい。また、第1の導通口31aを第1のチャネル30aに2つ以上形成した構成としてもよい。この場合においても、第1の拡散板21の溝又は穴を増加させるだけでよく、装置構成を複雑化させることなく、処理ガスのより均等な分散を図れる。   In the first embodiment, four first channels 30a are provided in the first diffusion plate 21, and the processing gas is supplied from the four first conduction ports 31a to the hollow portion. However, the number of the first conduction ports 31a is not limited to this, and the number of the first channels 30a may be five or more, and the first conduction ports 31a may be provided in each first channel 30a. Further, two or more first conduction ports 31a may be formed in the first channel 30a. Even in this case, it is only necessary to increase the number of grooves or holes in the first diffusion plate 21, and the processing gas can be more evenly distributed without complicating the apparatus configuration.

(第2の実施の形態)
第2の実施の形態に係る処理装置は、図1に示すプラズマ処理装置1と、上部電極17を除いて同一の構成を有する。図5に、第2の実施の形態にかかる上部電極17の分解図を示す。なお、理解を容易にするため、図5中では図2と同一の部分には同一の符号を付し、説明を省略する。
(Second Embodiment)
The processing apparatus according to the second embodiment has the same configuration as the plasma processing apparatus 1 shown in FIG. FIG. 5 shows an exploded view of the upper electrode 17 according to the second embodiment. In order to facilitate understanding, the same reference numerals in FIG. 5 denote the same parts as in FIG. 2, and a description thereof will be omitted.

第2の実施の形態では、ウェハWの中心部と端部とにそれぞれ流量を独立に制御して処理ガスを供給する。すなわち、図5に示すように、電極支持体20には、中心部ガス供給管27aと、端部ガス供給管27bと、が接続され、それぞれ、流量制御装置29a、29bを介して、共通のガス供給源28に接続されている。   In the second embodiment, the processing gas is supplied to the central portion and the end portion of the wafer W by independently controlling the flow rate. That is, as shown in FIG. 5, a center gas supply pipe 27a and an end gas supply pipe 27b are connected to the electrode support 20 and are connected to each other via flow control devices 29a and 29b, respectively. The gas supply source 28 is connected.

中心部ガス供給管27aおよび端部ガス供給管27bから供給された処理ガスは、それぞれ、上部電極17内に形成された2つの独立な中心部ガス流路Lおよび端部ガス流路Lを通って拡散されて、電極板19の中心部および端部に供給される。これにより、処理ガスは、電極板19のガス穴22を通って、ウェハWの中心部と端部とにそれぞれ異なる流量に制御されて供給される。 Process gas supplied from the central gas supply tube 27a and the end portion the gas supply pipe 27b, respectively, two independent central gas channel formed in the upper electrode 17 L a and the end portion the gas flow path L b It is diffused through and supplied to the center and end of the electrode plate 19. As a result, the processing gas is supplied to the central portion and the end portion of the wafer W at different flow rates through the gas holes 22 of the electrode plate 19.

第2の実施の形態の上部電極17は、電極板19と電極支持体20との間に、第2の拡散板40と、第3の拡散板41と、が設けられている。第2及び第3の拡散板40、41は、アルミニウム等の導電性材料からなる、円盤状部材から構成されている。第2および第3の拡散板40、41は、電極板19とほぼ同径を有する。第2及び第3の拡散板40、41は、2つの独立な中心部ガス流路Lおよび端部ガス流路Lを、上部電極17内に形成する。 In the upper electrode 17 of the second embodiment, a second diffusion plate 40 and a third diffusion plate 41 are provided between the electrode plate 19 and the electrode support 20. The 2nd and 3rd diffuser plates 40 and 41 are comprised from the disk-shaped member which consists of electroconductive materials, such as aluminum. The second and third diffusion plates 40 and 41 have substantially the same diameter as the electrode plate 19. Second and third diffusion plate 40 and 41, two independent central gas passage L a and the end portion the gas flow path L b, formed in the upper electrode 17.

第2の拡散板40は、電極支持体20に接するように配置される。第2の拡散板40は、一面に所定深さの溝として形成された第2のチャネル50と、第2の拡散板40を貫通する第3および第4の導通口51、52と、を備える。第2のチャネル50と、第3および第4の導通口51、52と、は、切削加工、ドリル加工等によって簡便に形成することができる。   The second diffusion plate 40 is disposed so as to contact the electrode support 20. The second diffusion plate 40 includes a second channel 50 formed as a groove having a predetermined depth on one surface, and third and fourth conduction ports 51 and 52 penetrating the second diffusion plate 40. . The second channel 50 and the third and fourth conduction ports 51 and 52 can be easily formed by cutting, drilling, or the like.

図5に示す第2の拡散板40のC矢視図を図6に示す。図6に示されるように、第2の拡散板40の一面には、4本の第2の溝50a、…、50aからなる第2のチャネル50が形成されている。第2の溝50a、…、50aは、第2の拡散板40の略中心において接続し、中心から放射状に延びている。第2の溝50a、…、50aは、ほぼ同じ長さで、互いにほぼ直交するように形成されている。   A C arrow view of the second diffusion plate 40 shown in FIG. 5 is shown in FIG. As shown in FIG. 6, a second channel 50 including four second grooves 50 a,..., 50 a is formed on one surface of the second diffusion plate 40. The second grooves 50a, ..., 50a are connected at substantially the center of the second diffusion plate 40 and extend radially from the center. The second grooves 50a, ..., 50a have substantially the same length and are formed so as to be substantially orthogonal to each other.

第2の溝30a内には、それぞれ、中心からほぼ等距離に第3の導通口51が形成されている。端部ガス供給管27bは、第2の拡散板40の略中心に処理ガスを供給するように設けられている。これにより、処理ガスは、中心から4本の第2の溝50a、…、50aにそれぞれほぼ均等に分散されて供給される。処理ガスは、第2の溝50aを流れて、第3の導通口51から第2の拡散板40の他面側に供給される。   A third conduction port 51 is formed in the second groove 30a at approximately the same distance from the center. The end gas supply pipe 27 b is provided so as to supply the processing gas to substantially the center of the second diffusion plate 40. As a result, the processing gas is supplied almost uniformly distributed to the four second grooves 50a,..., 50a from the center. The processing gas flows through the second groove 50 a and is supplied from the third conduction port 51 to the other surface side of the second diffusion plate 40.

第4の導通口52は、第2の溝50aと重ならない位置に形成されている。中心部ガス供給管27aは、第4の導通口52と接続されるように配置されている。これにより、中心部ガス供給管27aから供給された処理ガスは、第4の導通口52を介して、第2の拡散板21の他面側に供給される。   The fourth conduction port 52 is formed at a position that does not overlap the second groove 50a. The center gas supply pipe 27 a is arranged so as to be connected to the fourth conduction port 52. As a result, the processing gas supplied from the central gas supply pipe 27 a is supplied to the other surface side of the second diffusion plate 21 through the fourth conduction port 52.

図5に戻り、第3の拡散板41は、第2の拡散板40と電極板19との間に配置されている。第3の拡散板41は、第2の拡散板40に対向する面に所定深さの溝として形成された第3のチャネル53と、第3の拡散板41を貫通する第5及び第6の導通口54、55と、電極板19に対向する面に所定深さの凹部として形成された第2の凹部56と、を備える。第3のチャネル50と、第5および第6の導通口54、55と、第2の凹部56と、は、切削加工、ドリル加工等によって簡便に形成することができる。   Returning to FIG. 5, the third diffusion plate 41 is disposed between the second diffusion plate 40 and the electrode plate 19. The third diffusion plate 41 includes a third channel 53 formed as a groove having a predetermined depth on a surface facing the second diffusion plate 40, and fifth and sixth channels penetrating the third diffusion plate 41. Conductive ports 54 and 55, and a second recess 56 formed as a recess having a predetermined depth on the surface facing the electrode plate 19. The third channel 50, the fifth and sixth conduction ports 54 and 55, and the second recess 56 can be easily formed by cutting, drilling, or the like.

また、第2の凹部57と電極板19とで形成された中空部は、仕切り部材56によって中心部と端部とに分けられている。   The hollow portion formed by the second recess 57 and the electrode plate 19 is divided into a center portion and an end portion by a partition member 56.

図7に、図5に示す第3の拡散板41のD矢視図を示す。図に示すように、第3の拡散板41の一面には、第3のチャネル53を構成する、4本の第3の溝53a、…、53aと、1本の引き出し溝53bと、が形成されている。第3の溝53a、…、53aは、第3の拡散板41の略中心において接続し、中心から放射状に延びている。第3の溝53aは、それぞれほぼ同じ長さで、互いにほぼ直交するように形成されている。   FIG. 7 shows a view of the third diffusion plate 41 shown in FIG. As shown in the figure, on the one surface of the third diffusion plate 41, there are formed four third grooves 53a,..., 53a constituting one third channel 53 and one lead groove 53b. Has been. The third grooves 53a,..., 53a are connected substantially at the center of the third diffusion plate 41 and extend radially from the center. The third grooves 53a are substantially the same length and are formed so as to be substantially orthogonal to each other.

第3の溝53a内には、第5の導通口54がそれぞれ中心からほぼ等距離に設けられている。ここで、第3の溝53aは、第2の溝50aよりも短い長さとされている。   In the third groove 53a, fifth conduction ports 54 are provided at approximately equal distances from the center. Here, the third groove 53a has a shorter length than the second groove 50a.

引き出し溝53bは、第3の溝53a、…、53aと、中心において接続している。引き出し溝53bの一端は、第2の拡散板40の第4の導通口52と重なるように設けられている。これにより、中心部ガス供給管27aから供給され、第4の導通口52を通過した処理ガスは、引き出し溝53bにて受け止められ、中心方向へと流れる。その後、処理ガスは、第3の溝53a、…、53aにほぼ均等に分散され、第5の導通口54を介して他面側へと流れる。   The lead-out groove 53b is connected to the third grooves 53a, ..., 53a at the center. One end of the drawing groove 53 b is provided so as to overlap with the fourth conduction port 52 of the second diffusion plate 40. As a result, the processing gas supplied from the central gas supply pipe 27a and passing through the fourth conduction port 52 is received by the extraction groove 53b and flows toward the center. Thereafter, the processing gas is distributed almost evenly in the third grooves 53 a,..., 53 a and flows to the other surface side through the fifth conduction port 54.

第6の導通口55は、第3の拡散板41に4個設けられている。第6の導通口55は、第2の拡散板40の第3の導通口51と重なり、連通するように設けられている。これにより、端部ガス供給管27bから供給され、第3の導通口51を通過した処理ガスは、第6の導通口55を通過して他面側に流れる。   Four sixth conduction ports 55 are provided in the third diffusion plate 41. The sixth conduction port 55 is provided so as to overlap and communicate with the third conduction port 51 of the second diffusion plate 40. Thereby, the processing gas supplied from the end gas supply pipe 27 b and having passed through the third conduction port 51 passes through the sixth conduction port 55 and flows to the other surface side.

図8に、図5に示す第3の拡散板41のE矢視図を示す。図に示すように、第2の凹部57は、第3の拡散板41のほぼ全体に、第3の拡散板41とほぼ同心の略円形状に形成されている。第2の凹部57は、環状の仕切り部材56によって中心領域57aと、端部領域57bとに分けられている。仕切り部材56は、例えば、アルミニウムから構成され、第2の凹部57の深さとほぼ同じ幅を有する帯状の部材から構成されている。環状の仕切り部材56は、第2の凹部57と略同一の中心を有する。仕切り部材56は、第3の拡散部材41と電極板19とによって形成される中空部(第2の凹部57)を、中心領域57aと端部領域57bとに分ける。   FIG. 8 shows an E arrow view of the third diffusion plate 41 shown in FIG. As shown in the figure, the second concave portion 57 is formed in a substantially circular shape substantially concentric with the third diffusion plate 41 in almost the entire third diffusion plate 41. The second concave portion 57 is divided into a central region 57 a and an end region 57 b by an annular partition member 56. The partition member 56 is made of, for example, aluminum, and is made of a band-like member having a width substantially the same as the depth of the second recess 57. The annular partition member 56 has substantially the same center as the second recess 57. The partition member 56 divides a hollow portion (second recess 57) formed by the third diffusion member 41 and the electrode plate 19 into a center region 57a and an end region 57b.

ここで、仕切り部材56は、図8に示す第2の凹部57の平面を、中心領域57aと端部領域57bとで所定の面積比に分けるように設けられる。仕切り部材56の径は、例えば、中心領域57aの面積:端部領域57bの面積=2:1となるように構成される。なお、ここでいう面積とは、実質的には第3の拡散板41の主面に垂直な方向からみた断面積である。   Here, the partition member 56 is provided so as to divide the plane of the second recess 57 shown in FIG. 8 into a predetermined area ratio between the center region 57a and the end region 57b. The diameter of the partition member 56 is configured such that, for example, the area of the central region 57a: the area of the end region 57b = 2: 1. The area referred to here is substantially a cross-sectional area viewed from a direction perpendicular to the main surface of the third diffusion plate 41.

上述したように、第5の導通口54を備える第3の溝53aは、第3の導通口51を備える第2の溝50aよりも短く形成されている。図8に示すように、第5の導通口54は、仕切り部材56の内側に配置されている。また、第3の導通口51と連通する第6の導通口55は、仕切り部材56の外側に配置されている。これにより、第5の導通口54からの処理ガスは第2の凹部57の中心領域57aに供給され、一方、第6の導通口55からの処理ガスは第2の凹部57の端部領域57bに供給される。   As described above, the third groove 53 a including the fifth conduction port 54 is formed shorter than the second groove 50 a including the third conduction port 51. As shown in FIG. 8, the fifth conduction port 54 is disposed inside the partition member 56. The sixth conduction port 55 that communicates with the third conduction port 51 is disposed outside the partition member 56. Thereby, the processing gas from the fifth conduction port 54 is supplied to the central region 57 a of the second recess 57, while the processing gas from the sixth conduction port 55 is supplied to the end region 57 b of the second recess 57. To be supplied.

図5を参照して以上まとめると、上部電極17内には、第2および第3の拡散板40、41によって、互いに独立な中心部ガス流路Lと端部ガス流路Lと形成される。中心部ガス供給管27aから供給された処理ガスは、一点鎖線の矢印に示すように、中心部ガス流路Lを通過することによって拡散板19の主面に水平な方向に拡散され、中心領域57aと連通するガス穴22からウェハWの中心部に噴出される。また、端部ガス供給管27bから供給された処理ガスは、鎖線の矢印に示すように、端部ガス流路Lを通過することによって拡散され、端部領域57bと連通するガス穴22からウェハWの端部に噴出される。 In summary with reference to FIG. 5, in the upper electrode 17, the second and third diffusion plate 40, 41, and mutually independent center gas passage L a and the end portion the gas flow path L b formed Is done. Central processing gas supplied from the gas supply pipe 27a, as shown in the dashed-line arrow, is diffused in the horizontal direction to the principal surface of the diffusion plate 19 by passing through the center gas passage L a, center The gas hole 22 communicating with the region 57a is ejected to the center of the wafer W. The processing gas supplied from the end gas supply tube 27b, as shown in the chain line arrow, is diffused by passing through the end portion gas passage L b, the gas holes 22 in communication with the end regions 57b Jetted to the edge of the wafer W.

互いに独立な中心部ガス流路Lと端部ガス流路Lとには、流量制御装置29a、29bによって、流量が制御されて処理ガスが供給される。従って、ウェハWの中心部と端部とに、それぞれ、流量を制御して処理ガスを供給することができる。 The a mutually independent center gas passage L a and the end portion the gas flow path L b, the flow control device 29a, the 29 b, the process gas is supplied flow rate is controlled. Therefore, the processing gas can be supplied to the central portion and the end portion of the wafer W by controlling the flow rate.

図9に、中心領域57aと端部領域57bの面積比(断面積比)を2:1として、流量制御装置29a、29bにより中心領域57a及び端部領域57bへの処理ガスの供給量比を変化させ、ウェハWの表面における成膜速度の均一性を調べた結果を示す。   In FIG. 9, the area ratio (cross-sectional area ratio) between the center region 57a and the end region 57b is set to 2: 1, and the supply amount ratio of the processing gas to the center region 57a and the end region 57b by the flow rate control devices 29a and 29b FIG. 5 shows the result of examining the uniformity of the film forming speed on the surface of the wafer W by changing the thickness.

図9よりわかるように、中心領域57aと端部領域57bとにおけるガス供給量比が1:1.2〜1.6のときに、成膜速度は良好な均一性を示し、特に、1:1.4付近で最も良好である。なお、成膜速度均一性は、ウェハWの表面における成膜速度のばらつきの程度を示し、その値が低い程、ばらつきが低く、面内均一性の高い成膜が行われる。従って、中心領域57aと端部領域57bとの面積比が2:1である場合には、中心領域57aと端部領域57bとに対するガス供給量比を1:1.4付近とすることにより、膜厚分布の良好な、均一性の高い処理が可能となる。   As can be seen from FIG. 9, when the gas supply amount ratio in the central region 57a and the end region 57b is 1: 1.2 to 1.6, the film formation rate shows good uniformity. Best around 1.4. The film formation rate uniformity indicates the degree of variation in the film formation rate on the surface of the wafer W, and the lower the value, the lower the variation and the film formation with high in-plane uniformity. Therefore, when the area ratio between the center region 57a and the end region 57b is 2: 1, the gas supply amount ratio with respect to the center region 57a and the end region 57b is about 1: 1.4. A highly uniform treatment with a good film thickness distribution is possible.

また、第2の凹部57内に配置された仕切り部材56の径を調節することにより、さらに良好にガス供給を制御することができる。図10に、中心領域57a及び端部領域57bへのガス供給量比を一定として、各領域57a、57bの面積比を変化させたときの成膜速度の均一性を調べた結果を示す。   Further, by adjusting the diameter of the partition member 56 disposed in the second recess 57, the gas supply can be controlled more favorably. FIG. 10 shows the results of examining the uniformity of the film forming rate when the area ratio of each of the regions 57a and 57b is changed while the gas supply amount ratio to the central region 57a and the end region 57b is constant.

図10に、ガス供給量比を1:1.4、1:1.8、1:2として、面積比を変化させた場合の結果を示す。図10より、ガス供給量比が1:1.4の場合の最適な面積比は、2:1あたりであることがわかる。また、同様に、ガス供給量比が1:1.8の場合には、1.5:1程度、ガス供給量比が1:2の場合には、1:1程度が最適であることがわかる。このように、所望の結果物が得られるよう、面積比と、供給量比と、を調節して最適化することができることがわかる。   FIG. 10 shows the results when the area ratio is changed with the gas supply ratio being 1: 1.4, 1: 1.8, and 1: 2. FIG. 10 shows that the optimum area ratio when the gas supply amount ratio is 1: 1.4 is around 2: 1. Similarly, when the gas supply ratio is 1: 1.8, about 1.5: 1 is optimal, and when the gas supply ratio is 1: 2, about 1: 1 is optimal. Recognize. Thus, it can be seen that the area ratio and the supply amount ratio can be adjusted and optimized so as to obtain a desired result.

(実施例)
種々の膜について、成膜速度均一性等の均一性が最も良好な処理条件について調べた。結果を図11に示す。図11では、SiO、SiOF、SiC、SiN、SiCN、CF、SiCHおよびSiCOについて調べている。なお、ガス種は、図中のものに限らず、SiHはTEOS等と、SiFはSi等と、CHはC等と、CはCF等と、NはNO、NO等と、OはNO、CO等と、3MS(トリメチルシラン)はメチルシラン、ジメチルシラン等と代替可能である。また、その他代替可能なガスを図12に示す。
(Example)
For various films, the processing conditions with the best uniformity such as the deposition rate uniformity were examined. The results are shown in FIG. In FIG. 11, SiO 2 , SiOF, SiC, SiN, SiCN, CF x , SiCH, and SiCO are examined. The gas types are not limited to those shown in the figure, SiH 4 is TEOS or the like, SiF 4 is Si 2 H 2 F 2 or the like, CH 4 is C 2 H 6 or the like, and C 6 F 6 is CF 4. , N 2 can be replaced with N 2 O, NO, etc., O 2 can be replaced with N 2 O, CO 2, etc., and 3MS (trimethylsilane) can be replaced with methylsilane, dimethylsilane, or the like. Further, other alternative gases are shown in FIG.

図11に示すように、成膜種にあわせて、中心領域と端部領域との比を適宜変え、処理条件の最適化を図ることができる。   As shown in FIG. 11, the processing conditions can be optimized by appropriately changing the ratio between the center region and the end region in accordance with the film formation type.

以上説明したように、第2の実施の形態では、ガス穴22と通じる第2の凹部57を中心領域57aと端部領域57bとに分け、中心領域57aと端部領域57bとにそれぞれ通じる中心部ガス流路Lと端部ガス流路Lとを上部電極17内に形成している。処理ガスは、中心部ガス流路Lと端部ガス流路Lとを流れることにより拡散されて、中心領域57aと端部領域57bとにそれぞれ独立に制御されて供給される。処理ガスは、中心領域57aと端部領域57bとにおいてさらに拡散されて、ガス穴22を介して、ウェハWの中心部と端部とに噴出される。これにより、ウェハWへの処理ガスの供給を良好に制御し、均一性の高い処理を行うことができる。 As described above, in the second embodiment, the second recess 57 that communicates with the gas hole 22 is divided into the center region 57a and the end region 57b, and the center that communicates with the center region 57a and the end region 57b, respectively. a Department gas passage L a and the end portion the gas flow path L b are formed in the upper electrode 17. Process gas is diffused by passing through a central portion gas passage L a and the end portion the gas flow path L b, it is supplied are controlled independently to the central region 57a and the end region 57 b. The processing gas is further diffused in the central region 57 a and the end region 57 b and is ejected to the central portion and the end portion of the wafer W through the gas holes 22. As a result, the supply of the processing gas to the wafer W can be well controlled and highly uniform processing can be performed.

また、上記構造は、溝と貫通穴とが形成された2枚の円盤状部材によって形成される。従って、装置構成の複雑化することはなく、製造コストの増大およびメンテナンス等の保守性の劣化を防ぎつつ、均一性の高い処理が実現される。   Moreover, the said structure is formed of the two disk shaped members in which the groove | channel and the through-hole were formed. Therefore, the apparatus configuration is not complicated, and highly uniform processing is realized while preventing an increase in manufacturing cost and deterioration in maintainability such as maintenance.

さらに、中心領域57aと端部領域57bとの境界は、仕切り部材56の径を変化させることにより、変化させることができる。このように、2つの領域を変化させることにより、処理ガスの供給をより高精度に制御することができる。   Furthermore, the boundary between the center region 57 a and the end region 57 b can be changed by changing the diameter of the partition member 56. As described above, the supply of the processing gas can be controlled with higher accuracy by changing the two regions.

上記第2の実施の形態では、互いに独立なガス流路L、Lには、同一のガス種を流す構成とした。しかし、ガス流路L、Lに、処理空間以外での混合が望ましくない別種のガスをそれぞれ流すようにしてもよい。また、ガス流路は2本に限らず、3本以上形成してもよい。 In the second embodiment, the same gas type is caused to flow through the gas flow paths L a and L b that are independent of each other. However, another type of gas that is not desired to be mixed outside the processing space may flow through the gas flow paths L a and L b , respectively. Further, the number of gas flow paths is not limited to two, and three or more gas flow paths may be formed.

上記第2の実施の形態では、第2のチャネル50aおよび第3のチャネル53aは、それぞれ4本形成するものとした。しかし、それぞれ5本以上設け、処理ガスをより拡散させるようにしてもよい。また、中心領域57a及び端部領域57bにはそれぞれ4個の導通口54、55からガス供給が行われるものとした。しかし、これに限らず、それぞれ5個以上としてもよい。   In the second embodiment, four second channels 50a and three third channels 53a are formed. However, five or more of each may be provided to further diffuse the processing gas. In addition, gas is supplied from the four conduction ports 54 and 55 to the center region 57a and the end region 57b, respectively. However, the present invention is not limited to this, and may be 5 or more.

また、上記第2の実施の形態では、中空部57は、1つの仕切り部材56によって2つの領域に分けるものとした。しかし、内径の異なる複数の仕切り部材を用いて、断面積比の異なる3つ以上の領域に分けるものとしてもよい。また、中空部57の分け方はこれに限らず、例えば、中空部57の直径上に配置した複数の板状部材によって、中空部57を分けるようにしてもよい。   In the second embodiment, the hollow portion 57 is divided into two regions by one partition member 56. However, a plurality of partition members having different inner diameters may be used to divide into three or more regions having different cross-sectional area ratios. The method of dividing the hollow portion 57 is not limited to this, and the hollow portion 57 may be divided by a plurality of plate-like members arranged on the diameter of the hollow portion 57, for example.

(第3の実施の形態)
第3の実施の形態に係る処理装置は、図1に示すプラズマ処理装置1と、上部電極17を除いて同一の構成を有する。図13に、第2の実施の形態にかかる上部電極17の拡大図を示す。なお、理解を容易にするため、図13中では図2と同一の部分には同一の符号を付し、説明を省略する。
(Third embodiment)
The processing apparatus according to the third embodiment has the same configuration as the plasma processing apparatus 1 shown in FIG. FIG. 13 is an enlarged view of the upper electrode 17 according to the second embodiment. In order to facilitate understanding, the same reference numerals in FIG. 13 denote the same parts as in FIG. 2, and a description thereof will be omitted.

第3の実施の形態のプラズマ処理装置1は、処理ガスと、キャリアガスと、が互いに接することなく拡散されてガス穴22から噴出される構成を有する。すなわち、図14に示すように、上部電極17には、処理ガス供給管27aと、キャリアガス供給管27bと、が設けられ、それぞれ、流量制御装置29a、29bを介して、処理ガス供給源28aと、キャリアガス供給源28bと、にそれぞれ接続されている。ここで、処理ガス供給源28aからは、SiOF膜の成膜に従来用いられている種々のガス、例えば、SiF、SiH、O、NF、NHの混合ガスが供給され、キャリアガス供給源28bからはArガスが供給される。 The plasma processing apparatus 1 according to the third embodiment has a configuration in which the processing gas and the carrier gas are diffused without being in contact with each other and ejected from the gas hole 22. That is, as shown in FIG. 14, the upper electrode 17 is provided with a processing gas supply pipe 27a and a carrier gas supply pipe 27b, and the processing gas supply source 28a is provided via the flow rate control devices 29a and 29b, respectively. And a carrier gas supply source 28b. Here, from the processing gas supply source 28a, various gases conventionally used for forming the SiOF film, for example, a mixed gas of SiF 4 , SiH 4 , O 2 , NF 3 , and NH 3 are supplied, and the carrier Ar gas is supplied from the gas supply source 28b.

また、上部電極17内には、処理ガス供給管27aと、キャリアガス供給管27bと、をそれぞれ起点とする2つのガス流路L(実線矢印)、L(破線矢印)が形成されている。ガス流路L、Lは互いに独立に形成され、処理ガス及びキャリアガスは、ガス流路L、Lを通過することにより拡散され、電極板19の多数のガス穴22からほぼ均等にウェハWに供給される。 In the upper electrode 17, two gas flow paths L c (solid line arrows) and L d (broken line arrows) starting from the processing gas supply pipe 27 a and the carrier gas supply pipe 27 b are formed. Yes. The gas flow paths L c , L d are formed independently of each other, and the processing gas and the carrier gas are diffused by passing through the gas flow paths L c , L d, and are almost uniform from the many gas holes 22 of the electrode plate 19. To the wafer W.

上部電極17の分解図を図14に示す。上部電極17は、円筒状の電極支持体20と、電極板19と、に円盤状の拡散板21が挟まれた構成となっている。   An exploded view of the upper electrode 17 is shown in FIG. The upper electrode 17 has a configuration in which a disk-shaped diffusion plate 21 is sandwiched between a cylindrical electrode support 20 and an electrode plate 19.

電極支持体20の内部には、図14に示すように、その主面に対して水平方向に延びる2つの中空部71、72が形成されている。2つの中空部71、72は、互いに電極支持体20の主面からの距離が異なるよう配置されている。   As shown in FIG. 14, two hollow portions 71 and 72 extending in the horizontal direction with respect to the main surface are formed in the electrode support 20. The two hollow portions 71 and 72 are arranged such that the distances from the main surface of the electrode support 20 are different from each other.

2つの中空部71、72のうち、拡散板21により近い第1の中空部71には、第7及び第8の導通口73a、73bが接続されている。第7の導通口73aは、第1の中空部71から、電極支持体20の主面に垂直な方向(図中上方向)に延び、処理ガス供給管27aと連通している。また、第8の導通口73bは、第1の中空部71から第7の導通口73aとは反対の方向(図中下方向)に延び、電極支持体20の下面側の空間と連通している。これにより、処理ガス供給管27aから供給された処理ガスは、第7の導通口73aと、第1の中空部71と、第8の中空部73bと、から構成されるガス流路Lを流れる。 Of the two hollow portions 71 and 72, the seventh and eighth conduction ports 73a and 73b are connected to the first hollow portion 71 closer to the diffusion plate 21. The seventh conduction port 73a extends from the first hollow portion 71 in a direction (upward in the drawing) perpendicular to the main surface of the electrode support 20, and communicates with the processing gas supply pipe 27a. The eighth conduction port 73b extends from the first hollow portion 71 in a direction opposite to the seventh conduction port 73a (downward in the drawing) and communicates with the space on the lower surface side of the electrode support 20. Yes. Thus, the process gas supplied from the processing gas supply pipe 27a is a conduction port 73a of the seventh, and the first hollow portion 71, a hollow portion 73b of the eighth, the gas passage L c comprised Flowing.

拡散板21からより遠くに形成された第2の中空部72には、第9及び第10の導通口74a、74bが接続されている。第9の導通口74aは、第2の中空部72から、電極支持体20の主面に垂直な方向(図中上方向)に延び、キャリアガス供給管27bと連通している。また、第10の導通口74bは、第2の中空部72から第9の導通口74aとは反対の方向(図中下方向)に延び、電極支持体20の下面側の空間と連通している。これにより、キャリアガス供給管27bから供給されたキャリアガスは、第9の導通口74aと、第2の中空部72と、第10の中空部74bと、から構成されるガス流路Lを流れる。 Ninth and tenth conduction ports 74 a and 74 b are connected to the second hollow portion 72 formed farther from the diffusion plate 21. The ninth conduction port 74a extends from the second hollow portion 72 in a direction perpendicular to the main surface of the electrode support 20 (upward in the drawing) and communicates with the carrier gas supply pipe 27b. The tenth conduction port 74b extends from the second hollow portion 72 in the direction opposite to the ninth conduction port 74a (downward in the drawing) and communicates with the space on the lower surface side of the electrode support 20. Yes. Thus, the carrier gas supplied from the carrier gas supply pipe 27b is a conductive port 74a of the ninth, and the second hollow portion 72, a hollow portion 74b of the first 10, a gas flow path L d composed of Flowing.

図14に示す電極支持体20のA矢視線断面を図15に示す。図に示すように、第2の中空部72は、電極支持体20の略中心から放射状に延びる4本の第1の直線孔72a、…、72aと、隣接した2本の第1の直線孔72aの間に、同一中心から放射状に設けられた第2の直線孔72bと、から構成される。第1の直線孔72a、…、72aは、互いにほぼ直交するように設けられている。また、第1及び第2の直線孔72a、72bは、略同一平面上に形成されている。   FIG. 15 shows a cross section taken along line A of the electrode support 20 shown in FIG. As shown in the figure, the second hollow portion 72 includes four first straight holes 72a, ..., 72a extending radially from the approximate center of the electrode support 20, and two adjacent first straight holes. The second straight hole 72b provided radially from the same center between 72a. The first straight holes 72a, ..., 72a are provided so as to be substantially orthogonal to each other. The first and second straight holes 72a and 72b are formed on substantially the same plane.

各第1の直線孔72aは、第10の導通口74bと、中心からほぼ等距離の地点で接続している。また、第2の直線孔72bは、第9の導通口74aと連通している。   Each first straight hole 72a is connected to the tenth conduction port 74b at a point substantially equidistant from the center. The second straight hole 72b communicates with the ninth conduction port 74a.

キャリアガス供給源27bから供給されたキャリアガスは、第9の導通口74aを通って第2の直線孔72bへと流れる。キャリアガスは第2の直線孔72b内を中心へと向かい、中心から4本の第1の直線孔72aへと分散される。これにより、キャリアガスは水平方向に拡散される。第1の直線孔72aを通過したキャリアガスは、第10の導通口74bから拡散板21側へと流れる。   The carrier gas supplied from the carrier gas supply source 27b flows to the second straight hole 72b through the ninth conduction port 74a. The carrier gas travels toward the center in the second straight hole 72b and is dispersed from the center to the four first straight holes 72a. Thereby, the carrier gas is diffused in the horizontal direction. The carrier gas that has passed through the first straight hole 72a flows from the tenth conduction port 74b to the diffusion plate 21 side.

また、処理ガス供給管27aと接続する第7の導通口73aは、第2の中空部72と重ならない位置に形成されている。   The seventh conduction port 73 a connected to the processing gas supply pipe 27 a is formed at a position that does not overlap the second hollow portion 72.

図14に示す上部電極17のB矢視線断面を図16に示す。図16に示すように、第1の中空部71は、その略中心から放射状に延びる4本の第3の直線孔71a、…、71aと、隣接した2本の第3の直線孔71aの間に、同一中心から放射状に延びる第4の直線孔71bと、から構成される。第3の直線孔71a、…、71aは、互いにほぼ直交するように、略同一平面上に形成されている。また、第3及び第4の直線孔71a、71bは、第10の導通口74bと重ならない位置に設けられている。   FIG. 16 shows a cross section taken along line B of the upper electrode 17 shown in FIG. As shown in FIG. 16, the first hollow portion 71 is formed between the four third straight holes 71a,... 71a extending radially from the approximate center and two adjacent third straight holes 71a. And a fourth straight hole 71b extending radially from the same center. The third straight holes 71a, ..., 71a are formed on substantially the same plane so as to be substantially orthogonal to each other. The third and fourth straight holes 71a and 71b are provided at positions that do not overlap with the tenth conduction port 74b.

各第3の直線孔71aは、第8の導通口73bと、中心からほぼ等距離の地点、および、中心で接続している。また、第4の直線孔71bは、第7の導通口73aと連通している。   Each third straight hole 71a is connected to the eighth conduction port 73b at a point substantially equidistant from the center and at the center. The fourth straight hole 71b communicates with the seventh conduction port 73a.

処理ガス供給管27aから供給された処理ガスは、第7の導通口73aを通って第4の直線孔71bへと流れる。処理ガスは、第4の直線孔71b内を中心へと向かい、中心から4本の第3の直線孔71aへと分散される。このようにして、処理ガスは水平方向に拡散される。第3の直線孔71aを通過した処理ガスは、第8の導通口73bから拡散板21側へと流れる。   The processing gas supplied from the processing gas supply pipe 27a flows to the fourth straight hole 71b through the seventh conduction port 73a. The processing gas travels toward the center in the fourth straight hole 71b and is dispersed from the center to the four third straight holes 71a. In this way, the processing gas is diffused in the horizontal direction. The processing gas that has passed through the third straight hole 71a flows from the eighth conduction port 73b to the diffusion plate 21 side.

ここで、第1及び第2の中空部71、72を構成する直線孔71a、71b、72a、72bは、電極支持体20の側壁から中心に向けて所定の深さの孔を穿設し、その端部を封止部材75で封止することによって形成される。   Here, the straight holes 71a, 71b, 72a, 72b constituting the first and second hollow portions 71, 72 are formed with holes of a predetermined depth from the side wall of the electrode support 20 toward the center, It is formed by sealing its end with a sealing member 75.

例えば、直線孔は、電極支持体20の側壁に略垂直な方向からその中心方向に、ガンドリルによる穿孔を行うことによって形成される。ガンドリルによる穿孔は、例えば、電極支持体20をその中心を中心として回転させて、複数回行う。これにより、中心で互いに連通する複数の直線孔が形成される。その後、形成した直線孔の端部を、アルミニウム等の電極支持体20と同じ材料からなる封止部材75で封止することにより、中空部が形成される。ここで、第1および第2の中空部71、72は、例えば、ガンドリルと電極支持体20の相対的な位置を変えることにより、簡単に形成することができる。このように形成した直線孔に達するように、電極持支持体20の主面に垂直な方向から穴(導通口)を形成して、上記構成の電極支持体20を形成することができる。   For example, the straight hole is formed by drilling with a gun drill from the direction substantially perpendicular to the side wall of the electrode support 20 to the center thereof. Drilling with a gun drill is performed a plurality of times, for example, by rotating the electrode support 20 around its center. Thereby, a plurality of straight holes communicating with each other at the center are formed. Then, the hollow part is formed by sealing the edge part of the formed linear hole with the sealing member 75 which consists of the same material as the electrode support bodies 20, such as aluminum. Here, the 1st and 2nd hollow parts 71 and 72 can be easily formed by changing the relative position of a gun drill and the electrode support body 20, for example. The electrode support 20 having the above-described configuration can be formed by forming a hole (conduction port) from a direction perpendicular to the main surface of the electrode holding support 20 so as to reach the linear hole formed as described above.

図14に戻り、拡散板21の電極支持体20との対向面には、第4のチャネル76が形成されている。第4のチャネル76は、複数の溝から構成され、電極支持体20の下面に蓋をされた状態で中空部を形成する。第4のチャネル76は、第10の導通口74bと連通するように、かつ、第8の導通口73bとは連通しないように設けられている。また、第4のチャネル76には、拡散板21を貫通する多数の第1の貫通口77が形成され、第1の貫通口77はそれぞれガス穴22と連通するように設けられている。   Returning to FIG. 14, a fourth channel 76 is formed on the surface of the diffusion plate 21 facing the electrode support 20. The fourth channel 76 includes a plurality of grooves, and forms a hollow portion in a state where the lower surface of the electrode support 20 is covered. The fourth channel 76 is provided so as to communicate with the tenth conduction port 74b and not to communicate with the eighth conduction port 73b. The fourth channel 76 is formed with a number of first through holes 77 that penetrate the diffusion plate 21, and the first through holes 77 are provided so as to communicate with the gas holes 22, respectively.

第4のチャネル76と、第1の貫通口77と、は、キャリアガスが流れるガス流路Lを構成する。第10の導通口74bから拡散板21に供給されたキャリアガスは、第4のチャネル76によって水平方向に拡散され、第1の貫通口77を通って多数のガス穴22からほぼ均等に噴出される。 A fourth channel 76, a first through-hole 77, constitutes a gas flow path L d of the carrier gas flow. The carrier gas supplied from the tenth conduction port 74 b to the diffusion plate 21 is diffused in the horizontal direction by the fourth channel 76, and is almost uniformly ejected from the numerous gas holes 22 through the first through-holes 77. The

また、拡散板21には、第2の貫通口78が、第2の導通口73bと連通するように、拡散板21を貫通して設けられている。拡散板21の電極板19との対向面には、第5のチャネル79が設けられている。第5のチャネル79は、複数の溝から構成され、電極板19の上面に蓋をされた状態で中空部を形成する。第5のチャネル79は、第2の貫通口78と連通するように、かつ、第1の貫通口77と連通しないように設けられている。   Further, the diffusion plate 21 is provided with a second through-hole 78 penetrating the diffusion plate 21 so as to communicate with the second conduction port 73b. A fifth channel 79 is provided on the surface of the diffusion plate 21 facing the electrode plate 19. The fifth channel 79 is composed of a plurality of grooves, and forms a hollow portion in a state where the upper surface of the electrode plate 19 is covered. The fifth channel 79 is provided so as to communicate with the second through-hole 78 and not to communicate with the first through-hole 77.

第2の貫通口78と、第5のチャネル79と、は、処理ガスが流れるガス流路Lを構成する。第8の導通口73bから拡散板21に供給された処理ガスは、第2の貫通口77を通って第5のチャネル79で水平方向に拡散される。第5のチャネル79は、多数のガス穴22と連通しており、拡散された処理ガスがガス穴22からほぼ均等に供給される。 A second through hole 78, and the fifth channel 79, constitute a gas flow path L c which process gas flows. The processing gas supplied from the eighth conduction port 73 b to the diffusion plate 21 passes through the second through-hole 77 and is diffused in the horizontal direction in the fifth channel 79. The fifth channel 79 communicates with a large number of gas holes 22, and the diffused processing gas is supplied from the gas holes 22 almost evenly.

ここで、拡散板19の多数のガス穴22は、第1の貫通口77か、第5のチャネル79のいずれかに、例えば、それぞれに同数ずつ接続されている。これにより、ガス流路Lを通る処理ガスと、ガス流路Lを通るキャリアガスと、は、互いに接することなく水平方向に拡散されて、ガス穴22からそれぞれほぼ均等に噴出される。処理ガスとキャリアガスとは、チャンバ2の内部で初めて混合され、ウェハW上に供給されてプラズマとされる。 Here, the same number of gas holes 22 of the diffusion plate 19 are connected to either the first through-hole 77 or the fifth channel 79, for example. As a result, the processing gas passing through the gas flow path L c and the carrier gas passing through the gas flow path L d are diffused in the horizontal direction without being in contact with each other, and are almost uniformly ejected from the gas holes 22. The processing gas and the carrier gas are mixed for the first time inside the chamber 2 and supplied onto the wafer W to become plasma.

上記第3の実施の形態の上部電極17は、以下のような利点を有する。すなわち、処理に用いるガスを拡散させるための拡散領域(第1および第2の中空部71、72)をガンドリル等を用いて電極支持体20に穿孔することにより形成している。このように、拡散領域を電極支持体20の内部に形成することにより、部品点数を増やすこと無く、また、装置構造を複雑化することなく、ガス穴22からのガス供給を均等なものとして、均一性の高い処理を行うことができる。   The upper electrode 17 of the third embodiment has the following advantages. That is, the diffusion region (the first and second hollow portions 71 and 72) for diffusing the gas used for the treatment is formed by punching the electrode support 20 using a gun drill or the like. Thus, by forming the diffusion region inside the electrode support 20, the gas supply from the gas holes 22 can be made uniform without increasing the number of parts and without complicating the device structure. Highly uniform processing can be performed.

また、部品点数が増加しないことから、上部電極17内に存在する界面(例えば、電極支持体20と拡散板21との界面)も増加しない。従って、界面の増加に伴う表皮効果の増大による、高周波電力の損失も防がれる。   Further, since the number of parts does not increase, the interface existing in the upper electrode 17 (for example, the interface between the electrode support 20 and the diffusion plate 21) does not increase. Therefore, loss of high-frequency power due to an increase in the skin effect accompanying an increase in the interface can be prevented.

上記第3の実施の形態では、上部電極17の内部には、互いに連通しない独立したガス流路L、Lを2本形成するものとした。しかし、必要であれば、上部電極17内のガス流路を3本以上としてもよい。この場合、例えば、電極支持体20内部の中空部の数を、電極支持体20の一面からの距離をそれぞれ変え、3層以上の中空部形成すればよい。 In the third embodiment, two independent gas flow paths L c and L d that do not communicate with each other are formed inside the upper electrode 17. However, if necessary, the number of gas flow paths in the upper electrode 17 may be three or more. In this case, for example, the number of hollow portions in the electrode support 20 may be changed by changing the distance from one surface of the electrode support 20 to form three or more layers of hollow portions.

また、上記第3の実施の形態では、ガス流路Lに処理ガスを流し、ガス流路Lにキャリアガスを流すものとした。しかし、ガス流路L、Lに、チャンバ外での混合が望ましくないガス、例えば、可燃性ガスと支燃性ガスをそれぞれ流すようにしてもよい。 In the third embodiment, flowing a process gas into the gas flow path L c, was assumed to flow carrier gas into the gas flow path L d. However, a gas that is not desirably mixed outside the chamber, for example, a combustible gas and a combustion-supporting gas, may be caused to flow through the gas flow paths L c and L d .

上記第3の実施の形態では、電極支持体20の第1および第2の中空部71、72は、4本の直線孔からそれぞれ構成されるものとした。しかし、直線孔の本数は、これに限らず、ガスをよりより拡散させるため5本以上としてもよい。また、上部電極17に直線孔を形成する方法は、ガンドリルによる穿孔に限らず、レーザ等により穿孔してもよい。さらにまた、直線孔は、電極支持体20の側壁から中心までの深さで形成するものとしたが、電極支持体20を貫通するように形成しても良い。   In the said 3rd Embodiment, the 1st and 2nd hollow parts 71 and 72 of the electrode support body 20 shall each be comprised from four linear holes. However, the number of straight holes is not limited to this, and may be 5 or more in order to further diffuse the gas. Further, the method of forming the straight hole in the upper electrode 17 is not limited to the drilling by a gun drill, and the drilling may be performed by a laser or the like. Furthermore, although the straight hole is formed at a depth from the side wall to the center of the electrode support 20, it may be formed so as to penetrate the electrode support 20.

上記第1〜3の実施の形態では、上部電極17はアルミニウムから構成されているものとした。しかし、上部電極17を構成する材料はこれに限らず、SUS等、処理に悪影響を与えるもの以外の導電性材料を用いることができる。   In the first to third embodiments, the upper electrode 17 is made of aluminum. However, the material constituting the upper electrode 17 is not limited to this, and conductive materials other than those that adversely affect the processing, such as SUS, can be used.

上記第1〜3の実施の形態では、SiF、SiH、O、NF、NHガスおよびAr、窒素等の不活性ガスを用いてウェハにSiOF膜を成膜するものとしたが、使用するガスはこれに限られない。また、成膜される膜に関しても、SiO膜、SiC膜、SiN膜、SiOC膜、CF膜等、いかなる膜であってもよい。 In the first to third embodiments, the SiOF film is formed on the wafer using an inert gas such as SiF 4 , SiH 4 , O 2 , NF 3 , NH 3 gas, Ar, and nitrogen. The gas used is not limited to this. Further, the film to be formed may be any film such as a SiO 2 film, a SiC film, a SiN film, a SiOC film, and a CF film.

また、本発明は、被処理体の主面に対向する側からシャワーヘッド型の板状部材を介して処理ガスを供給して被処理体を処理するものであれば、プラズマCVD処理に限らず、熱CVD処理を行う装置等にも適用することができ、さらには、他の成膜処理、エッチング処理、熱処理等の種々の処理を行う装置に適用することができる。また、被処理体は、半導体ウェハに限らず、液晶表示装置用のガラス基板等であってもよい。   In addition, the present invention is not limited to the plasma CVD process as long as the processing object is processed by supplying the processing gas from the side facing the main surface of the processing object through the shower head type plate-like member. The present invention can be applied to an apparatus for performing a thermal CVD process, and can be applied to an apparatus for performing various processes such as another film forming process, an etching process, and a heat treatment. Further, the object to be processed is not limited to a semiconductor wafer but may be a glass substrate for a liquid crystal display device.

(産業上の利用可能性)
本発明は、半導体ウェハ等の被処理体に成膜処理、エッチング処理等を施す処理装置に好適に適用することができる。
本発明は、2001年1月22日に出願された特願2001−13570号および2001年1月23日に出願された特願2001−14011号に基づき、その明細書、特許請求の範囲、図面および要約書を含む。上記出願における開示は、本明細書中にその全体が引例として含まれる。
(Industrial applicability)
The present invention can be suitably applied to a processing apparatus that performs a film forming process, an etching process, or the like on an object to be processed such as a semiconductor wafer.
The present invention is based on Japanese Patent Application No. 2001-13570 filed on January 22, 2001 and Japanese Patent Application No. 2001-14011 filed on January 23, 2001, the description, claims, and drawings thereof. And a summary. The disclosures in the above applications are incorporated herein by reference in their entirety.

図1は、第1の実施の形態に係るプラズマ処理装置の構成を示す図である。FIG. 1 is a diagram showing the configuration of the plasma processing apparatus according to the first embodiment. 図2は、図1に示す上部電極の拡大図を示す。FIG. 2 shows an enlarged view of the upper electrode shown in FIG. 図3は、図2に示す上部電極のA矢視図を示す。FIG. 3 shows a view of the upper electrode shown in FIG. 図4は、図2に示す上部電極のB矢視図を示す。FIG. 4 is a B arrow view of the upper electrode shown in FIG. 図5は、第2の実施の形態に係る上部電極の分解図を示す。FIG. 5 shows an exploded view of the upper electrode according to the second embodiment. 図6は、図5に示す上部電極のC矢視図を示す。6 shows a C arrow view of the upper electrode shown in FIG. 図7は、図5に示す上部電極のD矢視図を示す。FIG. 7 shows a view of the upper electrode shown in FIG. 図8は、図5に示す上部電極のE矢視図を示す。FIG. 8 shows an E arrow view of the upper electrode shown in FIG. 図9は、処理ガスの供給量比と、成膜速度の均一性を調べた結果を示すグラフである。FIG. 9 is a graph showing the results of examining the supply ratio of the processing gas and the uniformity of the film formation rate. 図10は、処理ガスの供給量比と、面積比と、を互いに変化させたときの成膜速度の均一性を調べた結果を示すグラフである。FIG. 10 is a graph showing the results of examining the uniformity of the deposition rate when the supply ratio of the processing gas and the area ratio are changed from each other. 図11は、種々の成膜種についての成膜条件の例を示す。FIG. 11 shows examples of film forming conditions for various film forming types. 図12は、代替可能なガスを示す。FIG. 12 shows an alternative gas. 図13は、第3の実施の形態に係る上部電極の拡大図を示す。FIG. 13 shows an enlarged view of the upper electrode according to the third embodiment. 図14は、図13に示す上部電極の分解図を示す。FIG. 14 shows an exploded view of the upper electrode shown in FIG. 図15は、図13に示す上部電極のA矢視線断面図を示す。15 shows a cross-sectional view of the upper electrode shown in FIG. 図16は、図13に示す上部電極のB矢視線断面図を示す。16 shows a cross-sectional view of the upper electrode shown in FIG.

Claims (1)

チャンバ(2)と、
複数のガス穴(22)を有し、前記ガス穴(22)を介して前記チャンバ(2)内に処理用のガスを供給する供給板(19)と、
前記ガスを、前記供給板(19)の主面に略水平な方向に拡散させる第1の拡散部と、
前記第1の拡散部が拡散した前記ガスを前記ガス穴(22)に導く第2の拡散部と、
を備え、
前記第2の拡散部は、その一面に形成され、前記供給板(19)に積層されて前記一面との間に中空部を形成する溝(32、57)と、前記溝(32、57)内に設けられ他面側に通じる貫通孔(31a、54)と、を備える円盤状部材(21、41)から構成され、前記第1の拡散部からの前記ガスは、前記貫通孔(31a、54)を介して前記中空部に供給され、
さらに、第2の拡散部を構成する前記溝(57)内に設けられ、前記中空部を複数の領域に分ける仕切り部材(56)を備え、前記第1の拡散部は、前記ガスを前記複数の領域に分散して供給する、ことを特徴とする処理装置(1)。
Chamber (2);
A supply plate (19) having a plurality of gas holes (22) and supplying a processing gas into the chamber (2) through the gas holes (22);
A first diffusion section for diffusing the gas in a substantially horizontal direction on the main surface of the supply plate (19);
A second diffusion part for guiding the gas diffused by the first diffusion part to the gas hole (22);
With
The second diffusion part is formed on one surface thereof, and is laminated on the supply plate (19) to form a hollow portion between the one surface and the groove (32, 57), and the groove (32, 57). And a through hole (31a, 54) provided in the inner surface and having a through hole (31a, 54) provided therein, and the gas from the first diffusion portion passes through the through hole (31a, 54) through the hollow part,
And a partition member (56) provided in the groove (57) constituting the second diffusion part and dividing the hollow part into a plurality of regions, wherein the first diffusion part transfers the gas to the plurality of regions. A processing apparatus (1), characterized by being distributed and supplied to the area.
JP2006356433A 2001-01-22 2006-12-28 Processing equipment Expired - Fee Related JP4454621B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006356433A JP4454621B2 (en) 2001-01-22 2006-12-28 Processing equipment

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2001013570 2001-01-22
JP2001014011 2001-01-23
JP2006356433A JP4454621B2 (en) 2001-01-22 2006-12-28 Processing equipment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002558318A Division JP3946641B2 (en) 2001-01-22 2002-01-22 Processing equipment

Publications (2)

Publication Number Publication Date
JP2007173848A true JP2007173848A (en) 2007-07-05
JP4454621B2 JP4454621B2 (en) 2010-04-21

Family

ID=38299894

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006356433A Expired - Fee Related JP4454621B2 (en) 2001-01-22 2006-12-28 Processing equipment

Country Status (1)

Country Link
JP (1) JP4454621B2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009120881A (en) * 2007-11-13 2009-06-04 Tsukishima Kikai Co Ltd Plasma cvd system and method for forming plastic surface protective film
WO2009087887A1 (en) * 2008-01-11 2009-07-16 Sharp Kabushiki Kaisha Plasma processing apparatus
WO2010079766A1 (en) * 2009-01-09 2010-07-15 株式会社アルバック Plasma processing apparatus
JP2011507133A (en) * 2007-12-06 2011-03-03 インテバック・インコーポレイテッド System and method for double-sided sputter etching of substrates
JP2015220293A (en) * 2014-05-15 2015-12-07 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
WO2018047440A1 (en) * 2016-09-12 2018-03-15 株式会社東芝 Flow channel structure and processing apparatus
KR101866215B1 (en) * 2016-12-29 2018-07-19 인베니아 주식회사 The plasma process apparatus having showerhead
KR20180125481A (en) * 2016-03-30 2018-11-23 도쿄엘렉트론가부시키가이샤 Plasma electrode and plasma processing device
JP2020161596A (en) * 2019-03-26 2020-10-01 東京エレクトロン株式会社 Substrate etching device and etching method

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI723024B (en) 2015-06-26 2021-04-01 美商應用材料股份有限公司 Recursive inject apparatus for improved distribution of gas

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009120881A (en) * 2007-11-13 2009-06-04 Tsukishima Kikai Co Ltd Plasma cvd system and method for forming plastic surface protective film
US9165587B2 (en) 2007-12-06 2015-10-20 Intevac, Inc. System and method for dual-sided sputter etch of substrates
US8784622B2 (en) 2007-12-06 2014-07-22 Intevac, Inc. System and method for dual-sided sputter etch of substrates
JP2013225370A (en) * 2007-12-06 2013-10-31 Intevac Inc System and method for dual-sided sputter etch of substrates
JP2011507133A (en) * 2007-12-06 2011-03-03 インテバック・インコーポレイテッド System and method for double-sided sputter etching of substrates
KR101224669B1 (en) * 2008-01-11 2013-01-21 샤프 가부시키가이샤 Plasma processing apparatus
JP2009167445A (en) * 2008-01-11 2009-07-30 Sharp Corp Plasma processing apparatus
WO2009087887A1 (en) * 2008-01-11 2009-07-16 Sharp Kabushiki Kaisha Plasma processing apparatus
WO2010079766A1 (en) * 2009-01-09 2010-07-15 株式会社アルバック Plasma processing apparatus
JP5378416B2 (en) * 2009-01-09 2013-12-25 株式会社アルバック Plasma processing equipment
KR101349266B1 (en) * 2009-01-09 2014-01-10 가부시키가이샤 아루박 Plasma processing apparatus and method of forming micro crystal silicon layer
JP2015220293A (en) * 2014-05-15 2015-12-07 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JPWO2017169556A1 (en) * 2016-03-30 2019-02-28 東京エレクトロン株式会社 Plasma electrode and plasma processing apparatus
KR20180125481A (en) * 2016-03-30 2018-11-23 도쿄엘렉트론가부시키가이샤 Plasma electrode and plasma processing device
US10600621B2 (en) 2016-03-30 2020-03-24 Tokyo Electron Limited Plasma electrode and plasma processing device
KR102222183B1 (en) * 2016-03-30 2021-03-02 도쿄엘렉트론가부시키가이샤 Plasma electrode and plasma treatment device
WO2018047440A1 (en) * 2016-09-12 2018-03-15 株式会社東芝 Flow channel structure and processing apparatus
US10774420B2 (en) 2016-09-12 2020-09-15 Kabushiki Kaisha Toshiba Flow passage structure and processing apparatus
KR101866215B1 (en) * 2016-12-29 2018-07-19 인베니아 주식회사 The plasma process apparatus having showerhead
JP2020161596A (en) * 2019-03-26 2020-10-01 東京エレクトロン株式会社 Substrate etching device and etching method
JP7229061B2 (en) 2019-03-26 2023-02-27 東京エレクトロン株式会社 Substrate etching apparatus and etching method

Also Published As

Publication number Publication date
JP4454621B2 (en) 2010-04-21

Similar Documents

Publication Publication Date Title
JP3946641B2 (en) Processing equipment
JP4454621B2 (en) Processing equipment
US9595425B2 (en) Antenna, dielectric window, plasma processing apparatus and plasma processing method
TWI768849B (en) Single wafer processing environments with spatial separation
WO2013047531A1 (en) Plasma etching method and method for manufacturing semiconductor device
KR100574116B1 (en) Single-substrate-treating apparatus for semiconductor processing system
US20030119328A1 (en) Plasma processing apparatus, and cleaning method therefor
KR20080014059A (en) Process chamber for dielectric gapfill
KR20010113558A (en) Ceramic substrate support
US20100037822A1 (en) Vacuum processing apparatus
TWI803753B (en) Thermal process chamber lid with backside pumping
JP2018107182A (en) Substrate processing apparatus and substrate processing method, and substrate processing system
US20050194097A1 (en) Plasma processing apparatus and method of designing the same
KR20190092154A (en) Sealing device and Gas flow control device of semiconductor equipment
TWI827877B (en) Cvd device, pumping liner, and cvd method
KR102210390B1 (en) Integration of dual remote plasmas sources for flowable cvd
US20180258531A1 (en) Diffuser design for flowable cvd
TWI838240B (en) Thermal process chamber lid with backside pumping
JP2004006654A (en) Processing apparatus and processing method
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
TWI838222B (en) Single wafer processing environments with spatial separation
JP2005256137A (en) Chemical vapor deposition system
JPH03122285A (en) Plasma cvd device
KR20160110273A (en) Pe-cvd apparatus and method

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091104

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091228

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100126

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100202

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130212

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4454621

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130212

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160212

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees