KR101221582B1 - 플라즈마-강화 화학 기상 증착〔pecvd〕에 의한 낮은 응력을 갖는 낮은-k 유전체를 생성하기 위한 저온 공정 - Google Patents

플라즈마-강화 화학 기상 증착〔pecvd〕에 의한 낮은 응력을 갖는 낮은-k 유전체를 생성하기 위한 저온 공정 Download PDF

Info

Publication number
KR101221582B1
KR101221582B1 KR1020077006747A KR20077006747A KR101221582B1 KR 101221582 B1 KR101221582 B1 KR 101221582B1 KR 1020077006747 A KR1020077006747 A KR 1020077006747A KR 20077006747 A KR20077006747 A KR 20077006747A KR 101221582 B1 KR101221582 B1 KR 101221582B1
Authority
KR
South Korea
Prior art keywords
silicon oxide
carbon
doped silicon
oxide film
layer
Prior art date
Application number
KR1020077006747A
Other languages
English (en)
Other versions
KR20070057857A (ko
Inventor
강 섭 임
리후아 리 후앙
프란시말 슈미트
리-콴 시아
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070057857A publication Critical patent/KR20070057857A/ko
Application granted granted Critical
Publication of KR101221582B1 publication Critical patent/KR101221582B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

낮은 기계적 응력을 나타내는 낮은 K 유전체 막들은 본 발명에 따른 다양한 기술들을 활용하여 형성될 수 있다. 일 실시예에서, 탄소-함유 실리콘 산화물 막들은 플라즈마-보조 화학 기상 증착(PACVD)에 의해 낮은 온도(300℃ 이하)에서 형성된다. 다른 실시예에 따라서, 초기 증착 상태의 탄소 함유 실리콘 산화물 막들은 포로겐(porogen) ― 이것의 후속 유리(liberation)는 막 응력을 감소시킴 ― 을 포함한다.

Description

플라즈마-강화 화학 기상 증착〔PECVD〕에 의한 낮은 응력을 갖는 낮은-K 유전체를 생성하기 위한 저온 공정{LOW TEMPERATURE PROCESS TO PRODUCE LOW-K DIELECTRICS WITH LOW STRESS BY PLASMA-ENHANCED CHEMICAL VAPOR DEPOSITION(PECVD)}
본 정규(nonprovisional) 특허 출원은 2004년 8월 24일에 출원된 미국 가특허출원 제 60/604,316호에 우선권을 주장하며, 상기 가특허출원은 모든 목적들에 대하여 본원에 참조에 의해 통합된다.
현대 반도체 소자들의 제조에 있어서 주요한 단계들 중 하나는 기체들의 화학 반응에 의해 기판상에 금속 및 유전체 막들을 형성하는 것이다. 이러한 증착 공정들은 화학 기상 증착 또는 CVD로 지칭된다. 종래의 열 CVD 공정들은 원하는 막을 생산하기 위하여 열-유도 화학 반응들이 발생하는 기판 표면에 반응성 기체들을 공급한다. 일부 열적 CVD 공정들이 동작하는 높은 온도는 기판상에 이미 형성된 층들을 갖는 소자 구조들을 손상시킬 수 있다. 상대적으로 낮은 온도에서 금속과 유전체 막을 증착하는 바람직한 방법은, 본 명세서에 참조에 의해 통합되는 "실리콘 산화물을 증착하기 위해 TEOS를 사용하는 플라즈마-강화 CVD 공정(Plasma-Enhanced CVD Process Using TEOS for Depositing Silicon Oxide)"이라는 제목의 미국 특허 제 5,362,526호에서 개시한 것과 같은, 플라즈마-강화(Plasma-enhanced) CVD (PECVD) 기술들이다. 플라즈마-강화 CVD 기술들은 기판 표면 근처의 반응 영역에 무선 주파수(RF) 에너지를 인가함으로써 반응 기체들의 해리(disassociation) 및/또는 여기(excitation)를 촉진하며, 이에 의해 높은 반응성을 갖는 종(species)의 플라즈마를 생성한다. 방출된 종의 높은 반응성은 화학반응이 발생하는데 요구되는 에너지를 감소시키고, 따라서 상기의 PECVD 공정에 요구되는 온도를 낮춘다.
반도체 소자 기하학적 구조들은 수십 년 전에 이러한 소자들이 처음으로 도입된 이래로 크기가 극적으로 감소되어 왔다. 그 후로, 집적 회로들은 일반적으로 칩 상에 들어맞는 소자들의 개수가 2년마다 2배가 된다는 2년/½크기 법칙(종종 무어의 법칙으로 불린다)에 따라왔다. 오늘날 제작 설비들은 일상적으로 0.35㎛의, 심지어 0.25㎛ 피처 크기를 갖는 소자들을 생산하며, 미래의 설비들은 곧 더욱 작은 기하학적 구조를 갖는 소자들을 생산하게 될 것이다.
집적 회로들 상의 소자들의 크기를 더욱 줄이기 위하여, 낮은 저항력을 갖는 전도성 물질들을 사용하고, 낮은 유전 상수(유전 상수<2.5)를 갖는 절연체를 사용하여 인접한 금속 라인들 간에 용량성 결합을 또한 감소시키는 것은 필수적이 되었다. 1999년 8월 17일에 공개된 국제 공개 번호 WO 99/41423호에서 개시된 것과 같이, 전도성 물질위로 습기와 같은 부산물의 확산을 방지하기 위해, 라이너(liner)/배리어(barrier) 층은 전도성 물질들과 절연체의 사이에 사용되어왔다. 예를 들어 낮은 K의 절연체를 형성하는 동안에 생성될 수 있는 습기는 쉽게 전도성 금속의 표면으로 확산되고, 전도성 금속 표면의 저항을 증가시킨다. 유기실리콘(organosilicon) 또는 유기실란 질화물(organosilane nitride) 재료들로부터 형성된 배리어/라이너 층은 부산물의 확산을 막을 수 있다. 그러나 배리어/라이너 층은 전형적으로 약 2.5보다 큰 유전상수를 가지며, 높은 유전상수는 유전상수를 현저하게 감소시킬 수 없는 결합된 절연체를 야기한다.
국제 공개 번호 WO 99/41423에서 개시된 것과 같이, 도 1a-1e는 산화 유기실란 또는 유기실록산 중합체로 이루어진 PECVD 라이닝 층(2)을 증착하기 위한 3-층(three-layer) 증착 PECVD 공정을 도시한다. 라이닝 층(2)은 다음 층(7) 및 아래에 있는 기판 표면(6)과 기판 표면상에 형성된 금속 라인들(8, 9, 10)의 사이에서 절연(isolation) 층으로 작용한다. 층(7)은 산화 유기실란 또는 유기실록산 중합체로 이루어진 PECVD 캡핑 층(12)에 의해 캡핑된다(capped). PECVD 공정은 다수-성분(multi-component) 유전체 층을 증착하며, 여기서 탄소 함유 이산화 실리콘(carbon containing silicon dioxide(SiO2))이 기판(6)상에 형성된 금속 라인들(8, 9, 10)을 갖는 패턴화된 금속 층 상에 처음으로 증착된다.
도 1a를 참조하면, PECVD 라이닝 층(2)은 아르곤과 같은 비활성 기체가 존재하는 가운데 약 50-350℃ 사이의 온도에서 메틸실란, CH3SiH3와 같은 유기실란 또는 유기실록산 화합물 및 N2O와 같은 산화 기체의 플라즈마 강화 반응에 의해 증착된다. 그 다음에 산화 유기실란 또는 유기실록산 층은 경화(cured)된다. (1분당 약 2000Å으로) 증착된 PECVD 라이닝 층(2)은 도 1b에서 도시된 층(7)의 다음 증착을 위한 향상된 배리어 특성들을 갖는다. 메틸실란으로부터 얻어진 라이닝 층은 소수성(hydrophobic)이 되기에 충분한 C-H 결합을 가지며, 훌륭한 습기 배리어다. 층(7)의 증착 동안의 200℃ 미만의 온도, 약 0.2 내지 약 5 Torr까지의 압력에서의 실란 화합물과 과산화수소(H2O2)의 반응에 의해, 낮은 K 유전체 층(7)은 그 후 라이너 층(2) 위에 증착된다. 도 1d에서 도시된 것과 같이 캡핑 층(cap layer(12))의 증착 전에, 물과 같은 용매의 제거를 위하여 도 1c에서 도시된 것과 같이 층(7)은 부분적으로 경화될 수 있다. 경화(curing)는 10 Torr 미만의 비활성 기체 대기하에서 반응을 펌프 다운(pump down)함으로써 수행된다.
실리콘 질화물(SiN)과 같은 전통적인 라이너 층들은 실리콘 산화물(silicon oxides)들보다 높은 유전상수들을 가지며, 낮은 K 유전체 층들과 높은 K 유전체 층들의 결합은 전체적인 스택(stack) 유전상수 및 용량성 결합의 면에서의 개선을 거의 제공하지 못하거나 개선을 제공하지 못한다. 도 1d를 참조하면, 층(7)의 증착 후에, 선택적인 캡핑층(capping layer, 12)은 유기실란 또는 유기실록산 화합물 과 N2O와 같은 산화 기체의 플라즈마 강화 반응에 의해 낮은 K 유전체 층(7) 위에 증착될 수 있다. 도 1e를 참조하면, 캡핑 층의 증착 후에, 만약 존재한다면, 증착된 층은 잔존하는 용매 또는 물을 밖으로 내보내기 위해(drive off), 노(furnace) 또는 다른 챔버에서 경화된다. 또한 캡핑 층(12)은 좋은 배리어 특성들과 약 4.0의 유전상수를 갖는 산화 유기실란 또는 유기실록산 막이다. 라이너 층(2)과 캡핑 층(12)은 모두 3.0 초과의 유전상수를 가지며, 높은 유전상수 층들은 실질적으로 낮은 K 유전체 층(7)의 이득을 감소시킨다.
소자들이 점점 작아지면서, 비교적 높은 유전상수를 갖는 라이너 층들과 캡핑 층들은 다수-성분의 유전체 층의 전체적인 유전상수에 더 많이 기여한다. 추가적으로, 더 작아진 소자의 구조들은 소자들 사이에 기생 커패시턴스(parasitic capacitance)의 증가를 야기한다. 회로 내의 동일한 또는 인접한 층들위의 금속 상호연결(interconnect)들 사이의 기생 커패시턴스는 금속 라인들 또는 상호연결들 사이의 누화(crosstalk) 및/또는 저항-커패시턴스(RC) 지연을 야기할 수 있으며, 이에 의해 지연은 소자의 응답 시간을 줄이고 소자의 전체적인 성능을 감소시킨다. 회로 내의 동일한 또는 인접한 층들 위의 금속 상호연결 사이의 기생 커패시턴스의 효과는, 특별히 4에서 5 레벨의 상호연결(interconnection)을 이용할 수 있는 최신식의 회로들과 관련하지만, 다음 세대의 소자들은 6, 7 또는 아마 8 레벨의 상호연결을 요구할 수 있다.
유전체 물질에 의해 분리된 금속 상호연결들 사이의 기생 커패시턴스를 낮추는 것은 유전체 물질의 두께를 증가시키거나 유전체 물질의 유전상수를 감소시키는 것에 의해 달성될 수 있다. 그러나 유전체 물질들의 두께를 증가시키는 것은 동일한 금속배선 층 또는 평면 내의 기생 커패시턴스를 다루지(address) 않는다. 결과적으로, 동일한 또는 인접한 층들 상의 금속 상호연결들 사이의 기생 커패시턴스를 감소시키기 위해서는, 금속 라인들 또는 상호연결들 사이에 사용되는 물질을 현재 사용되는 물질들의 유전상수(예를 들면, K≒3.0)보다 낮은 유전상수를 갖는 물질로 변경해야만 한다.
그러므로 좋은 접착(adhesion) 특성들을 갖고 약 2.5 미만의 유전상수를 갖는 유전체 층들에 대한 필요가 여전히 남아있다.
약 20㎫보다 작은 낮은 인장 응력(tensile stress)을 나타내는 낮은 K 유전체 막들은 본 발명에 따른 다양한 기술들을 활용하여 형성될 수 있다. 일 실시예에서, 탄소-함유 실리콘 산화물 막(carbon-containing silicon oxide film)들은 플라즈마-보조(plasma-assisted) 화학 기상 증착(PACVD)에 의해 낮은 온도(300℃ 이하)에서 형성된다. 다른 실시예에 따라서, 초기 증착 상태의(as-deposited) 탄소 함유 실리콘 산화물 막은 포로겐(porogen)―이것의 후속 유리(liberation)는 막 응력(stress)을 감소시킴―을 통합한다.
탄소 도핑된(carbon-doped) 실리콘 산화물 막을 증착하는 본 발명에 따른 방법의 일 실시예는, 약 20㎫ 이하의 응력을 갖는 탄소 도핑된 실리콘 산화물 층을 증착하기 위해, 플라즈마가 존재하는 가운데 실리콘 함유 전구체를 탄소 함유 전구체와 혼합하는 것을 포함한다.
본 발명에 따른 유전체 막의 일 실시예는 20㎫ 이하의 응력을 나타내는 탄소 도핑된 실리콘 산화물 막을 포함한다.
본 발명에 따른 상호연결 금속배선 구조물(interconnect metallization structure)의 일 실시예는 제1 금속배선 층(metallization layer) 및 제1 금속배선 층 위에 놓인 탄소 도핑된 실리콘 산화물 층―탄소 도핑된 실리콘 산화물 층은 약 20㎫ 이하의 응력을 나타냄―을 포함한다. 제2 금속배선 층은 탄소 도핑된 실리콘 산화물 층 위에 놓인다.
본 발명에 따른 실시예들의 보다 깊은 이해는 첨부한 도면들과 함께 다음의 상세한 설명을 참조하는 방법에 의해 이루어질 수 있다.
도 1a-1e는 본 기술분야에 알려져 있는 공정들에 의해 기판상에 증착된 유전체 층들의 개략도들이다.
도 2는 본 발명에 따른 사용을 위해 구성된 예시적인 CVD 반응기(reactor)의 단면도이다.
도 3은 도 2의 반응기에 들어가기 전에 공정 기체들의 해리(dissociation)를 위한 원격(remote) 마이크로웨이브 챔버의 개략도이다.
도 4는 도 2의 예시적인 CVD 반응기와 함께 사용되는 공정 제어 컴퓨터 프로그램 물건의 흐름도이다.
도 5는 본 발명의 일 실시예에 따른 증착 공정에서 라이너 및 캡핑 층을 증착하는데 수행되는 단계들을 도시하는 흐름도이다.
도 6a-6e는 도 5의 공정에 의해 기판상에 증착된 층들의 개략도이다.
도 7은 본 발명의 실리콘 산화물 층들을 포함하는 이중 다마신 구조를 도시하는 단면도이다.
도 8a-8h는 본 발명의 이중 다마신 증착 순서의 일 실시예를 도시하는 단면도들이다.
도 9는 2개의 다른 포로겐 타입들 중 하나를 이용하여 증착된 막들에 대한 막 응력 대 유전상수(K)를 그래프로 나타낸다.
미국 특허 제 6,541,367호와 제 6,596,627호는 모든 목적들에 대해 본원에 참조로써 통합된다. 이 특허들은 낮은 유전상수를 갖는 나노-기공(nano-porous) 실리콘 산화물 층을 증착하는 것을 기술한다. 실리콘 산화물 층 안에 균일하게 분산된 미세한 기체 포켓(pocket)을 형성하기 위해, 열적으로 불안정한 유기 작용기(thermally labile organic groups)들을 선택적으로 포함하는 실리콘/산소 함유 물질의 플라즈마-강화(PECVD) 또는 마이크로웨이브 강화(microwave enhanced) 화학 기상 증착, 및 증착된 실리콘/산소 함유 물질의 제어된 어닐링(annealing)에 의해, 나노-기공 실리콘 산화물 층이 생산된다. 실리콘 산화물 층에 대한 미세한 기체 포켓의 상대적인 부피는, 어닐링 후에 낮은 유전상수를 제공하는 폐쇄 셀 폼(closed cell foam) 구조를 바람직하게 유지하도록 제어된다. 나노-기공 실리콘 산화물 층들은 약 3.0보다 작은, 바람직하게는 약 2.5보다 작은 유전상수를 가질 것이다.
실리콘/산소 물질은, 열적으로 불안정한 작용기들을 갖는 불포화 비-실리콘 함유 성분 및 산화성 실리콘 성분을 포함하는 혼합물 또는 산화되기 쉬운 실리콘 함유 화합물을 산화 기체와 함께 반응시킴으로써 화학 기상 증착된다. 산화 기체들은 아산화질소(N2O), 오존(O3), 및 이산화탄소(CO2)와 같은 산소 함유 화합물 또는 산소(O2)―바람직하게는 N2O 또는 O2―를 포함하나, 이것에만 한정되는 것은 아니다.
산소 및 산소 함유 화합물들은 증착된 막에서 원하는 탄소 함량을 얻을 필요가 있을 때 반응성을 증가시키기 위해 해리되는 것이 바람직하다. RF 전력은 산화 화합물들의 해리를 증가시키기 위해 증착 챔버와 결합될 수 있다. 또한 산화 화합물들은 실리콘 함유 화합물들의 과도한 해리를 감소시키기 위해 증착 챔버에 들어가기 전에 마이크로웨이브 챔버 내에서 해리될 수 있다. 실리콘 산화물 층의 증착은 연속적이거나 불연속적일 수 있다. 비록 증착이 하나의 증착 챔버에서 발생하는 것이 바람직할지라도, 층은 두 개 또는 그 초과의 증착 챔버들에서 연속적으로 증착될 수 있다. 게다가 RF 전력은 기판의 열을 감소시키고 증착된 막의 더 많은 다공성(porosity)을 증진시키기 위해서 순환적(cycled)이거나 펄스적(pulsed)일 수 있다.
산화되기 쉬운 실리콘 함유 화합물 또는 혼합물의 산화되기 쉬운 실리콘 성분은 유기실란 또는 유기실록산 화합물을 포함하며, 이는 일반적으로 다음과 같은 구조를 포함한다:
Figure 112007023238672-pct00001
여기서 각각의 Si는 적어도 하나의 수소 원자와 결합되고 하나 또는 두 개의 탄소 원자와 결합될 수 있으며, C는 유기 작용기(group)―바람직하게는 예를 들면 -CH3, -CH2-CH3, -CH2-, 또는 -CH2-CH2- 와 같은 알킬(alkyl) 또는 알케닐(alkenyl) 기―, 또는 이들의 플루오르화 탄소 유도체(derivative)에 포함된다. 유기실란 또는 유기실록산 화합물이 두 개 또는 그 초과의 Si 원자들을 포함할 때, 각각의 Si는 -O-, -C-, 또는 -C-C-에 의해 다른 Si와 분리되며, 여기서 각각의 브리징(bridging) C는 유기 작용기, 바람직하게는 -CH2-, -CH2-CH2-, -CH(CH3)-, -C(CH3)2-와 같은 알킬 또는 알케닐 기, 또는 이들의 플루오르화 탄소 유도체에 포함된다. 바람직한 유기실란 및 유기실록산 화합물들은 상온 근처에서 기체들 또는 액체들이며 약 10 Torr 초과에서 휘발될 수 있다. 적절한 실리콘 함유 화합물들은 다음을 포함한다:
메틸실란(methylsilane), CH3-SiH3
디메틸실란(dimethylsilane), (CH3)2-SiH2
디실라노메탄(disilanomethane), SiH3-CH2-SiH3
비스(메틸실라노)메탄(bis(methysilano)methane), CH3-SiH2-CH2-SiH2-CH3
2,4,6-트리실라옥산(2,4,6-trisilaoxane),
-(-SiH2-CH2-SiH2-CH2-SiH2-O)- (순환기(cyclic))
씨클로-1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌
(cyclo-1,3,5,7-tetrasilano-2,6-dioxy-4,8-dimethylene),
-(-SiH2-CH2-SiH2-O-)2- (순환기)
1,3,5-트리실라씨클로헥산(1,3,5-trisilacyclohexane),
-(-SiH2-CH2-)3- (순환기)
1,3-디메틸디실록산(1,3-dimethyldisiloxane), CH3-SiH2-O-SiH2-CH3
1,1,3,3-테트라메틸디실록산(1,1,3,3-tetramethyldisiloxane),
(CH3)2-SiH-O-SiH-(CH3)2
1,1,5,5-테트라메틸트리실록산, 및
(CH3)2-SiH-O-SiH2-O-SiH-(CH3)2
1,1,3,5,5-펜타메틸트리실록산(1,1,3,5,5-pentamethyltrisiloxane)
(CH3)2-SiH-O-SiH(CH3)-O-SiH-(CH3)2
및 이들의 플루오르화 탄소 유도체, 예를 들면 1,2-디실라노테트라플루오르에탄 (1,2-disilanotetrafluoroethane). 유기실란들 및 유기실록산에 속하는 탄화수소 (hydrocarbon) 작용기들은 C-H 결합을 C-F 결합으로 변환시키기 위해 부분적으로 또는 전체적으로 플루오르화될 수 있다. 많은 바람직한 유기실란 및 유기실록산 화합물들은 상업적으로 이용가능하다. 유기실란들 또는 유기실록산들의 두 개 또는 그 초과의 조합은 유전상수, 산화물 함량, 소수성(hydrophobicity), 막 응력, 및 플라즈마 에칭 특성과 같은 원하는 특성들의 혼합물(blend)을 제공하기 위해 이용될 수 있다.
산화되기 쉬운 실리콘 성분이 열적으로 불안정한 작용기들을 갖는 불포화 비-실리콘 함유 성분과 화합물을 형성할 때, 유기실란 또는 유기실록산 화합물은 실리콘 산소 결합 및 실리콘-수소 결합을 모두 갖는 기능적인 작용기들이다. 결합 요구조건을 갖는 바람직한 기능적인 작용기들은 다음을 포함한다:
메틸실록시(methylsiloxy), 및 (CH3-SiH2-O-)
디메틸실록시(dimethylsiloxy) ((CH3)2-SiH-O-)
열적으로 불안정한 작용기들을 갖는 불포화 비-실리콘 함유 성분은, 플라즈마-유지된(plasma-sustained) 산화 환경과 반응하는 성질을 가져서, 증착하고, 이후에 상승된 온도에 노출될 때 낮은 끓는 점을 갖는 휘발성 종(species)을 형성하기 위하여 열적으로 분해하는 열적으로 불안정한 분자들을 형성한다. 증착된 막으로부터의 열적으로 불안정한 작용기의 휘발성 종의 분해 및 방출(evolution)은 구조 안에 빈 공동들(voids)을 남길 것이고, 구조의 밀도를 감소시킬 것이다. 증착된 막 내부에 삽입되어 있는(embedded) 화학적으로 반응된 고체 물질을 열적 공정에 의해 선택적으로 제거하는 것은 낮은 유전상수를 갖는 저 밀도 막들을 생성시킨다. 2,4,6-트리실라옥산(2,4,6-트리실라테트라하이드로피란(2,4,6-trisilatetrahydropyran)) 및 씨클로-1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌과 같은 일부 화합물들을 사용하는 빈 공간의 형성은, 비-평면 링(ring) 구조 덕분에, 어닐링 동안 불안정한 작용기들의 부가 없이 달성되며, 상기 링 구조는 다음을 포함한다:
1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌, 및
-(-SiH2-CH2-SiH2-O-)2- (순환기)
2,4,6-트리실라테트라하이드로피란, -SiH2-CH2-SiH2-CH2-SiH2-O- (순환기)
열적으로 불안정한 유기 작용기들은, 실리콘 산화물 층이 어닐링 될 때, 기체질 생성물을 형성하기 위해 충분한 산소를 포함한다.
산화되기 쉬운 실리콘 성분이 열적으로 불안정한 작용기를 갖는 불포화 비-실리콘 함유 성분과 화합물을 형성할 때, 바람직한 열적으로 불안정한 작용기들은 분자 구조 내에 통합된 산소 또는 질소를 갖는, 헤테로씨클로디알켄(heterocyclodialkene)들을 포함하는 비-실리콘을 함유하는 다수의 불포화 씨클로알칸(cycloalkane)(두 개 또는 그 초과의 탄소-탄소 이중 결합들을 갖음)이며, 이는 일반적으로 플라즈마 환경에서 잘 수행하는 경향이 있다. 바람직한 불안정한 작용기들은 다음을 포함한다:
다이옥신(Dioxin), C4H4O2, -(-CH=CH-O-CH=CH-O-)-, 순환기
푸란(Furan), C4H4O, -(-CH=CH-CH=CH-O-)-, 순환기
풀벤(Fulvene), C6H6, -(-CH=CH-CH=CH-C(CH2)-)-, 순환기
산화되기 쉬운 실리콘 성분과 열적으로 불안정한 작용기들을 포함하는 산화되기 쉬운 실리콘 함유 화합물들은 다음을 포함한다:
메틸실릴-1,4-다이옥시닐 에테르(methylsilyl-1,4-dioxinyl ether)
CH3-SiH2-O-(C4H3O2)
2-메틸실록사닐 푸란(2-methylsiloxanyl furan)
-(-CH=CH-CH=C(O-SiH2-CH3)-O-)-, 순환기
3-메틸실록사닐 푸란 -(-CH=CH-C(O-Si22-CH3)=CH-O-)-, 순환기
2,5-비스(메틸실록시)-1,4-다이옥신(2,5-bis(methylsiloxy)-1,4-dioxin)
-(-CH=C(O-SiH2-CH3)-O-CH=C(O-SiH2-CH3)-O-)-, 순환기
3,4-비스(메틸실록사닐) 푸란(3,4-bis(methylsiloxanyl) furan)
-(-CH=C(O-SiH2-CH3)-C(O-SiH2-CH3)=CH-O-)-, 순환기
2,3-비스(메틸실록사닐) 푸란
-(-CH=CH-C(O-SiH2-CH3)=C(O-SiH2-CH3)-O-)-, 순환기
2,4-비스(메틸실록사닐) 푸란
-(-CH=C(O-SiH2-CH3)-CH=C(O-SiH2-CH3)-O-)-, 순환기
2,5-비스(메틸실록사닐) 푸란
-(-C(O-SiH2-CH3)=CH-CH=C(O-SiH2-CH3)-O-)-, 순환기
1-메틸실록사닐풀벤(1-methylsiloxanylfulvene)
-(-CH=CH-CH=CH-C(CH(O-SiH2-CH3))-)-, 순환기
2-메틸실록사닐풀벤 -(-CH=CH-CH=CH-C(CH2)(O-SiH2-CH3)-)-, 순환기
6-메틸실록사닐풀벤 -(-C(O-SiH2-CH3)=CH-CH=CH-C=CH-)-, 순환기
비스(메틸실록사닐)풀벤(bis(methylsiloxanyl)fulvene)
(C6H4)(O-SiH2-CH3)2, 순환기
디메틸실릴-1,4-다이옥시닐 에테르(dimethylsilyl-1,4-dioxinyl ether)
(CH3)2-SiH-O-(C4H3O2), 순환기
2-디메틸실록사닐 푸란(2-dimethylsiloxanyl furan)
-(-CH=CH-CH=C(O-SiH-(CH3)2)-O-)-, 순환기
3-디메틸실록사닐 푸란 -(-CH=CH-C(O-SiH-(CH3)2)=CH-O-)-, 순환기
2,5-비스(디메틸실록시)-1,4-다이옥신(2,5-bis(dimethylsiloxy)-1,4-dioxin)
-(-CH=C(O-SiH-(CH3)2)-O-CH=C(O-SiH-(CH3)2)-O-)-, 순환기
3,4-비스(디메틸실록사닐) 푸란(3,4-bis(dimethylsiloxanyl) furan)
-(-CH=C(O-SiH-(CH3)2)-C(O-SiH-(CH3)2)=CH-O-)- 순환기
2,3-비스(디메틸실록사닐) 푸란
-(-CH=CH-C(O-SiH-(CH3)2)=C(O-SiH-(CH3)2)-O-)- 순환기
2,4-비스(디메틸실록사닐) 푸란
-(-CH=C(O-SiH-(CH3)2)-CH=C(O-SiH-(CH3)2)-O-)- 순환기
2,5-비스(디메틸실록사닐) 푸란
-(-C(O-SiH-(CH3)2)=CH-CH=C(O-SiH-(CH3)2)-O-)- 순환기
1-디메틸실록사닐풀벤(1-dimethylsiloxanylfulvene)
-(-CH=CH-CH=CH-C(CH(O-SiH-(CH3)2))-)-, 순환기
2-디메틸실록사닐풀벤 -(-CH=CH-CH=CH-C(CH2)(O-SiH-(CH3)2)-)-, 순환기
6-디메틸실록사닐풀벤 -(-C(O-SiH-(CH3)2)=CH-CH=CH-C=CH-)-, 순환기
비스(디메틸실록사닐)풀벤(bis(dimethylsiloxanyl)fulvene)
(C6H4)(O-SiH-(CH3)2)2, 순환기
및 이들의 플루오르화 탄소 유도체. 상기 화합물들은 상온에서 액체이고 10 Torr 이상의 압력 근처에서 휘발 될 수 있는 것이 바람직하다. 약 50℃ 미만의 온도에서 많은 불안정한 유기 작용기를 보유하는 젤과 같은(gel-like) 실리콘/산소 함유 물질을 형성하기 위해, 상기 화합물들은 산화 기체와 반응한다.
증착된 실리콘/산소 함유 물질에 보유된 불안정한 유기 작용기들의 양은, 하나 또는 그 초과의 불안정한 유기 작용기들을 포함하는 비-실리콘 함유 성분들과 반응성 화합물들(reactive compounds)을 혼합함으로써 증가될 수 있다. 불안정한 유기 작용기들은 실리콘 함유 반응성 화합물들 및 다른 산소 함유 유기 작용기들을 위해 기재된 다이옥산(dioxan), 푸란, 및 풀벤 유도체 화합물들을 포함한다. 불안정한 유기 작용기들은, 바람직하게는 비닐기로 대체된 메틸실릴기 또는 메틸실록사닐기를 비닐(vinyl)기 또는, 에스테르(ester)기로 대체된 메틸실록사닐기 또는, 다른 비-실리콘 함유 유기 작용기로 대체된 메틸실록사닐기를 갖지만 동일한 분자 안에서 통합된 실리콘 함유 및 비-실리콘 함유 성분들인 것이 바람직하며, 이들 화학물질에 예를 들어 1,4-다이옥신 및 푸란과 같은 메틸실록사닐기들 없이 부가된 동일한 분자 안에서 통합된 실리콘 함유 및 비-실리콘 함유 성분들인 것이 바람직하다. 다수의 불포화 씨클로알칸을 포함하는 바람직한 비-실리콘(두 개 또는 그 초과의 탄소-탄소 이중 결합들을 가짐)은 다음을 포함한다:
비닐-1,4-다이옥시닐 에테르(vinyl-1,4-dioxinyl ether)
CH2=CH2-O-(C4H3O2), 순환기
비닐 푸릴 에테르(vinyl furyl ether) CH2=CH2-O-(C4H3O), 순환기
비닐-1,4-다이옥신(vinyl-1,4-dioxin) CH2=CH2-(C4H3O2), 순환기
비닐 푸란(vinyl furan) CH2=CH2-O-(C4H3O), 순환기
메틸 푸로에이트(methyl furoate) CH3C(O)-O-(C4H3O), 순환기
푸릴 포메이트(furyl formate) (C4H3O)-COOH, 순환기
푸릴 아세테이트(furyl acetate) (C4H3O)-CH2COOH, 순환기
푸랄데하이드(furaldehyde) CH(O)-(C4H3O), 순환기
디푸릴 케톤(difuryl ketone) (C4H3O)2C(O), 순환기
디푸릴 에테르(difuryl ether) (C4H3O)-O-(C4H3O), 순환기
디푸르푸릴 에테르(difurfuryl ether) (C4H3O)C(O)-O-C(O)(C4H3O), 순환기
푸란(furan), C4H4O, (순환기)
1,4-다이옥신(1,4-dioxin), C4H4O2, (순환기)
및 이들의 플루오르화 탄소 유도체.
비-실리콘 함유 성분들은 다음과 같이 불안정한 유기 작용기들을 포함하지 않는 반응성 실리콘 함유 물질들과 대안적으로 혼합될 수 있다:
메틸실란(methylsilane), CH3-SiH3
디메틸실란(dimethylsilane), (CH3)2-SiH2
디실라노메탄(disilanomethane), SiH3-CH2-SiH3
비스(메틸실라노)메탄(bis(methylsilano)methane),
CH3-SiH2-CH2-SiH2-CH3
2,4,6-트리실라옥산(2,4,6-trisilaoxane)
-(-SiH2-CH2-SiH2-CH2-SiH2-O-)- (순환기)
1,3,5-트리실라씨클로헥산(1,3,5-trisilacyclohexane),
-(-SiH2CH2-)3- (순환기)
씨클로-1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌
(cyclo-1,3,5,7-tetrasilano-2,6-dioxy-4,8-dimethylene)
-(-SiH2-CH2-SiH2-O-)2- (순환기)
1,3-디메틸디실록산(1,3-dimethyldisiloxane),
CH3-SiH2-O-SiH2-CH3
1,1,3,3-테트라메틸디실록산(1,1,3,3-tetramethyldisiloxane)
(CH3)2-SiH-O-SiH-(CH3)2
1,1,5,5-테트라메틸트리실록산, 및 (CH3)2-SiH-O-SiH2-O-SiH-(CH3)2
1,1,3,5,5-펜타메틸트리실록산(1,1,3,5,5-pentamethyltrisiloxane)
(CH3)2-SiH-O-SiH(CH3)-O-SiH-(CH3)2
및 이들의 플루오르화 탄소 유도체.
열적으로-불안정한-주입(imparting) 화합물 및 열적으로 불안정하지 않은 주입 화합물의 결합은 막 특성들에 맞추기(tailor) 위해 함께-증착될 수 있다. 함께 -증착되는 화합물들의 바람직한 실시예는, 메틸실릴-1,4-디옥시닐 에테르 또는 2-메틸실록사닐 푸란 중 하나로부터 선택된 열적으로-불안정한-주입 화합물, 및 2,4,6-트리실라옥산 (2,4,6-트리실라테트라하이드로피란) 또는 씨클로-1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌으로부터 선택된 열적으로 불안정하지 않은 주입 화합물을 포함한다.
유리하게 사용될 수 있는, 함께-증착되는 헤테로지방족(heteroalicyclic) 열적으로 불안정하지 않은 주입 분자들은 미미한 링 스트레인(ring strain)을 갖는 비-평면 환식(cyclic) 분자들이며, 이는 무작위의 방향으로 증착한다. 2,4,6-트리실라옥산 및 씨클로-1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌에 대하여, 실릴 기능적인 작용기의 메틸렌기로의 이중 결합은 합성된(resultant) 막의 향상된 열적 안정성과 더 나은 기계적 특성들을 제공할 수 있다. 비-평면 분자는 증착된 막 내에서 상대적으로 감소된 스택 밀도를 제공할 수 있으며, 이에 의해 낮은 유전체 막들을 생산한다.
실리콘/산소 함유 물질이 막으로써 증착된 후에, 바람직한 폐쇄 셀 폼 구조에 기인한 낮은 유전상수를 갖는 나노-기공 실리콘 산화물 층 안에서 불안정한 유기 작용기들을 분포된 기체 포켓들로 변환시키기 위해, 막은 점차 증가하는 온도에서 어닐링되는 것이 바람직하다.
바람직한 실시예에서, 본 발명의 나노-기공 실리콘 산화물 층은 PECVD 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 수소화 실리콘 카바이드(carbide) (예를 들면, 캘리포니아 산타 클라라에 위치한 어플라이드 머티어리얼스 사로부터 이용 가능한 BLOk™ 층 물질) 배리어 층 위에 증착되며, 이 배리어 층은 하나 또는 그 초과의 반응성 실리콘 함유 화합물들의 플라즈마-보조 반응에 의해 패턴화된 금속 층 위에 증착된다. 그 후 RF 전력 또는 원격 마이크로웨이브 전력이 인가되는 동안 나노-기공 실리콘 산화물 막은 동일한 멀티 챔버 클러스터 CVD 시스템에서 증착되고, 증가하는 온도 프로파일(profile)-선택적으로 약 350℃에서 속적으로 가열된다. 나노-기공 실리콘 산화물 층은 동일한 챔버 또는, 예를 들어 수소화 실리콘 카바이드 (BLOk™)와 함께, 배리어 층을 증착하는데 사용되는 인접한 클러스터 툴(cluster tool) 공정 챔버에서 선택적으로 캡핑(capped)된다. 라이너 및 캡핑 층들은 나노-기공 실리콘 산화물 층을 보호하는 배리어로써 작용한다.
높은 온도에서 경화(curing) 공정 동안 또는 그 후에, 소수성-주입 화학물질로 기공 실리콘 산화물 층을 처리하는 것은 증착된 막의 습기 저항을 증가시킨다. 사용되는 상기 화학물질은 다음으로 구성된 기로부터 선택되는 것이 바람직하다:
헥사메틸디실라잔(hexamethyldisilazane),
트리메틸실릴디에틸아민(trimethylsilyldiethylamine),
페닐디메틸실릴디메틸아민(phenyldimethylsilyldimethylamine),
트리메톡시실릴디-메틸아민(trimethoxysilyldi-methylamine),
트리스(트리플루오로메틸)실릴디메틸아민
(tris(trifluoromethyl)silyldimethylamine),
비스(트리메틸-실릴)하이드라진(bis(trimethyl-silyl)hydrazine),
1-페닐디메틸실릴-2-메틸-하이드라진
(1-phenyldimethylsilyl-2-methyl-hydrazine),
1-트리메톡시실릴-2-메틸-하이드라진(1-trimethoxysilyl-2-methyl-hydrazine),
1-트리스(트리플루오로메틸실릴)-2-메틸-하이드라진
((1-tris(trifluoromethylsilyl)-2-methyl-hydrazine),
트리메틸클로로실란(trimethylchlorosilane),
트리메틸브로모실란(trimethylbromosilane),
트리메틸실란(trimethylsilane),
또는 이들의 조합들.
라이너 및 캡핑 층들은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 수소화 실리콘 카바이드 (BLOk™)의 플라즈마 보조 화학 기상 증착(CVD)에 의해 증착될 수 있다.
발명의 추가적인 설명이, 본 발명의 나노-기공 실리콘 산화물 층들의 증착을 위한 특정 장치로 향해질 것이다.
예시적인 CVD 플라즈마 반응기
본 발명의 방법이 수행될 수 있는 적당한 CVD 플라즈마 반응기는 캘리포니아 산타 클라라의 어플라이드 머티어리얼스 사로부터 이용가능한 "DLK" 챔버이고, 이는 높은 진공 영역(115)을 가지는 평행 플레이트(parallel plate) 화학 기상 증착 반응기(110)의 수직 횡단면도인 도 2에서 도시된다. 반응기(110)는, 매니폴드(manifold) 안의 구멍난 홀들을 통해, 기판 지지 플레이트 또는 서셉터(susceptor, 112)―리프트 모터(114)에 의해 올려지거나 내려짐― 위에 놓이는 기판(도시되지 않음) 또는 기판으로 공정 기체들을 분산시키기 위한 기체 분배 매니폴더(111)를 포함한다. TEOS의 액체 주입에 전형적으로 사용되는 것과 같은, 액체 주입 시스템(도시되지 않음)은 액체 반응물질을 주입하기 위해 또한 제공될 수 있다. 바람직한 액체 주입 시스템들은 AMAT 기체 정밀 액체 주입 시스템(Gas Precision Liquid Injection System (GPLIS)) 및 AMAT 확장 정밀 액체 주입 시스템(Extended Precision Liquid Injection System (EPLIS))을 포함하며, 이들 둘 모두 어플라이드 머티어리얼스 사로부터 이용 가능하다.
반응기(110)는 저항성 가열 코일(도시되지 않음) 또는 외부의 램프(도시되지 않음)에 의해서와 같이, 공정 기체 및 기판을 가열하는 것을 포함한다. 도 2를 참조하면, 서셉터(112)는 지지 스템(support stem, 113) 위에 장착되고, 따라서 서셉터(112)(및 서셉터(112)의 상부 표면위에 지지되는 기판)은 낮은 로딩/오프-로딩 위치와 매니폴드(111)에 가까이 인접한 높은 처리 위치 사이에서 조절가능하게 움직여질 수 있다.
서셉터(112) 및 기판이 처리 위치(114)에 있을 때, 이들은 절연체(insulator,117)에 의해 둘러싸이고, 공정 기체는 매니폴드(124) 안으로 배출된다. 도 2와 관련하여 도시되고 기술되는 특정한 DLK 디자인에서, 기판은 서셉터의 상부 표면에 있는 포켓(도시되지 않음) 안에 안착될 수 있고, 웨이퍼의 에지와 포켓의 벽 사이에 약 2 mm 정도의 간격이 생기도록 크기가 조정될 수 있다.
공정 동안, 매니폴드(111)로의 기체 흡입구들은 기판의 표면에 걸쳐 방사상으로 균일하게 분배된다. 스로틀 밸브를 갖는 진공 펌프(132)는 챔버로부터의 기체 분출 속도를 조절한다.
매니폴드(111)에 도달하기 전에, 증착 및 캐리어 기체들은 기체 라인(118)들을 통해서 이들이 결합되는 혼합 시스템(mixing system, 119) 안으로 입력되고 그 후 매니폴드(111)로 보내진다. 어플리케이터 튜브(applicator tube, 120)를 갖는 선택적인 마이크로웨이브 시스템(150)(도 3에서 도시됨)은, 반응기(110)에 들어가기 전에 오직 산화 기체만을 해리하는 추가적인 에너지를 제공하기 위해, 산화 기체용 입력 기체 라인 위에 위치될 수 있다. 마이크로웨이브 어플리케이터는 약 0 내지 약 6000W 사이의 전력을 제공한다. 일반적으로, 각각의 공정 기체에 대한 공정 기체 공급 라인들(18)은 (i) 챔버 안으로의 공정 기체의 흐름을 자동 또는 수동으로 잠그는데 사용될 수 있는 안전 잠금 밸브(도시되지 않음) 및 (ii) 기체 공급 라인들을 통한 기체의 유량을 측정하는 질량 유량 제어기(mass flow controllers)(역시 도시되지 않음)를 포함한다. 공정에서 유독성 기체가 사용될 때, 몇 개의 안전 잠금 밸브들이 전통적인 구성에 따라 각각의 기체 공급 라인에 위치된다.
반응기(110)에서 수행되는 증착 공정은 차가워진 기판 받침대 상의 비-플라즈마 공정 또는 플라즈마 강화 공정 중 어느 하나 일 수 있다. 플라즈마 공정에서, 제어된 플라즈마는 RF 전력 공급기(125)(접지된 서셉터(112)와 함께)로부터 분배 매니폴드(111)에 인가된 RF 에너지에 의해서 기판에 인접하게 형성된다. 대안적으로, RF 전력은 서셉터(112)에 제공될 수 있거나 RF 전력은 다른 주파수로 다른 컴포넌트에 제공될 수 있다. RF 전력 공급기(125)는 높은 진공 영역(115) 안으로 유입된 반응성 종(reactive species)의 분해를 향상시키기 위해 하나 또는 혼합된 주파수 RF 전력을 공급할 수 있다. 혼합된 주파수 RF 전력 공급기는 전형적으로 분배 매니폴드(111)에 약 13.56MHz의 높은 RF 주파수(RF 1)로 전력을 제공하고, 서셉터(112)에 약 360KHz의 낮은 RF 주파수(RF 2)로 제공한다. 본 발명의 실리콘 산화물 층은 높은 주파수 RF 전력의 낮은 레벨 또는 펄스된 레벨을 사용하여 생산되는 것이 가장 바람직하다. 펄스형 RF 전력은 듀티 싸이클의 약 10% 내지 약 30% 동안 약 20 내지 약 200W로 13.56MHz RF 전력을 공급하는 것이 바람직하다. 비-펄스형 RF 전력은 아래에 보다 상세히 기술된 것처럼 약 10 내지 약 150W로 13.56MHz RF 전력을 공급하는 것이 바람직하다. 저전력 증착은 약 -20 내지 약 40℃의 온도 범위에서 발생하는 것이 바람직하다. 바람직한 온도 범위에서 증착된 막은 증착하는 동안 부분적으로 중합되고, 차후의 막의 경화 동안 중합은 완성된다.
산화 기체의 추가적인 해리가 요구될 때, 선택적인 마이크로웨이브 챔버는 증착 챔버에 들어가기에 앞서 산화 기체에 약 0 내지 약 3000W의 마이크로웨이브 전력을 입력하는데 사용될 수 있다. 마이크로웨이브 전력의 분리된 추가는 산화 기체와 반응하기 전에 실리콘 화합물들의 과도한 해리를 막을 것이다. 마이크로웨이브 전력이 산화 기체에 더해 질 때, 실리콘 화합물과 산화 기체에 대한 분리된 관을 가지는 기체 분배 플레이트가 바람직하다.
전형적으로, 챔버 라이닝, 기체 흡입구 매니폴드 면판(faceplate), 지지 스템(113), 및 다양한 다른 반응기 하드웨어의 일부 또는 전부는 알루미늄 또는 양극산화(anodized) 알루미늄과 같은 물질로 만들어진다. 상기 CVD 반응기의 예는, 본 발명의 양수인인 어플라이드 머티어리얼스 사에 양도되고 왕(Wang) 등에게 교부된 “이산화 실리콘의 열적 화학 기상 증착 및 인-시튜 다-단계 평탄화 공정을 위한 열적 CVD/PECVD 반응기 및 이용(Thermal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multi-step Planarized Process)”이라는 제목의 미국특허 제 5,000,113호에서 개시된다.
리프트 모터(114)는 처리 위치와 낮은 기판-로딩 위치의 사이에서 서셉터(112)를 올리고 내린다. 상기 모터, 기체 혼합 시스템(119), 및 RF 전력 공급기(125)는 제어 라인(136)들을 통해 시스템 제어기(134)에 의해 제어된다. 반응기는 아날로그 어셈블리들(analog assemblies)―예를 들면 질량 유속 제어기(MFCs) 및 표준 또는 펄스된 RF 발생기―을 포함하며, 이들은 메모리(210)―바람직한 실시예에서는 하드디스크 드라이브임―에 저장된 시스템 제어 소프트웨어를 실행하는 시스템 제어기(134)에 의해 제어된다. 모터 및 광학 센서는 이동 가능한 기계적 어셈블리들―예를 들면, 진공 펌프(132)의 스로틀 밸브 및 서셉터(112)를 위치잡기 위한 모터―의 위치를 결정하고 움직이는데 사용된다.
시스템 제어기(134)는 CVD 반응기의 모든 활동을 제어하고 제어기(134)의 상기 실시예는 하드디스크 드라이브, 플로피디스크 드라이브 및 카드 랙을 포함한다. 카드 랙은 싱글 보드 컴퓨터(SBC), 아날로그 및 디지털 입력/출력 보드들, 인터페이스 보드들 및 스테퍼 모터 제어기 보드들을 포함한다. 시스템 제어기는 버사 모듈러 유러피안(Versa Modular European) (VME) 표준―이는 보드, 카드 케이지(cage), 및 커넥터 디멘션(dimension) 및 타입을 정의함―을 따른다. 또한 VME 표준은 16-비트 데이터 버스와 24-비트 어드레스 버스를 갖는 버스 구조를 정의한다.
본 발명의 일 실시예에 따라서, 도 3은 DLK 반응기(11)에 들어가기 전, 물과 같은 공정 기체들을 해리하기 위한 원격 마이크로웨이브 시스템(150)의 간략도이다. 원격 마이크로웨이브 시스템(150)은 어플리케이터 튜브(120), 자외선(UV) 램프(154) 및 UV 전력 공급기(155)를 포함하는 플라즈마 점화 시스템, 다양한 길이의 직선형 및 곡선형 도파관 부분들(156), 조인트(157)에서 서로 연결될 수 있는 도파관 커플링(waveguide coupling, 158), 출력 도파관 부분(160)을 포함하는 마이크로웨이브 도파관 시스템 및 마그네트론(magnetron, 168)을 포함한다. 도파관 부분(156)은 암 베이스(arm base, 166)상에 장착된 피봇팅 암(pivoting arm, 164)에의 부착을 위한 그 안에 형성된 암 지지대(162)를 추가로 구비할 수 있다. 피봇팅 암은 암 피스(arm piece, 165)들의 수직적인 분리를 제공하고 암 조인트(163)의 둘레로 암(164)을 회전 운동하게 하는 암 조인트(163)에 결합된 암 피스(arm piece, 165)들을 포함한다. 암 조인트(163)는 암 조인트(163)의 바닥에서 하나의 암 피스(165)와 결합되고, 암 조인트(163)의 상부에서 제 2 암 피스(165)와 결합된 수직으로 배치된 실린더이다. 암 조인트(163)의 단부들에서의 암 피스들(165)의 부착은 처리 반응기(110)의 작동과 유지보수의 동안에 암 피스들의 수직적인 분리와 암(164)과 이로 인한 마이크로웨이브 시스템(150)의 위치 유연성을 고려한다.
마그네트론(168)은 약 2.45GHz 주파수의 마이크로웨이브의 연속파(CW) 또는 펄스형 출력을 위해 약 0 내지 3000 와트 사이에서 동작 가능한 전형적인 마그네트론 소스이다. 물론 다른 마그네트론들도 또한 활용될 수 있다. 서큘레이터(circulator) (도시되지 않음)는 마그네트론(168)으로부터 어플리케이터 튜브(120)를 향하여 오직 전방으로 마이크로웨이브 전송을 하게 한다. 스터브 튜너(stub tuner)들 또는 다른 튜닝 소자(tuning element)를 사용할 수 있는 튜닝 시스템(170)은 도파관 부분(160)에서 로드를 도파관의 특성 임피던스와 정합할 수 있는 능력을 갖는 마이크로웨이브 시스템(150)을 제공한다. 튜닝 시스템(170)은 특정 실시예들에 따라서 고정 튜닝, 수동 튜닝, 또는 자동 튜닝을 제공할 수 있다. 특정 실시예에서, 도파관 부분들은 직사각형 단면들을 갖지만, 다른 유형의 도파관 또한 사용될 수 있다.
어플리케이터 튜브(120)는 합성 또는 세라믹 물질, 바람직하게는 알루미나, 또는 라디칼들에 의한 에칭을 견딜 수 있는 다른 물질로 만들어진 원형(또는 다른 단면) 튜브이다. 특정 실시예에서 어플리케이터 튜브(120)는 약 18 내지 24 인치의 길이를 가지며 약 3 내지 4 인치의 단면 지름을 갖는다. 어플리케이터 튜브(120)는, 한쪽 단부는 마이크로웨이브들을 전송하기 위해 개방되어 있고, 다른 한쪽 단부는 금속 벽으로 막혀져 있는 도파관 부분(160)을 통과하여 배치된다. 마이크로웨이브는 도파관 부분(160)의 개방 단부를 통하여 마이크로웨이브에게 투과적인 어플리케이터 튜브(120)의 안쪽에 있는 기체로 전달된다. 물론, 사파이어와 같은 다른 물질들 역시 어플리케이터 튜브(120)의 내부를 위해 사용될 수 있다. 다른 실시예들에서, 어플리케이터 튜브(120)는 금속 외장과 합성 또는 세라믹 물질로 만들어진 내부를 가질 수 있고, 여기서 도파관 부분(160)의 마이크로웨이브는 기체들에 에너지를 주기 위해 어플리케이터 튜브(160)의 외부을 통과하여 튜브(120)의 노출된 내부로의 창(window)에 들어간다.
상기-기술된 방법은 도 2에서 도시된 제어기(134)와 같은 프로세서 기반 시스템 제어기에 의해 제어되는 시스템에서 구현될 수 있다. 도 4는 도 2에서 도시된 것과 같은 반응기(110) 또는 처리 시스템의 블록도를 도시하며, 이러한 수용능력에서 이용될 수 있는 이러한 시스템 제어기(134)를 갖는다. 시스템 제어기(134)는 메모리(210), 대량 저장 장치(215), 입력 제어 장치(245), 및 디스플레이 장치(255)와 함께 동작하는 프로그램 가능한 중앙 처리 장치(CPU, 220)를 포함한다. 시스템 제어기는, 증착 공정의 제어를 용이하게 하기 위한 DLK 공정 반응기(110)의 다양한 구성요소들과 결합된 전력 공급기, 클럭(225), 캐쉬(235), 입출력(I/O) 회로(240) 및 기타 등등과 같은 잘 알려진 보조 회로(support circuit, 214)들을 더 포함한다. 제어기(134)는 또한 챔버(110) 안의 센서들(도시되지 않음)을 통하여 기판 처리를 모니터링하기 위한 하드웨어를 포함한다. 이러한 센서들은 기판 온도, 챔버 대기 압력 및 기타 등등과 같은 시스템 파라미터들을 측정한다. 상기 모든 구성요소들은 제어 시스템 버스(230)와 연결된다.
상술한 것처럼 챔버의 제어를 용이하게 하기 위해서, CPU(220)는 다양한 챔버들과 서브프로세서(subprocessor)들을 제어하기 위한 산업 장치(industrial setting)들에서 사용될 수 있는 범용 컴퓨터 프로세서의 임의의 형태 중 하나일 수 있다. 메모리(210)는 CPU(220)과 연결되며, 시스템 버스(230)에 접속가능하다. 메모리(210), 또는 컴퓨터-판독가능 매체(215)는 로컬 또는 원격의 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크 드라이브, 하드 디스크, 또는 다른 형태의 디지털 저장매체와 같은 하나 이상의 쉽게 이용가능한 메모리일 수 있다. 보조 회로(214)는 기존 방법으로 프로세서를 보조하기 위해 CPU(220)에 연결된다. 증착 공정은 전형적으로 소프트웨어 루틴(software routine)으로서 메모리에 일반적으로 저장된다. 또한 소프트웨어 루틴은 CPU(220)에 의해 제어되고 있는 하드웨어로부터 떨어져 위치하는 제 2 CPU(도시되지 않음)에 의해 저장되고/되거나 실행될 수 있다.
메모리(210)는 처리 시스템(10)의 수행을 용이하게 하기 위해 CPU(220)가 실행하는 명령어들을 포함한다. 메모리(210) 안의 명령어들은 본 발명의 방법을 수행하는 프로그램(200)과 같은 프로그램 코드의 형태이다. 프로그램 코드는 다수의 다양한 프로그래밍 언어들 중 임의의 하나와 일치할 수 있다. 예를 들면, 프로그램 코드는 C, C++, 베이직, 파스칼, 또는 다수의 다른 언어들로 써질 수 있다.
데이터 및 명령어를 저장하는 대량 저장 장치(215)는 마그네틱 디스크 또는 마그네틱 테이프와 같은 프로세서가 판독 가능한 저장 매체로부터 데이터 및 프로그램 코드 명령들을 리트리브(retrieve)한다. 예를 들면, 대량 저장 장치(215)는 하드 디스크 드라이브, 플로피 디스크 드라이브, 테이프 드라이브, 또는 광학 디스크 드라이브일 수 있다. 대량 저장 장치(215)는 CPU(220)으로부터 받은 지시에 대응하여 명령들을 저장하고 리트리브한다. 대량 저장 장치(215)에 의해 저장되고 리트리브된 데이터와 프로그램 코드 명령들은 처리 시스템을 동작시키기 위한 프로세서 장치(220)에 의해 이용된다. 우선 매체로부터 대량 저장 장치(215)에 의해 데이터 및 프로그램 코드 명령들이 리트리브되며, 그 후 사용을 위해 CPU(220)에 의해 메모리(210)로 전달된다.
챔버 동작자의 입력들의 수신을 제공하기 위해, 입력 제어 장치(245)는 키보드, 마우스, 또는 광 펜(light pen)과 같은 데이터 입력 장치를 프로세서 장치(220)와 시스템 버스(230)를 통해 연결시킨다. 디스플레이 장치(255)는 CPU(220)의 제어 아래서 그래픽적인 디스플레이와 알파뉴머릭(alphanumeric) 문자들의 형태로 챔버 동작자에게 정보를 제공한다.
제어 시스템 버스(230)는 제어 시스템 버스(230)와 연결된 모든 장치들 사이에서 데이터 및 제어 신호들의 전송을 제공한다. 제어 시스템 버스가 CPU(220)안의 장치들을 직접적으로 연결하는 단일 버스(single bus)로 도시되어 있지만, 제어 시스템 버스(230)는 또한 버스들의 집합체일 수 있다. 예를 들면, 디스플레이 장치(255), 입력 제어 장치(245)(입력 장치와 함께), 및 대량 저장 장치(215)는 입출력 주변 장치 버스에 연결될 수 있고, 반면에 CPU(220) 및 메모리(210)는 로컬 프로세서 버스에 연결된다. 로컬 프로세서 버스 및 입출력 주변 장치 버스는 제어 시스템 버스(230)를 형성하기 위해 서로 연결된다.
시스템 제어기(134)는, 시스템 버스(230) 및 I/O 회로(240)들을 통해 본 발명에 따라서 유전체 증착 공정들에서 이용되는 처리 시스템(10)의 구성요소들과 결합된다. I/O 회로(240)들은 CPU(220) 및 시스템 버스(230)를 통해 메모리(210)에 저장된 프로그램(220)으로부터 명령들을 받는다. 프로그램(200)은 I/O 회로(240)들이 반응기(110)의 기판 위치 제어(250), 공정 기체 제어(260), 압력 제어(270), 가열 제어(280) 및 플라즈마/마이크로웨이브 제어(290)를 제공할 수 있게 하는 프로그램 서브루틴을 제공한다.
CPU(220)는, 도 4의 흐름도에서 도시된 본 발명의 방법의 실시예에서의 프로그램(200)과 같은 프로그램들을 실행할 때, 특정 목적의 컴퓨터가 된 범용 컴퓨터를 형성한다. 본 발명이 소프트웨어에서 구현되는 것으로, 그리고 범용 컴퓨터상에서 실행되는 것으로 본 명세서에 기재되어 있을지라도, 본 기술 분야의 당업자는 본 발명이 특정용도집적회로(application specific integrated circuit) (ASIC) 또는 다른 하드웨어 회로와 같은 하드웨어를 사용하여 구현될 수 있다는 것을 알 것이다. 이와 같이, 본 발명은 소프트웨어, 하드웨어, 또는 둘 다에서, 전체적으로나 부분적으로 구현될 수 있다는 점이 이해되어야한다.
상기 CVD 시스템의 기재는 주로 도식적인 목적을 위함이며, 전극 사이클로트론 공명(ECR) 플라즈마 CVD 장치, 유도-결합 RF 고밀도 플라즈마 CVD 장치, 또는 이와 유사한 것들과 같은 다른 플라즈마 CVD 장치도 이용될 수 있다. 부가적으로, 서셉터 디자인, 히터 디자인, RF 전력 연결의 위치 및 다른 것들의 변형과 같이 상술된 시스템의 변형이 가능하다. 예를 들면, 기판은 저항 가열된 서셉터(resistively heated susceptor)에 의해 지지되고 가열될 수 있다. 본 발명의 전처리된 층을 형성하기 위한 전처리(pretreatment) 및 방법은 임의의 특정 장치 또는 플라즈마 여기 방법에 한정되지 않는다. 다른 장치들의 사용은 아래에서 상세히 논의된다.
나노-기공 실리콘 산화물 층의 증착
본 발명의 나노-기공 실리콘 산화물 층은 PECVD 또는 도 2의 마이크로웨이브 챔버를 사용하여 도 5에서 도시된 것처럼 3-층(three-layer) 공정에서 증착될 수 있다. 도 5를 참조하면, 기판은 반응기(110)안에 위치되며(300) 배리어 층은 PECVD 공정에 의해 반응성 실리콘 함유 화합물을 포함하는 플라즈마로부터 증착된다(305). 증착 단계(305)는 기술 분야에 알려진 방법에 따라서 공정 챔버(15) 안의 용량성 결합 플라즈마 또는 유도성 및 용량성 결합 플라즈마 둘 모두를 포함할 수 있다. 헬륨과 같은 비활성 기체는 플라즈마 생성에 도움을 주기 위해 PECVD 증착에 보통 사용된다. 그 후 본 발명의 나노-기공 층은, 불안정한 유기 작용기들을 더 포함하는 실리콘/산소 함유 물질을 증착함에 의해서 라이너 층위에 증착된다(310).
아래에 상세히 논의되는 바와 같이, 초기 증착 상태의(as-deposited) 막들의 응력의 감소는 300℃ 미만의 온도에서 플라즈마-강화 증착을 수행함에 의해 달성될 수 있다.
단계(312)에서 증착된 실리콘/산소 함유 물질의 제어된 어닐링은 층에 균일하게 분포된 미세한 기체 포켓들의 형성을 야기한다. 아래에서 상세히 기재되듯이, 본 발명의 실시예들에 따라서, 이 제어된 어닐링은 상이한 조건들 아래의 다수의 스테이지들에서, 예를 들면 열방사 어플리케이션에 의한 후에 전자빔 방사에 노출되는 것에 의해서 발생할 수 있다.
또한 아래에서 상세히 논의될 것이라도, 어닐링 후의 응력의 감소는 초기 증착 상태의 막 안에 통합되는 포로겐의 유리에 의해 달성될 수 있다.
다음으로, 캡핑 층은 층상에 증착되며(315), 라이닝 층을 증착하기 위해 이용되는 것과 유사한 공정을 사용하는 것이 바람직하다. 그 후 기판은 반응기(110)로부터 제거된다(320).
도 6a-6e를 참조하면, 3-층 공정은 PECVD 라이닝 층(400)을 제공한다. 라이닝 층(400)은 후속의 나노-기공 층(402) 및 아래에 있는 기판 표면(404)과 기판 표면상에 형성된 금속 라인(406, 408, 410)들 사이에서 절연 층으로 작용한다. 나노-기공 층(402)은 실리콘 함유 화합물의 PECVD 캡핑 층(412)에 의해 캡핑된다. 이 공정은 CVD 반응기(110)를 위한 컴퓨터 제어기(134)의 메모리에 저장된 컴퓨터 프로그램을 사용하여 구현되고 제어된다.
도 6a를 참조하면, PECVD 라이닝 층(400)은 반응성 실리콘 함유 화합물과 산화 기체를 유입함에 의해 반응기(110) 안에서 증착된다. 공정 기체는 기판 표면(404)과 금속 라인(406, 408, 410)들 위에 컨포멀한 실리콘 산화물 층(400)을 형성하기 위해 플라즈마 강화 환경에서 반응한다.
도 6b를 참조하면, 나노-기공 층(402)은 실리콘 및 불안정한 함유 화합물들 및 산화 기체로 구성된 공정 기체로부터 증착된다. 공정 기체의 유량은 실리콘과 불안정한 함유 화합물에 대하여는 약 20 내지 약 1000sccm의 범위이며, 산화 기체에 대하여는 약 5 내지 약 4000sccm의 범위이다. 바람직한 기체 유량은 실리콘과 불안정한 함유 화합물에 대하여는 약 50 내지 약 500sccm의 범위이며, 산화 기체에 대하여는 약 5에서 약 2000sccm의 유량의 범위이다. 이러한 유량은 약 5.5 내지 6.5 리터의 부피를 갖는 챔버에 대해 주어진 것이다. 바람직하게, 나노-기공 층(402)의 증착 동안, 반응기(110)는 약 0.2 내지 약 5 Torr의 압력에서 유지된다.
나노-기공 층(402)은 도 6d에서 도시된 것과 같이 캡핑 층(412)의 증착 전에 휘발성 성분들을 제거하기 위해 도 6ca-cb에서 도시된 것과 같이 경화된다. 도 6ca-6cb에 관련하여 상세히 아래에 기재된 것과 같이, 초기 증착 상태의 낮은 K 막들은 본 발명의 실시예들에 따라서 멀티-스테이지 접근방식(multi-stage approach)으로 경화될 수 있다. 이러한 멀티-스테이지 경화는 열 경화 단계 다음의 전자빔 경화 단계를 포함할 수 있다. 대안적으로 전자빔 경화는 열 경화에 선행할 수 있다.
대안적으로, 점진적으로 더 높은 온도로 기판을 가열하는 동안, 경화는 비활성 기체 대기 아래에서 반응기(110) 안에서 수행될 수 있다. 분산된 미세한 버블과 같은 기체질의 생산물을 보유하고/하거나 선택적인 불안정한 유기 작용기를 분산된 미세한 기체 버블들―이는 바람직하게 폐쇄된 셀 구조 안의 공동과 같은 경화된 실리콘 산화물 막에서 보유됨―로 변환시키기 위해, 나노-기공 층(402)은 점진적으로 증가하는 온도에서 어닐링 될 수 있다. 바람직한 어닐링 공정은 약 5분의 가열 시간 기간을 포함하며, 온도가 약 50℃/min로 점진적으로 증가하여 약 350℃ 내지 약 400℃의 최종 온도로 증가하는 것을 포함한다. 기체 버블들의 분포는 온도/시간 프로파일에 변화를 주는 것과 증착된 막 안의 불안정한 유기 작용기의 농도를 제어하는 것에 의해 제어될 수 있다.
도 6d를 참조하면, 반응기(110)는 캡핑 층(412)을 증착하며, 이는 바람직하게 동일한 물질이어야 하며, PECVD 라이너 층(400)의 증착을 위해 사용된 방법과 동일한 방법에 의한다. 도 6e를 참조하면, 캡핑 층(412)의 증착 후에, 증착된 층들은, 물과 같은 잔존하는 휘발성 생산물을 완전히 없애기 위해, 약 200℃ 내지 약 400℃의 온도로 노 또는 다른 챔버에서 추가로 어닐링 된다. 물론 처리 상태(processing condition)은 원하는 증착된 막들의 특성들에 따라서 변할 것이다.
낮은 K 막에서 응력의 감소
본 발명에 따른 낮은 K 유전체 물질들은 상호연결 금속배선의 연속되는 층들 사이에 형성될 수 있다. 이러한 금속배선은 구리 또는 알루미늄과 같은 상대적으로 단단한 물질로 형성되는 것이 전형적이다. 낮은 K 막들은 일반적으로 부드러운 물질이므로, 실질적으로 상이한 물리적 특성들을 갖는 이러한 막들의 집적(integration)은 점점 더 어려워지고 있다.
막 응력은 막의 탄성 계수 뿐만 아니라 막의 강도를 결정하는데 있어서 하나의 중요한 요소이다. 그러므로 낮은 응력을 나타내는 낮은-K 막들의 생산은 이러한 막들의 반도체 구조들과 장치들 안으로의 통합을 도와주는데 중요하다.
본 발명의 일 실시예에 따라서, 약 20 ㎫보다 작은 막 응력을 갖는 낮은 K 막은 300℃ 미만의 낮은 온도에서 화학 기상 증착(CVD)에 의해 형성될 수 있다. 이러한 증착 공정의 감소된 열 버짓(thermal budget)은 막에 야기되는 기계적 응력의 양을 감소시킨다. 표 1은 본 발명의 실시예에 따라서 형성된 탄소-함유 실리콘 산화물 막에 대한 다수의 증착 공정들의 파라미터들에 대한 요약을 제공한다.

temp
(℃)
물질 유량(Material Flow Rate)
응력
(㎫)
OMCTS
(mgm)
C2H4
(sccm)
He
(sccm)
O2
(sccm)
150 2200 6000 4400 300 -15.3
200 2200 6000 4400 300 -8.2
150 3200 4800 6400 400 -6.2
200 3200 4800 6400 400 7.985
압력 = 6 Torr
면판과 웨이퍼 사이의 이격 = 800mils (1mils = 0.025 mm)
무선 주파수(RF) 전력 = 500W
낮은 주파수 전력 = 200W
표 1의 마지막 열에서, 응력에 대한 양의 값은 증착된 막이 인장(tensile) 응력을 나타냄을 가리킨다. 음의 응력 값은 증착된 막이 압축(compressive) 응력을 나타냄을 가리킨다. 본 발명에 따르는 막들의 실시예들은 약 20㎫ 이하의 응력을 나타낼 것이 기대될 것이다.
표 1의 상태 하에서 증착된 막들의 응력은 상이한 상태에서 증착된 동일한 조성의 막들에 의해 나타난 응력들과 비교될 수 있다. 예를 들면 350℃에서 증착된 유사한 탄소 도핑된 실리콘 산화물 막은 56.2㎫의 인장 응력을 나타내었다.
본 발명에 따른 탄소 도핑된 실리콘 산화물 막의 실시예는 상술된 특정 파라미터에 한정되지 않으나, 상태들의 범위 아래에서 증착될 수 있다. 아래의 표 2는 이러한 상태들의 범위를 요약한다.
공정 파라미터 범위
증착 온도 <300℃
증착 압력 2-10 Torr
RF 전력 200-1500 Watt
면판과 웨이퍼 사이의 이격 300-1000 (mils)
상기 논의가 낮은 응력을 갖기 위해 낮은 온도에서의 낮은 K 막의 증착에 초점을 맞추었기는 하나, 본 발명에 따른 실시예들은 이러한 접근에만 한정되지는 않는다. 본 발명의 대안적인 실시예들에 따라서, 낮은 인장 응력 또는 심지어 압축 응력을 갖는 막은 포로겐과 관련하여 증착에 의해 형성될 수 있어서, 포로겐의 후속 유리는 물질 내 응력의 감소를 야기한다.
표 3은 포로겐과 함께 및 포로겐 없이 증착된 막들로부터의 응력 데이터를 도시한다.

RUN
#

포로겐 유량
(mgm)
초기 증착 상태의 응력
(STRESS AS DEPOSITED)
(㎫)
처리 후의 응력
(STRESS POST-TREATEMT)
(㎫)

△ 응력
(㎫)
1 3000 16.43 56.04 39.61
2 0 -20.86 79 99.86
증착 온도 = 225℃.;
RF 전력 = 1200W;
증착 압력 = 8Torr;
면판과 웨이퍼 사이의 이격 = 300mil;
실리콘 전구체(Precursor) 유량 = 1200 mgm;
헬륨 유량 = 3000sccm;
산소 유량 = 200sccm;
표 3은, 막 안에 통합된 포로겐과 함께 증착된 탄소 도핑된 실리콘 산화물이 포로겐 없는 동일한 상태에서 증착된 막과 비교할 때, 전자빔으로 초기 증착 상태의 막을 처리할 때 실질적으로 감소된 응력 증가를 나타냄을 보여준다.
통합된 포로겐의 성질은 증착된 막의 결과적인 응력에 또한 영향을 줄 수 있다. 도 9는 다른 타입의 포로겐을 통합하는 막에 대하여, 유전 상수 대 응력을 나타낸다. 제 1 포로겐 타입(P1)은, 선형 분자를 포함하는 제 2 포로겐 타입(P2)보다 더 큰 부피를 차지하는 고리형 분자를 포함한다. 도 9는 더 큰 포로겐 타입(P1)과 함께 증착된 막이 후-증착 어닐링에서 더 적은 응력을 일관되게 나타냄을 가리킨다.
어닐링 공정 동안 다양한 전자 빔 방사의 소스들이 이용될 수 있더라도, 한 가지 예시적인 장치는 캘리포니아 산타 클라라의 어플라이드 머티어리얼스 사로부터 이용 가능한 EBK 챔버이다. 또한 이러한 큰-면적의 균일한 전자 소스는 모든 목적들에 대해 본원에 참조에 의해 통합되는 미국특허 제 5,003,128호에서 기술된다. 또한 모든 목적들에 대해서 본원에 참조에 의해 통합되는 다음의 특허들은 다양한 양상들의 전자빔 처리를 기술한다:
미국특허 제 5,468,595호, 미국특허 제 6,132,814호, 미국특허 제 6,204,201호, 미국특허 제 6,207,555호, 미국특허 제 6,271,146호, 미국특허 제 6,319,655호, 미국특허 제 6,407,399호, 미국특허 제 6,150,070호, 미국특허 제 6,218,090호, 미국특허 제 6,195,246호, 미국특허 제 6,218,090호, 미국특허 제 6,426,127호, 미국특허 제 6,340,556호, 미국특허 제 6,358,670호, 및 미국특허 제 6,255,035호, 미국특허 제 6,607,991호, 미국특허 제 6,551,926호, 미국특허 제 6,548,899호, 미국특허 제 6,489,225호, 및 미국특허 제 6,582,777호.
또한 모든 목적들에 대해서 본원에 참조에 의해 통합되며, “전자 빔에 의해 낮은 유전상수 막을 경화하기 위한 방법(Method For Curing Low Dielectric Constant Film By Electron Beam)”이라는 제목으로 2002년 11월 22일에 출원된, 미국 특허 출원 번호 제 10/302,375 (AMAT-7625)호에서, E-빔 처리는 보다 충분히 기술된다.
전자 빔들은 일반적으로 약 1 mTorr 내지 약 100 mTorr의 압력에서 생성된다. 전자 빔은 질소, 헬륨, 아르곤, 크세논(xenon)을 포함하는 비활성 기체, 산소를 포함하는 산화 기체, 수소, 수소와 질소의 혼합물, 암모니아를 포함하는 환원(reducing) 기체, 또는 이들 기체들의 임의의 조합을 포함하는 환경에서 형성될 수 있다. 전자 빔 전류는 약 1mA 내지 약 40mA까지의 범위이며, 보다 바람직하게는 약 2mA 내지 약 20mA까지의 범위이다. 전자 빔은 약 4 제곱 인치 내지 약 700 제곱 인치까지의 영역을 커버할 수 있다. e-빔 공정 장치는 약 25℃ 내지 약 450℃까지의 범위, 예를 들면 약 400℃에서 동작한다.
본 발명의 실시예에 따른 e-빔 경화 처리는 500 마이크로쿨롱 퍼 제곱 센티미터(μC/cm2)보다 적은 양으로의 적용(application) 또는 노출을 포함할 수 있으며, 약 20 내지 250 μC/cm2의 사이, 예를 들면 약 150 μC/cm2인 것이 바람직하다. 전자 빔 경화의 에너지는 약 0.5 킬로 일렉트론 볼트(KeV) 내지 약 30 KeV사이의 범위일 수 있으며, 예를 들면 4 KeV와 같이 약 2 KeV 내지 약 10 KeV의 범위이다.
인가되는 전자빔 방사의 양(dosage)은 변화할 수 있다. 예를 들면 약 10-1000μC/cm2 사이의 양(dosage)은 200mm 및 300mm의 기판들 위에 형성된 층들을 경화시킬 수 있다는 것이 관찰되었다.
상술되고 도 6ca-cb에서 도시된 다-단계 경화 공정의 특정 실시예에서, 열 경화는 e-빔 경화에 선행한다. 경화 단계들의 이러한 특정 순서는, 전자빔 방사가 초기 증착 상태의 층 표면과 보다 강하게 상호 작용할 수 있다는 점에서, 이로움을 증명할 수 있고, 이에 의해 크러스트-유사(crust-like) 또는 밀도가 높고 가교(cross-linked) 표면층을 형성하기 위해서 표면의 가교(cross-linking) 반응을 증진한다. 이러한 표면층은 막 안으로 통합된 포로겐―이는 경화 공정 동안 유리 될 것임―이 빠지는 것을 차단하거나 그렇지 않으면 억제한다.
그러나 본 발명에 따른 실시예들은 다-단계 경화 공정―여기서 열 노출이 전자빔 조사에 선행함―을 필요로 하지 않는다. 어떠한 대안적인 실시예들에 따라서, 전자빔 경화는 열 경화에 선행할 수도 있다. 경화 단계들의 이러한 대안적인 순서는, 예를 들면 표면 크러스트의 형성에서의 전자빔 공정 결과들은 원하는 방식으로 물질들을 빼는데 영향을 미치는 것과 같이 이로움을 증명할 수 있다. 또한 경화 단계들의 이러한 대안적인 순서는, 열 경화 단계가 전형적으로 개개의 웨이퍼들에 대한 조사에 이어서 수행될 수 있는 배치(batch) 공정인 것과 같이, 높은 처리량을 유지함에 의해, 이로움을 증명할 수 있다.
또한, 다-단계 경화 공정에서의 각 단계의 상태들은 경화된 막의 원하는 특성들을 얻기 위해 변경될 수 있다. 예를 들면, 열 어닐링 단계에서, 지속 기간과 온도 변화 프로파일은 변경될 수 있다. 또한, 전자 빔 경화 단계에서, 인가된 전자빔 방사의 양(dose), 에너지 및 전류는 제어될 수 있다.
본 발명의 실시예들에 따른 다-단계 경화 공정 동안 변경될 수 있는 다른 상태는, 하나 또는 그 초과의 경화 단계 동안 현존하는 기체질의 환경의 조성을 포함한다. 하나 또는 그 초과의 경화 단계 동안 현존할 수 있는 기체들의 실시예들은 산소 분자(O2), 질소 분자(N2), 수소 분자(H2) 및 헬륨(He)과 같은 희가스들을 포함하나 이에 한정되는 것은 아니다.
그리고 상기 기술이 열과 전자 빔 에너지를 활용하는 다-단계 경화 공정과 관련되어 있다고는 하나, 이것 또한 본 발명에 의해 요구되지 않는다. 어떠한 선택적인 실시예들에 따라서, 다른 상태들 하에서 수행되는 다수의 e-빔 노출 단계들은 증착된 낮은 K 막을 경화하는데 이용될 수 있다.
예를 들면, 처리 동안, e-빔 처리량은 낮은 값에서 높은 값으로 또는 높은 값에서 낮은 값으로 변경될 수 있다. 대안적으로 e-빔 량은 처리 동안 올라가거나 내려갈 수 있다. 유사하게, 캐소드 전압은 처리 동안 올라가거나 내려갈 수 있다.
이중 다마신 구조의 증착
본 발명에 따라서 제조된 바람직한 이중 다마신 구조(dual damascene structure, 500)는 도 7에서 도시되고, 이 구조를 만드는 방법은 도 8a-8h―이는 기판 상에 형성된 발명의 단계들을 갖는 기판의 횡단면도들임―에서 개략적으로 연속되게 도시된다.
나노-기공 금속간 유전체(intermetal dielectric) 층(510)을 포함하는 이중 다마신 구조(500)는 도 7에서 도시된다. 본 발명에 따라서 증착된 금속간 유전체층들(510 및 514)은 3 보다 작은 매우 낮은 유전상수를 가지며, 종종 극저 K 또는 ELK 유전층이라고 지칭된다. 제 1 유전체 층(510)―바람직하게는 본 발명의 나노-기공 실리콘 산화물 층으로 이루어짐―은 기판(502)상에 증착된다. 기판은 콘택 층 기판 물질(504) 내에 형성된 패턴화된 전도성 라인(506)을, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물(silicon oxynitride), 또는 비정질 수소화 실리콘 카바이드(BLOk™), 바람직하게는 실리콘 질화물의, 그 위에 증착된 제 1 (또는 기판) 에치 스톱(etch stop, 508)과 함께 포함한다.
그 후 증착된 유전체 층(510)은 상술된 바와 같은 다수의 단계들에서 어닐링 될 수 있고, 불안정한 작용기들을 유리시키고, 초저 K 물질의 나노-기공들을 형성한다.
실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 수소화 실리콘 카바이드(BLOk™) 제 2 에치 스톱(512)은 제 1 유전체 층(510) 위에 증착된다.
본 발명의 나노-기공 실리콘 산화물 막으로 바람직하게 구성된, 제 2 유전체 층(514)은 제 2 에치 스톱(512) 위에 증착되고, 제 3 에치 스톱(516)은 제 2 유전체 층(514) 위에 증착된다. 또한 증착 후에, 제 2 유전체 층(514)은 그 안의, 물질의 K값을 낮추는 나노-기공들을 생성하기 위해 다수의 단계들에서 어닐링 될 수 있다.
증착된 층들은 비아(via, 520)를 형성하기 위해 에칭되며, 비아는 비아(520) 안에 컨포멀하게 증착된 배리어 층(522) 위로 전도성 금속(524)―바람직하게는 구리―으로 후속적으로 채워진다. 그 후 구조는 평탄화되고, 실리콘 질화물, 실리콘 산화물, 실리콘 산질화물, 또는 수소화 실리콘 카바이드를 포함하는―바람직하게는 실리콘 질화물을 포함하는―캡핑 층(518)은 그 위에 증착된다. 또한 캡핑 층(518)은 기판의 에치 스톱으로 기능하며, 후속 이중 다마신 다층 상호연결을 위한 제 1 에치 스톱(508)에 상응한다.
도 8a에 도시된 것과 같이, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 또는 비정질 수소화 실리콘 카바이드, 바람직하게는 실리콘 질화물으로 된 제 1 (또는 기판) 에치 스톱(508)은 기판(502) 위에 약 1000Å의 두께로 증착된다. 기판(502)은 콘택 층 기판 물질(504) 안에 형성된 패턴화된 전도성 상호연결 또는 라인(506)을 포함한다. 제 1 나노-기공 유전체 층(510)은 본 발명에 따라서 제 1 에치 스톱(508) 위에 증착된다. 제 1 유전체 층(510)은 제조될 구조의 크기에 따라 약 5,000Å 내지 약 10,000Å의 두께를 가지나 약 5,000Å의 두께를 가지는 것이 바람직하다. 그 후 제 1 유전체 층(510)은 상기 층(510)으로부터 휘발성 오염물질들을 제거하기 위해 약 350℃ 내지 약 400℃의 온도에서 어닐링 된다. 제 2 어닐링 단계에서, 본 발명의 실시예에 따라서, 제 1 유전체 층(510)은 e-빔 방사에 노출된다.
실리콘 산질화물과 같은 제 2 에치 스톱(512)은 약 500Å의 두께로 유전체 층(510) 위에 증착된다. 그 후 제 2 나노-기공 유전체 층(514)은 본 발명에 따라서, 제 1 에치 스톱(508) 위에 약 5,000Å 내지 약 10,000Å―바람직하게는 약 5,000Å―의 두께로 증착되고, 그 후 약 350℃ 내지 약 400℃의 온도에서 어닐링 된다. 제 2 어닐링 단계에서 제 2 유전체 층(514)은 e-빔 방사에 노출된다.
실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 비정질 수소화 실리콘 카바이드(BLOk™), 바람직하게는 실리콘 질화물으로 된 제 3 에치 스톱(516)은 제 2 유전체 층(514) 위에 약 500Å 내지 약 1,000Å―바람직하게는 약 1,000Å―의 두께로 증착된다. 약 2000Å의 두께를 갖는 실리콘 산화물 층(517)은 제 3 에치 스톱(516) 위에 증착되고, 단단한 에치 마스크(etch mask) 뿐만 아니라 화학적 기계적 연마(CMP) 단계에서의 미래의 사용을 위한 것 둘 다로 기능한다. 그 후 반사 방지 코팅(anti-reflective coating) (ARC, 519)과, 포토레지스트 층(photoresist layer, 521)을 포함하는 트렌치 포토마스크(trench photomask)는 실리콘 산화물 층(517) 위로 마지막으로(respectfully) 증착된다. 그 다음 포토레지스트 층(521)은 기술 분야에 알려진 일반적인 포토리소그래피 방법으로 패턴 된다.
그 후 실리콘 산화물 층(517)은 기술 분야에 알려진 종래의 수단에 의해―바람직하게는 탄화 플루오르(fluorocarbon) 화학물질을 사용한 에칭 공정에 의해― 에칭되어, 도 8b에 도시된 것과 같이 제 3 에치(516)를 노출시킨다. 실리콘 산화 물 층(517)의 처음의 에치는 이중 다마신 구조(500)의 개구 폭(opening Width) 또는 트렌치 폭을 설정한다. 실리콘 산화물 층(517)에 형성된 개구 폭은 제 2 에치 스톱(514) 위에 형성된 이중 다마신 구조(500)의 수평 상호연결을 정의한다. 그 후 남아있는 포토레지스트(521)는 비아 에치를 준비하기 위해서 재가 되거나(ashed) 건조되어 제거된다. 그 다음, 이중 다마신 구조의 콘택 또는 비아 폭의 형성을 위해서, 제 2 반사 방지 코팅(519) 및 포토레지스트 층(521)은 박막 실리콘 산화물 층(517) 위로 마지막으로 증착되고, 그 다음에 도 8c에 도시된 것과 같이 비아 폭에 의해 제 3 에치 층(516)을 노출시키기 위해 포토리소그래피에 의해 패턴화 된다.
도 8d를 참조하면, 제 3 에치 스톱(516) 과 제 2 유전체 층(514)은 제 2 에치 스톱(512)을 노출시키기 위해 트렌치 에칭된다. 그 후 실리콘 산화물 층(517)에 의해 설정된 폭으로 금속배선 구조(즉, 상호연결과 콘택/비아)를 정의하기 위해, 이방성 에칭 기술들을 사용하여 제 2 에치 스톱(512)까지 제 2 유전체 층(514)을 비아 에칭하여 비아(520)가 형성된다; 그리고 도 8e에 도시된 것과 같이 제 3 에치 스톱(516), 제 2 유전체 층(514) 및 제 2 에치 스톱(512)을 에칭하는 동안 설정된 비아 폭으로 제 1 유전체 층(510)을 제 1 에치 스톱(508)까지 에칭한다. 제 2 에치 스톱(512) 또는 제 2 유전체 층(514)를 패턴하는데 사용되는 임의의 포토레지스트 또는 ARC 물질은 산소 스트립 또는 다른 적당한 공정을 사용하여 제거된다. 도 8f는 기판(502)을 보호하는 제 1 에치 스톱(508)을 에칭하고 콘택 층 기판 물질(504) 안의 아래에 놓여있는 패턴화된 금속 라인(506)을 노출시키는 것을 도시한다. 패턴화된 금속 라인(506)은 구리와 같은 전도성 금속을 포함하는 것이 바람직하다. 그 후 이중 다마신 구조(500)는 후속 층을 증착하기 전에 본 기술분야에 알려진 종래의 수단에 의해 전 세정된다.
그 후 금속배선 구조물은 알루미늄, 구리, 텅스텐 또는 이들의 조합과 같은 전도성 물질로 형성된다. 곧, 트렌드는 구리의 낮은 저항률(알루미늄의 3.1 mW-cm와 비교 할 때 1.7 mW-cm)에 기인하여 더 작은 피쳐들을 형성하는데 구리를 사용하는 것이다. 도 8g에서 도시되듯이, 탄탈룸 질화물(tantalum nitride)과 같은 적당한 배리어 층(522)은, 구리가 주변을 둘러싸는 실리콘 및/또는 유전체 물질 안으로 이동하는 것을 막기 위해, 금속배선 패턴(520)에 컨포멀하게 우선 증착된다. 그 후, 구리 층(524)은 전도성 구조를 형성하기 위해서 화학 기상 증착, 물리 기상 증착, 전기도금(electroplating)―바람직하게는 전기도금― 중 어느 하나를 사용하여 증착된다. 도 8h에서 도시되듯이, 일단 구조가 구리 또는 다른 금속으로 채워지면, 표면은 화학적 기계적 연마를 사용하여 평탄화되고 캡핑 층(518)―이는 실리콘 질화물을 포함하고, 약 1000Å의 두께를 가지는 것이 바람직함―으로 캡핑된다. 표면 평탄화 전에, 채워진 구리를 재결정하고 구조(500) 안에 형성될 수 있는 공동들을 제거하기 위해, 금속은 수소화 대기에서 어닐링 될 수 있다. 도시되지는 않았지만, 구리 층(524)이 전기도금 공정에 의해 증착될 때, 구리 시드(seed) 층은 구리 층(524)에 앞서 증착될 수 있다. 그 다음, 최신 마이크로프로세서 집적 회로가 5 또는 6의 상호연결 레벨을 갖는 것에 비추어, 이중 다마신 형성 공정은 추가의 상호연결 레벨을 증착하기 위해 되풀이될 수 있다.
예시들
다음의 예시들은 분산된 미세한 기체 공동들을 갖는 나노-기공 실리콘 산화물 기반 막의 증착을 설명한다. 이 예시는 화학 기상 증착 챔버-특히 이는 캘리포니아 산타 클라라에 위치한 어플라이드 머티어리얼스 사에서 제조되고 판매된 CENTURA “DLK” 시스템임―를 사용하여 실시될 수 있다.
실리콘 함유 및 열적으로 불안정한 주입 성분(Imparting Component)들을 가지는 실리콘 화합물(가설적)
나노-기공 실리콘 산화물 기반 막은 다음과 같은 반응기 안으로 기화되어 날아가는 반응성 기체들로부터 1.0 Torr의 챔버 압력과 30℃의 온도에서 증착된다:
메틸실릴-2-푸릴 에테르(methylsilyl-2-furyl ether), 150sccm에서
아산화질소(N2O), 1,000sccm에서
챔버에 들어가기 전에, 아산화질소는 2,000W의 마이크로웨이브 에너지를 제공하는 마이크로웨이브 어플리케이터에서 해리된다. 기판은 기체 분배 샤워헤드로부터 600mil에 위치되고, 반응성 기체는 2분 동안 유입된다. 그 후 나노-기공 실리콘 산화물 기반 막을 경화하고 어닐링 하기 위해, 기판은 1분에 50℃씩 기판의 온도를 상승시켜 온도가 400℃에 이를 때까지 5분의 시간 주기 이상 가열된다.
실리콘 함유 화합물 및 추가된 열적으로 불안정한 주입 화합물의 혼합물(가설적)
나노-기공 실리콘 산화물 기반 막은 다음과 같은 반응기 안으로 기화되어 날아가는 반응성 기체들로부터 1.0 Torr의 챔버 압력과 30℃의 온도에서 증착된다:
씨클로-1,3,5,7-테트라실릴렌-2,6-디옥시-4,8 디메틸렌
(cyclo-1,3,5,7-tetrasilylene-2,6-dioxy-4,8 dimethylene), 100sccm에서
비닐-2-푸릴 에테르(vinyl-2-furyl ether), 50sccm에서
아산화질소(N2O), 1,000scmm에서
챔버에 들어가기 전에, 아산화질소는 2,000W의 마이크로웨이브 에너지를 제공하는 마이크로웨이브 어플리케이터에서 해리된다. 기판은 기체 분배 샤워헤드로부터 600mil에 위치되고, 반응성 기체는 2분 동안 유입된다. 그 후 나노-기공 실리콘 산화물 기반 막을 경화하고 어닐링 하기 위해, 기판은 1분에 50℃씩 기판의 온도를 상승시켜 온도가 400℃에 이를 때까지 5분의 시간 주기 이상 가열된다.
실리콘 함유 및 열적으로 불안정한 주입 성분들과 추가된 실리콘 함유 화합물을 갖는 실리콘 화합물(가설적)
나노-기공 실리콘 산화물 기반 막은 다음과 같은 반응기 안으로 기화되어 날아가는 반응성 기체들로부터 1.0Torr의 챔버 압력과 0℃의 온도에서 증착된다:
메틸실릴-2-푸릴 에테르, 100sccm에서
씨클로-1,3,5,7-테트라실릴렌-2,6-디옥시-4,8 디메틸렌, 50sccm에서
아산화질소, 1,000sccm에서
챔버에 들어가기 전에, 아산화질소는 2,000W의 마이크로웨이브 에너지를 제공하는 마이크로웨이브 어플리케이터에서 해리된다. 기판은 기체 분배 샤워헤드로부터 600mil에 위치되고, 반응성 기체는 2분 동안 유입된다. 그 후 나노-기공 실리콘 산화물 기반 막을 경화하고 어닐링 하기 위해, 기판은 1분에 50℃씩 기판의 온도를 상승시켜 온도가 400℃에 이를 때까지 5분의 시간 주기 이상 가열된다.
상기 전술한 것은 본 발명의 특정 실시예들에 대한 완전한 설명일지라도, 다양한 개량, 변형 및 대체가 이용될 수 있다. 이들의 균등물들과 대체물들은 본 발명의 범위에 포함된다. 그러므로 본 발명의 범위는 기술한 실시예들에 한정되지 않으며, 다음의 청구항들과 이들의 균등물들의 최대 범위에 의해 정의된다.

Claims (19)

  1. 탄소-도핑된 실리콘 산화물 막을 증착하는 방법으로서,
    20㎫ 이하의 응력을 가지는 탄소-도핑된 실리콘 산화물 층을 증착하기 위해, 플라즈마의 존재에서 탄소 함유 전구체(precursor)와 실리콘 함유 전구체를 혼합하는 단계 ― 초기 증착 상태의(as deposited) 상기 탄소-도핑된 실리콘 산화물 막은 포로겐(porogen)을 포함함 ―
    를 포함하고, 상기 방법은,
    상기 포로겐을 유리(liberate)시키기 위해 상기 초기 증착 상태의 탄소-도핑된 실리콘 산화물 막을 어닐링하는 단계를 더 포함하는,
    탄소-도핑된 실리콘 산화물 막을 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 혼합하는 단계는 2 내지 10 Torr의 압력에서 수행되는,
    탄소-도핑된 실리콘 산화물 막을 증착하는 방법.
  3. 제 1 항에 있어서,
    상기 혼합하는 단계는 300 내지 1000mils의 웨이퍼-대-면판 간격(wafer-to-faceplate spacing)으로 수행되는,
    탄소-도핑된 실리콘 산화물 막을 증착하는 방법.
  4. 제 1 항에 있어서,
    상기 플라즈마는 200 내지 1500W의 인가된 RF 전력에 의해 지속되는,
    탄소-도핑된 실리콘 산화물 막을 증착하는 방법.
  5. 제 1 항에 있어서,
    상기 혼합하는 단계는 300℃ 미만의 온도에서 수행되는,
    탄소-도핑된 실리콘 산화물 막을 증착하는 방법.
  6. 제 1 항에 있어서,
    상기 포로겐은 선형 유기 분자를 포함하는,
    탄소-도핑된 실리콘 산화물 막을 증착하는 방법.
  7. 제 1 항에 있어서,
    상기 포로겐은 환형(cyclic) 유기 분자를 포함하는,
    탄소-도핑된 실리콘 산화물 막을 증착하는 방법.
  8. 제 1 항에 있어서,
    상기 어닐링하는 단계는 상기 초기 증착 상태의 탄소-도핑된 실리콘 산화물 막에 열 에너지를 인가하는 단계를 포함하는,
    탄소-도핑된 실리콘 산화물 막을 증착하는 방법.
  9. 삭제
  10. 제 1 항에 있어서,
    상기 어닐링하는 단계는 상기 초기 증착 상태의 탄소-도핑된 실리콘 산화물 막에 전자 빔을 인가하는 단계를 포함하는,
    탄소-도핑된 실리콘 산화물 막을 증착하는 방법.
  11. 제 10 항에 있어서,
    상기 탄소-도핑된 실리콘 산화물 막은 상기 전자 빔의 인가 이후에 3.0 이하의 유전상수를 나타내는,
    탄소-도핑된 실리콘 산화물 막을 증착하는 방법.
  12. 상호연결 금속배선(interconnect metallization) 구조물로서,
    제 1 금속배선층(metallization layer);
    상기 제 1 금속배선층 위에 놓이는 탄소-도핑된 실리콘 산화물층 ― 상기 탄소-도핑된 실리콘 산화물층은 20 ㎫ 이하의 응력을 나타내고, 플라즈마의 존재에서 탄소 함유 전구체와 실리콘 함유 전구체의 조합으로 상기 탄소-도핑된 실리콘 산화물층에 증착된 포로겐들의 유리(liberation)에 기인하는 다수의 나노기공들(nanopores)을 포함함 ―; 및
    상기 탄소-도핑된 실리콘 산화물층 위에 놓이는 제 2 금속배선층
    을 포함하는, 상호연결 금속배선 구조물.
  13. 삭제
  14. 제 12 항에 있어서,
    상기 제 1 및 제 2 금속배선층 중 적어도 하나는 구리 및 알루미늄으로 구성된 그룹으로부터 선택되는,
    상호연결 금속배선 구조물.
  15. 제 12 항에 있어서,
    상기 탄소-도핑된 실리콘 산화물층은 3 이하의 유전상수 K를 나타내는,
    상호연결 금속배선 구조물.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
KR1020077006747A 2004-08-24 2005-08-24 플라즈마-강화 화학 기상 증착〔pecvd〕에 의한 낮은 응력을 갖는 낮은-k 유전체를 생성하기 위한 저온 공정 KR101221582B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US60431604P 2004-08-24 2004-08-24
US60/604,316 2004-08-24
US11/149,826 US7422776B2 (en) 2004-08-24 2005-06-10 Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US11/149,826 2005-06-10
PCT/US2005/030302 WO2006024017A1 (en) 2004-08-24 2005-08-24 Low temperature process to produce low-k dielectrics with low stress by plasma-enhanced chemical vapor deposition (pecvd)

Publications (2)

Publication Number Publication Date
KR20070057857A KR20070057857A (ko) 2007-06-07
KR101221582B1 true KR101221582B1 (ko) 2013-01-14

Family

ID=35542287

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077006747A KR101221582B1 (ko) 2004-08-24 2005-08-24 플라즈마-강화 화학 기상 증착〔pecvd〕에 의한 낮은 응력을 갖는 낮은-k 유전체를 생성하기 위한 저온 공정

Country Status (5)

Country Link
US (1) US7422776B2 (ko)
KR (1) KR101221582B1 (ko)
CN (1) CN101065834B (ko)
TW (1) TWI332240B (ko)
WO (1) WO2006024017A1 (ko)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734533B2 (en) * 2002-05-30 2004-05-11 Intel Corporation Electron-beam treated CDO films
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7217648B2 (en) * 2004-12-22 2007-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Post-ESL porogen burn-out for copper ELK integration
US7501354B2 (en) * 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7378318B2 (en) * 2005-08-18 2008-05-27 International Business Machines Corporation System and method for ensuring migratability of circuits by masking portions of the circuits while improving performance of other portions of the circuits
US7381659B2 (en) * 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
JP2008010441A (ja) * 2006-06-27 2008-01-17 Toshiba Corp シリコン酸化膜の形成方法
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US7723226B2 (en) * 2007-01-17 2010-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnects containing bilayer porous low-k dielectrics using different porogen to structure former ratio
WO2008091900A1 (en) * 2007-01-26 2008-07-31 Applied Materials, Inc. Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
US7670924B2 (en) * 2007-01-29 2010-03-02 Applied Materials, Inc. Air gap integration scheme
US8084357B2 (en) * 2007-04-11 2011-12-27 United Microelectronics Corp. Method for manufacturing a dual damascene opening comprising a trench opening and a via opening
US20090283310A1 (en) * 2007-04-11 2009-11-19 Wei-Chih Chen Multi cap layer and manufacturing method thereof
US7858532B2 (en) * 2007-08-06 2010-12-28 United Microelectronics Corp. Dielectric layer structure and manufacturing method thereof
US8092861B2 (en) 2007-09-05 2012-01-10 United Microelectronics Corp. Method of fabricating an ultra dielectric constant (K) dielectric layer
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US9778562B2 (en) * 2007-11-21 2017-10-03 Canon Nanotechnologies, Inc. Porous template and imprinting stack for nano-imprint lithography
US20090324849A1 (en) * 2007-12-28 2009-12-31 Varian Semiconductor Equipement Associates, Inc. Method for sealing pores in a porous substrate
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
WO2011094317A2 (en) * 2010-01-26 2011-08-04 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US20110189329A1 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-Compliant Nanoimprint Lithography Template
US9028924B2 (en) * 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
TWI403612B (zh) * 2011-04-22 2013-08-01 Archers Inc 電漿輔助式化學氣相沉積裝置
KR20170124621A (ko) * 2011-12-20 2017-11-10 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
KR102025441B1 (ko) 2012-04-06 2019-09-25 노벨러스 시스템즈, 인코포레이티드 증착 후 소프트 어닐링
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9490163B2 (en) * 2012-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company Limited Tapered sidewall conductive lines and formation thereof
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
CN103077884B (zh) * 2013-01-14 2015-09-30 武汉新芯集成电路制造有限公司 一种解决薄膜剥落的方法
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
TW201535513A (zh) 2014-02-18 2015-09-16 Applied Materials Inc 介電常數減少且機械性質強化的低k介電層
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US20170125241A1 (en) * 2015-10-30 2017-05-04 Applied Materials, Inc. Low temp single precursor arc hard mask for multilayer patterning application
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
WO2018187546A1 (en) 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US11177128B2 (en) * 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10991573B2 (en) * 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN109119574B (zh) * 2018-08-15 2021-06-18 苏州大学 基于交联与线形聚合物的多孔性锂离子电池隔膜及其制备方法与应用
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
CN110158052B (zh) 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
US11289369B2 (en) 2019-06-08 2022-03-29 Applied Materials, Inc. Low-k dielectric with self-forming barrier layer
CN110797255B (zh) * 2019-10-14 2022-10-28 长江存储科技有限责任公司 薄膜堆叠结构、三维存储器及其制备方法
US11990369B2 (en) 2021-08-20 2024-05-21 Applied Materials, Inc. Selective patterning with molecular layer deposition
WO2023064773A1 (en) * 2021-10-13 2023-04-20 Versum Materials Us, Llc Alkoxysilanes and dense organosilica films made therefrom

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6663973B1 (en) * 1997-10-24 2003-12-16 Canon, Usa, Inc. Low dielectric constant materials prepared from photon or plasma assisted chemical vapor deposition and transport polymerization of selected compounds
KR20040068586A (ko) * 2001-12-14 2004-07-31 어플라이드 머티어리얼스, 인코포레이티드 다마신 분야에서 유전체 재료를 증착하는 방법

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5003128A (en) 1988-11-08 1991-03-26 Yvan Grondin Electrical switch and outlets protecting cover for painting
US5468595A (en) 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
US6607991B1 (en) 1995-05-08 2003-08-19 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US6042994A (en) 1998-01-20 2000-03-28 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6177143B1 (en) 1999-01-06 2001-01-23 Allied Signal Inc Electron beam treatment of siloxane resins
US6218090B1 (en) 1999-03-17 2001-04-17 Electron Vision Corporation Method of creating controlled discontinuity between photoresist and substrate for improving metal lift off
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6150070A (en) 1999-03-17 2000-11-21 Alliedsignal Inc. Method of creating optimal profile in single layer photoresist
US6255035B1 (en) 1999-03-17 2001-07-03 Electron Vision Corporation Method of creating optimal photoresist structures used in the manufacture of metal T-gates for high-speed semiconductor devices
US6195246B1 (en) 1999-03-30 2001-02-27 Electron Vision Corporation Electrostatic chuck having replaceable dielectric cover
US6551926B1 (en) 1999-06-09 2003-04-22 Electron Vision Corporation Electron beam annealing of metals, alloys, nitrides and silicides
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6319655B1 (en) 1999-06-11 2001-11-20 Electron Vision Corporation Modification of 193 nm sensitive photoresist materials by electron beam exposure
US6489225B1 (en) 1999-06-11 2002-12-03 Electron Vision Corporation Method for controlling dopant profiles and dopant activation by electron beam processing
US6340556B1 (en) 1999-08-04 2002-01-22 Electron Vision Corporation Tailoring of linewidth through electron beam post exposure
EP1077477B1 (en) * 1999-08-17 2008-12-17 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
ATE414326T1 (de) 1999-08-17 2008-11-15 Applied Materials Inc Methode und apparat zur verbesserung der eigenschaften eines niedrig-k si-o-c filmes
US6271146B1 (en) 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6407399B1 (en) 1999-09-30 2002-06-18 Electron Vision Corporation Uniformity correction for large area electron source
US6358670B1 (en) 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6426127B1 (en) 1999-12-28 2002-07-30 Electron Vision Corporation Electron beam modification of perhydrosilazane spin-on glass
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6511923B1 (en) * 2000-05-19 2003-01-28 Applied Materials, Inc. Deposition of stable dielectric films
US6790789B2 (en) 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6632478B2 (en) * 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6806203B2 (en) * 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
CN1204605C (zh) * 2002-06-19 2005-06-01 台湾积体电路制造股份有限公司 形成低介电常数材料的方法及产品
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
EP1420439B1 (en) 2002-11-14 2012-08-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US20040124420A1 (en) * 2002-12-31 2004-07-01 Lin Simon S.H. Etch stop layer
US6914014B2 (en) * 2003-01-13 2005-07-05 Applied Materials, Inc. Method for curing low dielectric constant film using direct current bias
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20040253378A1 (en) 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US7148154B2 (en) 2003-08-20 2006-12-12 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and low film stress
US7094713B1 (en) * 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7166531B1 (en) * 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7135402B2 (en) * 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6663973B1 (en) * 1997-10-24 2003-12-16 Canon, Usa, Inc. Low dielectric constant materials prepared from photon or plasma assisted chemical vapor deposition and transport polymerization of selected compounds
KR20040068586A (ko) * 2001-12-14 2004-07-31 어플라이드 머티어리얼스, 인코포레이티드 다마신 분야에서 유전체 재료를 증착하는 방법

Also Published As

Publication number Publication date
US20060043591A1 (en) 2006-03-02
TW200610054A (en) 2006-03-16
US7422776B2 (en) 2008-09-09
WO2006024017A1 (en) 2006-03-02
TWI332240B (en) 2010-10-21
KR20070057857A (ko) 2007-06-07
CN101065834A (zh) 2007-10-31
CN101065834B (zh) 2010-12-08

Similar Documents

Publication Publication Date Title
KR101221582B1 (ko) 플라즈마-강화 화학 기상 증착〔pecvd〕에 의한 낮은 응력을 갖는 낮은-k 유전체를 생성하기 위한 저온 공정
JP4723565B2 (ja) 低誘電率ナノ細孔性膜の多段階硬化
JP4918190B2 (ja) 非常に低い誘電率プラズマ強化cvd膜
KR101141459B1 (ko) 하부 배리어 층에 저 유전체 상수 (k) 다공성 막의 부착을촉진하는 기술
US20050227502A1 (en) Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
KR100954258B1 (ko) 실리콘 및 유기 전구체를 이용하여 pecvd 공정에서의가스상 반응을 감소시켜 결함이 없는 초기 층을 증착하는방법
US7501354B2 (en) Formation of low K material utilizing process having readily cleaned by-products

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190102

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20200102

Year of fee payment: 8