KR101198100B1 - 상변화 물질층 패턴의 형성 방법, 상변화 메모리 장치의제조 방법 및 이에 사용되는 상변화 물질층 연마용 슬러리조성물 - Google Patents

상변화 물질층 패턴의 형성 방법, 상변화 메모리 장치의제조 방법 및 이에 사용되는 상변화 물질층 연마용 슬러리조성물 Download PDF

Info

Publication number
KR101198100B1
KR101198100B1 KR1020070128365A KR20070128365A KR101198100B1 KR 101198100 B1 KR101198100 B1 KR 101198100B1 KR 1020070128365 A KR1020070128365 A KR 1020070128365A KR 20070128365 A KR20070128365 A KR 20070128365A KR 101198100 B1 KR101198100 B1 KR 101198100B1
Authority
KR
South Korea
Prior art keywords
phase change
material layer
change material
slurry composition
polishing
Prior art date
Application number
KR1020070128365A
Other languages
English (en)
Other versions
KR20090061374A (ko
Inventor
김종영
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020070128365A priority Critical patent/KR101198100B1/ko
Priority to US12/292,842 priority patent/US7682976B2/en
Priority to JP2008314555A priority patent/JP5468769B2/ja
Publication of KR20090061374A publication Critical patent/KR20090061374A/ko
Application granted granted Critical
Publication of KR101198100B1 publication Critical patent/KR101198100B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/066Shaping switching materials by filling of openings, e.g. damascene method
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8825Selenides, e.g. GeSe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

상변화 물질층 패턴의 형성 방법, 상변화 메모리 장치의 제조 방법 및 이에 사용되는 상변화 물질층 연마용 슬러리 조성물에 있어서, 기판 상에 리세스를 갖는 절연막을 형성한 다음, 절연막 상에 리세스를 채우면서 상변화 물질층을 형성한다. 절연막과 상변화 물질층 사이의 제1 연마 선택비를 가지는 제1 슬러리 조성물을 적용하여 상변화 물질층에 대한 제1 연마 공정을 수행한 후에, 제1 연마 선택비보다 낮은 제2 연마 선택비를 가지는 제2 슬러리 조성물을 적용하여 상변화 물질층에 대한 제2 연마 공정을 수행하여 리세스를 채우는 상변화 물질층 패턴을 형성한다. 이에 따라, 상변화 물질층 패턴의 상부에 리세스가 발생하거나 표면 산화막이 형성되는 것을 억제하여 상변화 메모리 장치의 불량 발생을 현저히 감소시킬 수 있다.

Description

상변화 물질층 패턴의 형성 방법, 상변화 메모리 장치의 제조 방법 및 이에 사용되는 상변화 물질층 연마용 슬러리 조성물{METHOD OF FORMING A PHASE-CHANGE MATERIAL LAYER PATTERN, METHOD OF MANUFACTURING A PHASE-CHANGE MEMORY DEVICE AND SLURRY COMPOSITION USED FOR THE METHODS}
본 발명은 상변화 물질층 패턴의 형성 방법, 상변화 메모리 장치의 제조 방법 및 이에 사용되는 상변화 물질층 연마용 슬러리 조성물에 관한 것이다. 보다 상세하게는, 화학적 기계적 연마 공정을 이용한 상변화 물질층 패턴의 형성 방법, 상변화 메모리 장치의 제조 방법 및 이에 사용되는 상변화 물질층 연마용 슬러리 조성물에 관한 것이다.
일반적으로 반도체 메모리 장치는 전원 공급이 중단되는 경우에 저장된 데이터의 유지 여부에 따라 DRAM 장치 또는 SRAM 장치와 같은 휘발성 반도체 메모리 장치와, 플래시 메모리 장치 또는 EEPROM 장치와 같은 불휘발성 반도체 메모리 장치로 구분될 수 있다. 디지털 카메라, 휴대폰 또는 MP3 플레이어와 같은 전자기기에 사용되는 반도체 메모리 장치로는 불휘발성 메모리 장치인 플래시 메모리 장치가 주로 사용되고 있다. 그러나 플래시 메모리 장치는 데이터를 기록하거나 기록된 데 이터를 읽는 과정에서 비교적 많은 시간이 요구되기 때문에, 이러한 플래시 메모리 장치를 대체하기 위하여 MRAM 장치, FRAM 장치 또는 PRAM 장치와 같은 새로운 반도체 장치가 개발되었다.
PRAM 장치는 통상적으로 칼코겐(chalcogenide) 화합물의 상전이(phase transition)에 의한 비정질 상태와 결정 상태간의 저항의 차이를 이용하여 데이터를 저장한다. PRAM 장치는 인가된 펄스의 진폭과 길이에 따라 칼코겐 화합물인 게르마늄-안티몬-텔루르(Ge-Sb-Te; GST)로 이루어진 상변화 물질층의 가역적 상변화(reversible phase transition)를 이용하여 데이터를 "0" 과 "1"의 상태로 저장한다. 구체적으로, 저항이 큰 비정질 상태로의 전환에 요구되는 리셋(reset) 전류와 저항이 작은 결정 상태로 바꾸는 셋(set) 전류는 상변화 물질층 아래에 위치하는 트랜지스터로부터 하부 전극을 거쳐 상변화 물질층으로 전달되어 상변화가 일어난다. 이러한 종래의 PRAM 장치 및 그 제조 방법은 미국 특허 제5,166,758호, 미국 특허 제5,825,046호, 대한민국 공개특허 제2004-100499호 및 대한민국 공개특허 제2003-81900호 등에 개시되어 있다.
전술한 종래의 문헌들에 개시되어 있는 PRAM 장치의 제조 방법에 있어서, 데이터가 저장되는 상변화 물질층 패턴은 칼코켄 화합물을 이용한 막 증착 공정으로 상변화 물질층을 형성한 후에 상기 상변화 물질층을 건식 식각 공정으로 식각하여 형성된다. 그러나 상변화 물질층을 건식 식각 공정으로 패터닝하는 동안 상변화 물질층에 식각 손상이 심하게 발생함으로써, 상변화 물질층의 손상된 부위에서 데이터의 저장에 오류가 발생하는 등의 PRAM 장치의 불량이 유발되는 문제가 일어날 수 있다.
상기와 같은 상변화 물질층의 식각 손상을 방지하기 위하여, 다마신 공정 및 연마 공정을 이용하여 고립된 구조의 홀을 채워서 상변화 물질층 패턴을 형성하는 방법이 개발되어 왔다. 예를 들어, 일본 공개특허 제2005-32855호에는 산성 실리카 슬러리를 이용하여 상변화 물질층을 연마하는 공정이 개시되어 있다. 그러나 종래에 사용되어 온 슬러리 조성물은 상변화 물질층 상부에 두껍게 표면 산화막을 형성하고, 상변화 물질층 내부로 산소가 용이하게 이동하여 상전이에 필요한 전기적 특성의 열화를 유발할 수 있다. 또한, 게르마늄-안티몬-텔루르와 같은 상변화 물질은 원소별로 산화제를 비롯한 슬러리 조성물의 성분에 대한 반응성이 달라서 연마 공정 후에 남아있는 상변화 물질층이 위치에 따라 성분이 불균일하게 변화함으로써, 상변화 메모리 장치의 상전이 특성이 저하되는 문제가 발생할 수 있다.
따라서 본 발명의 목적은 향상된 전기적 특성을 지니는 상변화 물질층 패턴의 형성 방법을 제공하는데 있다.
본 발명의 다른 목적은 상술한 상변화 물질층 패턴의 형성 방법을 이용하여 상변화 메모리 장치의 제조 방법을 제공하는데 있다.
본 발명의 또 다른 목적은 상술한 상변화 메모리 장치의 제조 방법에 사용되는 상변화 물질층 연마용 슬러리 조성물을 제공하는데 있다.
상술한 본 발명의 일 목적을 달성하기 위하여, 본 발명의 실시예들에 따른 상변화 물질층 패턴의 형성 방법에 있어서, 기판 상에 리세스를 갖는 절연막을 형성한 다음, 상기 절연막 상에 상기 리세스를 채우면서 상변화 물질층을 형성한다. 상기 절연막과 상기 상변화 물질층 사이의 제1 연마 선택비를 가지는 제1 슬러리 조성물을 적용하여 상기 상변화 물질층에 대한 제1 연마 공정을 수행한 후에, 상기 제1 연마 선택비보다 낮은 제2 연마 선택비를 가지는 제2 슬러리 조성물을 적용하여 상기 상변화 물질층에 대한 제2 연마 공정을 수행하여 상기 리세스를 채우는 상변화 물질층 패턴을 형성한다.
본 발명의 실시예에 있어서, 상기 제1 연마 선택비는 약 1:5 내지 약 1:1,000의 범위일 수 있다. 또한, 상기 제2 연마 선택비는 약 1:0.5 내지 약 1:2의 범위일 수 있다.
본 발명의 실시예들에 있어서, 상기 제2 연마 공정을 수행하는 단계는 상기 제1 슬러리 조성물이 상기 상변화 물질층의 상부 표면을 산화시켜 형성되는 표면 산화막을 제거하는 단계를 더 포함할 수 있다.
본 발명의 실시예들에 있어서, 상기 제1 슬러리 조성물은 무기 연마제, 산화제, 유기산 및 용매를 포함할 수 있다. 또한, 상기 제2 슬러리 조성물은 무기 연마제, 산화제, 유기산, 아졸 화합물 및 용매를 포함할 수 있다.
본 발명의 일 실시예에 있어서, 상기 제1 슬러리 조성물은 무기 연마제를 약 0.1 내지 약 2중량%의 범위로 포함하고, 상기 제2 슬러리 조성물은 무기 연마제를 약 2.1 내지 약 5중량%의 범위로 포함할 수 있다.
본 발명의 다른 실시예에 있어서, 상기 제1 슬러리 조성물은 산화제를 0.12 내지 5중량%의 범위로 포함하고, 상기 제2 슬러리 조성물은 산화제를 0.01 내지 0.5중량%의 범위로 포함할 수 있다.
본 발명의 또 다른 실시예에 있어서, 상기 제1 슬러리 조성물은 상기 절연막에 대한 연마 속도가 1 내지 250Å/min의 범위이고, 상기 제2 슬러리 조성물은 상기 절연막에 대한 연마 속도가 300 내지 1,000Å/min의 범위일 수 있다. 또한, 상기 제1 슬러리 조성물은 상기 상변화 물질층에 대한 연마 속도가 1,000 내지 100,000Å/min의 범위이고, 상기 제2 슬러리 조성물은 상기 상변화 물질층에 대한 연마 속도가 150 내지 1,000Å/min의 범위일 수 있다.
상술한 본 발명의 다른 목적을 달성하기 위하여, 본 발명의 실시예들에 따른 상변화 메모리 장치의 제조 방법에서는, 기판 상에 하부 전극을 형성하고, 상기 하 부 전극 상에 절연막을 형성한다. 상기 절연막을 부분적으로 식각하여 상기 하부 전극을 노출시키는 콘택홀을 형성한 후에, 상기 콘택홀을 채우면서 상기 절연막 상에 상변화 물질층을 형성한다. 상기 절연막과 상기 상변화 물질층 사이의 제1 연마 선택비를 가지는 제1 슬러리 조성물을 이용하여 상기 상변화 물질층에 대한 제1 연마 공정을 수행한 다음, 상기 제1 연마 선택비보다 낮은 제2 연마 선택비를 가지는 제2 슬러리 조성물을 이용하여 상기 상변화 물질층에 대한 제2 연마 공정을 수행하여 상기 콘택홀을 채우는 상변화 물질층 패턴을 형성한다. 상기 상변화 물질층 패턴 상에 상부 전극을 형성하여 상변화 메모리 장치를 제조한다.
또한, 상술한 본 발명의 또 다른 목적을 달성하기 위하여, 본 발명의 실시예들에 따른 상변화 물질층 연마용 슬러리 조성물은 무기 연마제 2.1 내지 5중량%, 산화제 0.01 내지 0.5중량%, 유기산 0.1 내지 5중량%, 아졸 화합물 0.01 내지 10중량% 및 여분의 용매를 포함하고, 상변화 메모리 장치의 상변화 물질층을 연마하는데 사용된다.
상술한 본 발명의 실시예들에 따른 상변화 물질층 패턴의 형성 방법은 고선택비의 슬러리 조성물을 이용하여 제1 연마 공정을 수행한 후에 저선택비의 슬러리 조성물을 이용하여 제2 연마 공정을 수행한다. 이에 따라, 고선택비의 슬러리 조성물을 이용한 한 차례의 연마 공정을 수행하는 경우에 비하여, 상변화 물질층 패턴의 상부에 의도되지 않은 리세스가 발생하는 것을 억제할 수 있다. 또한, 저선택비의 슬러리 조성물만을 이용하는 경우에는 상변화 물질층의 연마 속도가 낮아서 연 마 효율이 떨어지는 문제가 있으나, 고선택비의 슬러리 조성물과 저선택비의 슬러리 조성물을 함께 사용함으로써 연마 공정의 효율을 현저히 개선할 수 있다.
또한, 고선택비 슬러리 조성물만을 사용하는 경우에는 산화막과 같은 절연막의 연마 속도가 낮아 상변화 물질층 패턴의 상부에 두꺼운 표면 산화막이 형성되고 상변화 물질층 내부로 산소가 확산되어 상전이에 관련되는 전기적 특성이 저하될 수 있다. 그러나 본 발명의 실시예들에 따른 상변화 물질층의 형성 방법에서는, 산화막의 제거 속도가 상대적으로 빠른 저선택비 슬러리 조성물을 이용하여 제2 연마 공정을 추가적으로 수행함으로써, 제1 연마 공정에서 형성된 상변화 물질층 상부의 표면 산화막을 제거하는 동시에 표면 산화막의 형성을 효과적으로 억제할 수 있다. 이에 따라, 표면 산화막의 형성에 따른 상변화 물질층의 전기적 특성의 저하 및 상변화 메모리 장치의 불량 발생을 크게 감소시킬 수 있다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예들에 따른 상변화 물질층 패턴의 형성 방법, 상변화 메모리 장치의 제조 방법 및 이에 사용되는 상변화 물질층 연마용 슬러리 조성물에 대하여 상세하게 설명한다. 그러나 본 발명이 하기의 실시예들에 제한되는 것은 아니며, 해당 분야에서 통상의 지식을 가진 자라면 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 본 발명을 다양한 다른 형태로 구현할 수 있을 것이다.
본 발명에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용되는 것으로, 본 발명을 제한하는 의도로 사용되는 것은 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함하고, "포함하다" 또는 "이루어지다" 등의 용어는 명세서 상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다. 다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 가지고 있다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥상 가지는 의미와 일치하는 의미를 가지는 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.
첨부된 도면에 있어서, 기판, 층(막), 영역, 패드, 패턴들 또는 구조물들 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다. 본 발명에 있어서, 각 층(막), 영역, 전극, 패드, 패턴 또는 구조물들이 기판, 각 층(막), 영역, 전극, 패드 또는 패턴들의 "상에", "상부에" 또는 "하부"에 형성되는 것으로 언급되는 경우에는 각 층(막), 영역, 전극, 패드, 패턴 또는 구조물들이 직접 기판, 각 층(막), 영역, 패드 또는 패턴들 위에 형성되거나 아래에 위치하는 것을 의미하거나, 다른 층(막), 다른 영역, 다른 패드, 다른 전극, 다른 패턴 또는 다른 구조물들이 기판 상에 추가적으로 형성될 수 있다. 또한, 물질, 층(막), 영역, 패드, 전극, 패턴, 구조물, 공정들이 "제1", "제2" 및/또는 "제3"으로 언급되 는 경우, 이러한 부재들을 한정하기 위한 것이 아니라 단지 각 물질, 층(막), 영역, 전극, 패드, 패턴, 구조물 및 공정들을 구분하기 위한 것이다. 따라서 "제1", "제2" 및/또는 "제3"은 각 물질, 층(막), 영역, 전극, 패드, 패턴, 구조물 및 공정들에 대하여 각기 선택적으로 또는 교환적으로 사용될 수 있다.
상변화 물질층 패턴의 형성 방법
도 1은 본 발명의 실시예들에 따른 상변화 물질층 패턴의 형성 방법을 설명하기 위한 공정 흐름도이고, 도 2a 내지 도 2c는 본 발명의 실시예들에 따른 상변화 물질층 패턴의 형성 방법을 설명하기 위한 단면도들이다.
도 1 및 도 2a를 참조하면, 기판(100) 상에 리세스(110)를 구비하는 절연막(105)을 형성한다(S10). 기판(100)은 반도체 기판 또는 금속 산화물 단결정 기판을 포함한다. 예를 들면, 기판(100)은 실리콘 웨이퍼, SOI 기판, 알루미늄 산화물 단결정 기판 또는 스트론튬 티타늄 산화물 단결정 기판을 포함할 수 있다.
본 발명의 실시예들에 있어서, 기판(100)에는 소정의 불순물이 주입되어 형성되는 콘택 영역(도시되지 않음)이 형성될 수 있다. 또한, 기판(100) 상에는 도전막 패턴, 절연막 패턴, 패드, 전극, 스페이서, 게이트 구조물 및/또는 트랜지스터를 포함하는 하부 구조물(도시되지 않음)이 형성될 수 있다.
절연막(105)은 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물 등과 같은 절연 물질을 이용하여 형성할 수 있다. 절연막(105)을 형성하는데 사용되는 실리콘 산화물의 예로는 BPSG(borophosphosilicate glass), PSG(phosphosilicate glass), USG(undoped silicate glass), SOG(spin-on-glass), TEOS(tetraethyl orthosilicate), PE-TEOS (plasma-enhanced tetraethyl orthosilicate), HDP-CVD(high density plasma chemical vapor deposition) 산화물 등을 들 수 있다. 또한, 절연막(105)은 화학 기상 증착(CVD) 공정, 저압 화학 기상 증착(LPCVD) 공정, 플라즈마 증대 화학 기상 증착(PECVD) 공정 또는 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 공정을 이용하여 형성될 수 있다.
절연막(105)에 형성되는 리세스(110)는 절연막(105) 상면의 높이가 절연막(105)의 다른 부분에 비해 상대적으로 낮은 부분으로, 리세스(110)는 콘택 영역과 같은 기판(100)의 소정 부위를 노출시키거나 패드와 같은 기판(100) 상의 하부 구조물을 노출시키는 개구 또는 콘택홀을 포함한다. 리세스(110)는 절연막(105)을 부분적으로 식각하여 형성할 수 있다. 예를 들어, 기판(100) 상에 절연막(105)을 형성한 다음, 절연막(105) 상에 포토레지스트 패턴(도시되지 않음)을 형성한다. 상기 포토레지스트 패턴을 식각 마스크로 이용하여 절연막(105)을 부분적으로 식각하여 절연막(105)에 리세스(110)를 형성한다.
다시 도 1 및 도 2a를 참조하면, 리세스(110)를 채우면서 절연막(105) 상에 상변화 물질층(115)을 형성한다(S20). 상변화 물질층(115)은 칼코겐 화합과 같은 상변화 물질을 이용하여 형성된다. 예를 들어, 상변화 물질층(115)은 화학 기상 증착 공정 또는 스퍼터링 공정 등으로 형성할 수 있다. 칼코겐 화합물은 텔루르(Te), 셀레늄(Se), 황(S), 이들의 혼합물 및 이들의 합금을 포함하는 용어로서, 칼코겐 화합물의 예로는 게르마늄-안티몬-텔루르(Ge-Sb-Te; GST), 게르마늄-셀렌-텔루 르(Ge-Se-Te), 주석-셀렌-텔루르(Sn-Se-Te), 주석-안티몬-텔루르(Sn-Sb-Te), 주석-비소-셀렌(Sn-As-Se), 비소-게르마늄-안티몬-텔루르(As-Ge-Sb-Te), 비소-게르마늄-셀렌-텔루르(As-Ge-Se-Te), 게르마늄-안티몬-셀렌-텔루르(Ge-Sb-Se-Te) 등을 들 수 있으나, 이들에 한정되는 것은 아니다.
본 발명의 실시예들에 있어서, 상변화 물질층(115)은 탄소, 질소, 안정화 금속 등으로 도핑된 칼코겐 화합물을 이용하여 형성할 수 있다. 상기 안정화 금속의 예로는 티타늄(Ti), 니켈(Ni), 지르코늄(Zr), 몰리브덴(Mo), 루테늄(Ru), 팔라듐(Pd), 하프늄(Hf), 탄탈륨(Ta), 이리듐(Ir) 또는 백금(Pt) 등을 들 수 있으나, 이들에 한정되는 것은 아니다.
도 1 및 도 2b를 참조하면, 고선택비의 제1 슬러리 조성물을 이용하여 상변화 물질층(115)에 대해 제1 연마 공정을 수행한다(S30).
상기 제1 슬러리 조성물은 절연막(105)에 대한 상변화 물질층(115)의 연마 선택비가 높은 슬러리 조성물로서, 절연막(105)을 연마 정지막으로 사용하여 상변화 물질층(115)을 빠른 속도로 연마하는데 사용된다. 상기 제1 연마 공정에서는 절연막(105)의 상면 위쪽에 형성되어 있는 상변화 물질층(115)의 벌크 부분이 제거되며, 절연막(105)의 상면이 부분적으로 노출될 때까지 혹은 절연막(105)의 상면이 노출되지 않고 상변화 물질층(115)의 일부가 남아있을 때까지 수행할 수 있다.
본 발명의 실시예들에 있어서, 상기 제1 연마 공정에 사용되는 상기 제1 슬러리 조성물은 약 1:5 내지 약 1:1,000의 범위의 연마 선택비(절연막: 상변화 물질층)를 가질 수 있다. 예를 들어, 상기 제1 슬러리 조성물이 약 1:5 미만의 연마 선 택비를 가지는 경우에는, 상변화 물질층(115)의 연마 시간이 길어져 공정 효율이 떨어지고 절연막(105)이 연마 정지막으로서 적절히 기능하지 못할 수 있다. 또한, 제1 슬러리 조성물의 연마 선택비가 약 1:1,000을 초과하는 경우에는, 상변화 물질층(115)의 연마 속도를 정확히 제어하기 어려울 수 있다. 따라서 상기 제1 슬러리 조성물은 약 1:5 내지 약 1:1,000의 연마 선택비를 가지는 것이 바람직하다.
본 발명의 실시예들에 있어서, 상기 제1 연마 공정에 사용되는 상기 제1 슬러리 조성물은 연마제, 산화제, 유기산 및 용매를 포함할 수 있다. 예를 들어, 상기 제1 슬러리 조성물은 연마제 0.1 내지 2중량%, 산화제 0.12 내지 5중량%, 유기산 0.1 내지 5중량% 및 여분의 용매를 포함할 수 있다.
상기 제1 슬러리 조성물에 사용될 수 있는 연마제로는 실리카, 알루미나, 세리아, 지르코니아, 티타니아 또는 이들의 혼합물 등을 들 수 있으나, 이들에 특별히 한정되는 것은 아니다. 또한, 상기 연마제는 평균 입자 크기가 5nm 내지 1,000nm의 범위의 것을 사용할 수 있고, 바람직하게는 10nm 내지 500nm의 범위의 것을 사용할 수 있다.
상기 제1 슬러리 조성물이 연마제를 약 0.1중량% 미만 포함하는 경우, 상변화 물질층(115)의 연마 속도가 저하되어 연마 공정의 효율이 저하될 수 있다. 또한, 상기 연마제의 함량이 약 2중량%를 초과하는 경우에는, 절연막(105)의 연마 속도가 크게 증가하여 절연막(105)을 연마정지막을 사용하기 어려울 수 있다. 따라서 상기 제1 슬러리 조성물은 연마제를 약 0.1 내지 약 2중량%의 범위로 포함하는 것이 바람직하고, 보다 바람직하게는 약 0.1 내지 약 1.8중량%의 범위로 포함할 수 있다.
상기 제1 슬러리 조성물에 사용될 수 있는 산화제의 예로는 과산화수소, 요오드산칼륨(KIO3), 퍼카르보네이트, 벤조일퍼옥사이드, 퍼아세트산, 디-t-부틸 퍼옥사이드, 모노퍼술페이트, 디퍼술페이트, 나트륨퍼옥사이드, 과요오드산, 퍼요오데이트염, 과브롬산, 퍼브로메이트염, 과염소산, 퍼클로레이트염, 과붕산, 퍼보레이트염, 퍼망가네이트 등을 들 수 있으나, 이들에 한정되지 않는다. 또한, 이들은 단독 또는 혼합하여 사용될 수 있다.
상기 제1 슬러리 조성물이 산화제를 약 0.12중량% 미만 포함하는 경우, 상변화 물질층의 연마 속도가 크게 감소되어 제1 연마 공정의 효율이 저하될 수 있다. 따라서 상기 제1 슬러리 조성물이 산화제를 약 0.12중량%이상 포함하는 것이 바람직하고, 보다 바람직하게는 산화제를 약 0.15 내지 5중량%의 범위로 포함할 수 있다.
상기 제1 슬러리 조성물은 카르복시산과 같은 유기산을 포함한다. 유기산은 연마제에 의한 연마 속도를 향상시키고 산화제를 안정화하는 역할을 한다. 상기 제1 슬러리 조성물에 사용될 수 있는 유기산의 예로는 시트르산(citric acid), 말론산(malonic acid), 아세트산(acetic acid), 글루타르산(glutaric acid), 글리콜산(glycolic acid), 포름산(formic acid), 젖산(lactic acid), 말산(malic acid), 말레산(maleic acid), 옥살산(oxalic acid), 프탈산(phthalic acid), 숙신산(succinic acid), 타르타르산(tartaric acid) 등을 들 수 있다. 이들은 단독 또 는 혼합하여 사용될 수 있다. 상기 제1 슬러리 조성물에 포함되는 유기산의 양은 산화제의 안정성 및 연마제의 분산성을 고려하여 적절히 조절될 수 있으며 약 0.1 내지 약 5중량%의 범위로 사용될 수 있다.
상기 제1 슬러리 조성물은 수용성 용매를 포함하며, 예를 들어, 탈이온수를 용매로 포함할 수 있다. 용매의 함량은 연마제, 산화제 등의 농도 및 이들의 분산성을 고려하여 적절히 조절될 수 있으며, 예를 들어, 약 88 내지 98.6중량%의 범위일 수 있다. 또한, 상기 제1 슬러리 조성물은 용매와 함께 pH 조절제를 더 포함할 수 있다. 상기 pH 조절제로는 황산, 염산, 인산, 질산 등과 같은 무기산, 카르복시산과 같은 유기산, 수산화암모늄, 수산화칼륨, 수산화나트륨 등과 같은 염기성 용액을 사용할 수 있다. 예를 들어, 상기 제1 슬러리 조성물은 약 2.0 내지 약 6.0의 pH를 가질 수 있다.
본 발명의 실시예들에 있어서, 상술한 성분으로 이루어지는 제1 슬러리 조성물은 산화막, 산질화막, 질화막 등과 같은 절연막(105)의 연마 속도가 약 1 내지 250Å/min의 범위이고, 칼코겐 화합물을 포함하는 상변화 물질층(115)의 연마 속도가 약 1,000 내지 약 100,000Å/min일 수 있다. 예를 들어, 상기 제1 슬러리 조성물에 포함된 연마제의 함량이 약 2중량% 초과함으로 인해 절연막(105)의 연마 속도가 250Å/min 보다 빠른 경우에는, 절연막(105)이 손상되거나 연마 정지 기능을 다하지 못할 수 있다. 또한, 상기 제1 슬러리 조성물이 산화제를 약 0.12중량% 미만으로 포함하여 상변화 물질층(115)의 연마 속도가 약 1,000Å/min 보다 느린 경우에는, 상기 제1 연마 공정의 효율이 크게 저하될 수 있다.
상술한 제1 슬러리 조성물을 이용하여 상변화 물질층(115)에 대해 제1 연마 공정을 수행함으로써, 상변화 물질층(115)의 벌크 부분이 대부분 제거될 수 있다.
다시 도 2b를 참조하면, 상기 제1 연마 공정이 수행된 상변화 물질층(115)의 상부 표면에는 표면 산화막(120)이 형성된다. 표면 산화막(120)은 칼코겐 화합물이 상기 제1 슬러리 조성물에 의해 화학적으로 산화되어 형성되는 산화물을 포함한다. 후속하여 상변화 물질층(115) 상에 상부 전극이 형성되는 경우, 표면 산화막(120)은 상변화 물질층(115)과 상부 전극과의 접촉면에서 전기적 저항을 증가시켜 상변화 메모리 장치의 불량을 유발할 수 있다. 따라서 상변화 물질층(115) 상의 표면 산화막(120)은 후속 공정을 통해서 제거될 필요가 있다.
도 1 및 도 2c를 참조하면, 저선택비의 제2 슬러리 조성물을 이용하여 상변화 물질층(115)에 대하여 제2 연마 공정을 수행한다(S40). 절연막(105)의 상면이 완전히 노출될 때까지 상기 제2 연마 공정을 수행함으로써, 절연막(105)의 상부에 남아 있는 상변화 물질층(115)과, 상변화 물질층(115) 상의 표면 산화막(120)이 제거되고, 절연막(105)의 리세스(110)를 채우는 상변화 물질층 패턴(125)이 형성된다.
본 발명의 실시예들에 있어서, 상기 제2 연마 공정에 사용되는 상기 제2 슬러리 조성물은 약 1:0.5 내지 약 1:2의 범위의 연마 선택비(절연막: 상변화 물질층)를 가질 수 있다. 예를 들어, 상기 제2 슬러리 조성물이 약 1:0.5 미만의 연마 선택비를 가지는 경우에는, 상변화 물질층(115)에 비해 절연막(105)이 과다 연마되어 절연막(105)의 상면에 리세스가 발생할 수 있다. 또한, 제2 슬러리 조성물의 연 마 선택비가 약 1:2를 초과하는 경우에는, 절연막(105)의 연마 속도에 비하여 상변화 물질층(115)의 연마 속도가 빨라서 형성되는 상변화 물질층 패턴(125)의 상면에 의도되지 않은 리세스가 발생하여 상변화 메모리 장치의 불량을 유발할 수 있다. 상기와 같은 낮은 연마 선택비를 가지는 제2 슬러리 조성물은, 절연 물질에 대한 연마 능력이 제1 슬러리 조성물에 비하여 향상되므로, 칼코겐 화합물과 같은 상변화 물질층이 산화되어 형성되는 표면 산화막(120)에 대한 연마 능력도 개선될 수 있다.
본 발명의 실시예들에 있어서, 상기 제2 연마 공정에 사용되는 상기 제2 슬러리 조성물은 연마제, 산화제, 유기산, 아졸 화합물 및 용매를 포함할 수 있다. 예를 들어, 상기 제2 슬러리 조성물은 연마제 2.1 내지 5중량%, 산화제 0.01 내지 0.5중량%, 유기산 0.1 내지 5중량%, 아졸 화합물 0.01 내지 10중량% 및 여분의 용매를 포함할 수 있다. 상기 제2 슬러리 조성물에 사용될 수 있는 연마제, 산화제, 유기산 및 용매의 예는 상기 제1 슬러리 조성물에서 설명한 바와 실질적으로 동일하며, 중복을 피하기 위해 이에 대한 설명은 생략한다.
상기 제2 슬러리 조성물이 연마제를 약 2.1중량% 미만으로 포함하면, 절연막(105)의 연마 속도가 너무 느리고 상대적으로 상변화 물질층(115)의 연마 속도가 빨라서 상변화 물질층 패턴(125) 상에 리세스가 발생할 수 있고, 상변화 물질층(115) 상의 표면 산화막(120)이 충분히 제거되지 않을 수 있다. 또한, 상기 제2 슬러리 조성물이 연마제를 약 5중량% 초과하여 포함하는 경우에는 절연막(105)의 연마 속도가 너무 빨라서 공정을 제어하기 어려울 수 있다. 따라서 상기 제2 슬러 리 조성물은 연마제를 약 2.1 내지 5중량%의 범위로 포함하는 것이 바람직하고, 보다 바람직하게는 2.2 내지 5중량%의 범위로 포함할 수 있다.
상기 제2 슬러리 조성물이 산화제를 약 0.01중량% 미만으로 포함하거나 산화제를 포함하지 않는 경우에는, 연마되고 남은 상변화 물질층 패턴(125)의 성분이 불균일해질 수 있다. 예를 들어, 산화제의 함량이 상기와 같이 매우 적은 경우 슬러리 조성물에 대한 게르마늄, 안티몬 및 텔루르의 반응성이 차이가 크게 벌어져서 연마 후에 남아 있는 GST층에서 텔루르의 함량이 상대적으로 많고 게르마늄이 적어져서 상변화 물질층 패턴(125)의 상전이 특성이 저하될 수 있다. 또한, 상기 제2 슬러리 조성물에서 산화제의 함량이 약 0.5중량%를 초과하는 경우, 상변화 물질층 패턴(125) 상에 표면 산화막이 형성되어 상부 전극과의 접촉 저항이 증가할 수 있다. 따라서 상기 제2 슬러리 조성물은 산화제를 약 0.01 내지 약 0.5중량%의 범위로 포함하는 것이 바람직하다.
상기 제2 슬러리 조성물은 상변화 물질층(115)의 연마 속도를 낮출 수 있는 상변화 물질층의 연마 억제제를 포함할 수 있다. 상기 연마 억제제로는 아졸 화합물을 사용할 수 있다. 아졸 화합물은 상변화 물질층(115)에 대한 연마는 억제하면서도 절연막(105)에 대한 연마 속도에는 영향을 주지 않아서 저선택비의 슬러리 조성물을 제조할 수 있게 한다. 상기 제2 슬러리 조성물에 사용할 수 있는 아졸 화합물의 예로는 트리아졸, 벤조트리아졸, 이미다졸, 테트라졸, 티아졸, 옥사졸, 피라졸 또는 이들의 혼합물을 들 수 있으나, 이들에 한정되는 것은 아니다. 상기 제2 슬러리 조성물은 연마 선택비를 고려하여 아졸 화합물을 적절한 양으로 포함할 수 있으며, 예를 들어, 약 0.01 내지 약 10중량%의 범위로 포함할 수 있고, 바람직하게는 0.05 내지 약 1중량%의 범위로 포함할 수 있다.
상기 제2 슬러리 조성물에 포함되는 유기산의 양은 산화제의 안정성 및 연마제의 분산성을 고려하여 적절히 조절될 수 있으며 약 0.1 내지 약 5중량%의 범위로 사용될 수 있다. 또한, 상기 제2 슬러리 조성물에서 용매의 함량은 연마제, 산화제 등의 농도 및 이들의 분산성을 고려하여 적절히 조절될 수 있으며, 예를 들어, 약 79.5 내지 97.78중량%의 범위일 수 있다. 또한, 상기 제2 슬러리 조성물은 용매와 함께 pH 조절제를 더 포함할 수 있다. 예를 들어, 상기 제2 슬러리 조성물은 약 2.0 내지 약 6.0의 pH를 가질 수 있다. 상기 pH 조절제의 예는 상기 제1 슬러리 조성물에서 설명한 것과 실질적으로 동일하다.
본 발명의 실시예들에 있어서, 상술한 성분으로 이루어지는 제2 슬러리 조성물은 산화막, 산질화막, 질화막 등과 같은 절연막(105)의 연마 속도가 약 300 내지 1,000Å/min의 범위이고, 칼코겐 화합물을 포함하는 상변화 물질층(115)의 연마 속도가 약 150 내지 약 1,000Å/min의 범위일 수 있다.
상술한 제2 슬러리 조성물을 이용하여 상변화 물질층(115)에 대해 절연막(105)의 상면이 완전히 노출될 때까지 제2 연마 공정을 수행함으로써, 상변화 물질층(115) 상의 표면 산화막(120)이 제거되고, 절연막(105)의 리세스(110)를 채우는 상변화 물질층 패턴(125)이 형성될 수 있다.
상기와 같이 고선택비의 제1 슬러리 조성물과 저선택비의 제2 슬러리 조성물을 이용한 두 차례의 연마 공정을 통해서 상변화 물질층 패턴을 형성하는 방법은, 상변화 물질층 패턴의 상부가 지나치게 연마되어 리세스가 발생하는 것을 억제하는 동시에 우수한 연마 효율을 유지할 수 있다. 또한, 상변화 물질층 패턴의 상부에 두꺼운 표면 산화막이 형성되는 것을 방지하여 상변화 물질층 패턴의 전기적 특성의 저하 및 상변화 메모리 장치의 불량 발생을 크게 감소시킬 수 있다.
상변화 메모리 장치의 제조 방법
도 3a 내지 도 3f는 본 발명의 실시예들에 따른 상변화 메모리 장치의 제조 방법을 설명하기 위한 단면도들이다.
도 3a를 참조하면, 소자 분리 공정을 이용하여 반도체 기판이나 금속 산화물 단결정 기판을 포함하는 기판(200) 상에 산화물로 이루어진 소자 분리막(205)을 형성한다. 예를 들면, 소자 분리막(205)은 STI 공정 또는 열 산화 공정을 통해 형성될 수 있다. 소자 분리막(205)의 형성에 따라 기판(200)에는 액티브 영역 및 필드 영역이 정의된다.
기판(200) 상에 게이트 절연막(도시되지 않음), 게이트 도전막(도시되지 않음) 및 게이트 마스크층(도시되지 않음)을 차례로 형성한다. 상기 게이트 절연막은 산화물 또는 금속 산화물을 사용하여 형성된다. 예를 들면, 상기 게이트 절연막은 실리콘 산화물, 알루미늄 산화물, 지르코늄 산화물, 하프늄 산화물, 탄탈륨 산화물 등을 사용하여 형성될 수 있다. 상기 게이트 도전막은 불순물이 도핑된 폴리실리콘, 금속 또는 금속 질화물을 사용하여 형성된다. 예를 들면, 상기 게이트 도전막은 텅스텐, 알루미늄, 구리, 티타늄, 탄탈륨, 텅스텐 질화물, 알루미늄 질화물, 티 타늄 질화물, 탄탈륨 질화물 또는 티타늄 알루미늄 질화물을 사용하여 형성될 수 있다. 상기 게이트 마스크층은 상기 게이트 절연막 및 상기 게이트 도전막에 대하여 식각 선택비를 갖는 물질을 사용하여 형성된다. 예를 들면, 상기 게이트 마스크층은 실리콘 질화물 또는 실리콘 산질화물을 사용하여 형성될 수 있다.
사진 식각 공정을 통해 상기 게이트 마스크층, 상기 게이트 도전막 및 상기 게이트 절연막을 순차적으로 패터닝하여 상기 액티브 영역 상에 게이트 절연막 패턴(210), 게이트 도전막 패턴(215) 및 게이트 마스크(220)를 차례로 형성한다. 본 발명의 다른 실시예에 따르면, 상기 게이트 마스크층을 먼저 식각하여 상기 게이트 도전막 상에 게이트 마스크(220)를 형성한 후, 게이트 마스크(220)를 식각 마스크로 이용하여 상기 게이트 도전막 및 상기 게이트 절연막을 패터닝함으로써, 게이트 도전막 패턴(215)과 게이트 절연막 패턴(210)을 형성할 수 있다.
기판(200) 상에 게이트 마스크(220)를 덮으면서 하부 절연막(도시되지 않음)을 형성한 다음, 상기 하부 절연막을 부분적으로 식각하여 게이트 절연막 패턴(210), 게이트 도전막 패턴(215) 및 게이트 마스크(220)의 측벽들 상에 게이트 스페이서(225)를 형성한다. 따라서 상기 액티브 영역 상에는 게이트 구조물(230)들이 형성된다. 각 게이트 구조물(230)은 게이트 절연막 패턴(210), 게이트 도전막 패턴(215), 게이트 마스크(220) 및 게이트 스페이서(225)를 포함한다.
도 3b를 참조하면, 게이트 구조물(230)들을 마스크들로 이용하는 이온 주입 공정을 통해 상기 액티브 영역의 소정 부분들에 불순물들을 주입하여, 게이트 구조물(230)들에 인접하는 제1 콘택 영역(235) 및 제2 콘택 영역(240)을 형성한다. 제1 콘택 영역(235)에는 후속하여 형성되는 하부 전극(260)(도 3d 참조)이 전기적으로 연결되며, 제2 콘택 영역(240)에는 하부 배선(265)(도 3d 참조)이 전기적으로 연결된다.
기판(200) 상에 게이트 구조(230)들을 덮는 하부 층간 절연막(245)을 형성한다. 하부 층간 절연막(245)은 산화물을 화학 기상 증착 공정, 플라즈마 증대 화학 기상 증착 공정, 저압 화학 기상 증착 공정 또는 고밀도 플라즈마 화학 기상 증착 공정으로 증착하여 형성된다. 예를 들면, 하부 층간 절연막(245)은 PSG, BPSG, USG, SOG, TEOS, PE-TEOS, FOX 또는 HDP-CVD 산화물과 같은 실리콘 산화물을 사용하여 형성될 수 있다. 본 발명의 다른 실시예에 따르면, 화학 기계적 연마 공정 및/또는 에치 백 공정을 이용하여 하부 층간 절연막(245)의 상면을 평탄화시킬 수 있다.
하부 층간 절연막(245)을 사진 식각 공정을 이용하여 부분적으로 식각함으로써, 하부 층간 절연막(245)에 제1 및 제2 콘택 영역(235, 240)을 노출시키는 제1 및 제2 콘택홀(도시되지 않음)을 형성한다. 상기 제1 콘택홀은 제1 콘택 영역(235)을 노출시키며, 상기 제2 콘택홀은 제2 콘택 영역(240)을 노출시킨다.
상기 제1 및 제2 콘택홀을 채우면서 하부 층간 절연막(245) 상에 제1 하부 도전막(도시되지 않음)을 형성한다. 상기 제1 하부 도전막은 금속, 금속 질화물 또는 도핑된 폴리실리콘을 사용하여 형성된다. 예를 들면, 상기 하부 도전막은 텅스텐, 알루미늄, 구리, 티타늄, 탄탈륨, 텅스텐 질화물, 알루미늄 질화물, 티타늄 질화물, 탄탈륨 질화물 또는 티타늄 알루미늄 질화물을 사용하여 형성될 수 있다. 상 기 제1 하부 도전막은 스퍼터링 공정, 화학 기상 증착 공정, 저압 화학 기상 증착 공정, 원자층 적층 공정, 전자 빔 증착 공정 또는 펄스 레이저 증착 공정을 이용하여 형성된다.
하부 층간 절연막(245)이 노출될 때까지 상기 제1 하부 도전막을 부분적으로 제거하여 제1 및 제2 콘택 영역(235, 240) 상에 각기 상기 제1 및 제2 콘택홀을 채우는 제1 및 제2 패드(250, 255)를 형성한다. 제1 패드(250)는 상기 제1 콘택홀을 채우면서 제1 콘택 영역(235) 상에 형성되며, 제2 패드(255)는 상기 제2 콘택홀을 매립하면서 제2 콘택 영역(240) 상에 형성된다.
도 3c를 참조하면, 제1 패드(250), 제2 패드(255) 및 하부 층간 절연막(245) 상에 제2 하부 도전막(도시되지 않음)을 형성한다. 제2 하부 도전막은 불순물이 도핑된 폴리실리콘, 금속 또는 금속 질화물을 사용하여 형성된다. 예를 들면, 상기 제2 하부 도전막은 텅스텐, 알루미늄, 구리, 탄탈륨, 티타늄, 몰리브덴, 텅스텐 질화물, 알루미늄 질화물, 티타늄 질화물, 탄탈륨 질화물, 몰리브덴 질화물, 니오븀 질화물, 티타늄 실리콘 질화물, 티타늄 알루미늄 질화물, 티타늄 보론 질화물, 지르코늄 실리콘 질화물, 텅스텐 실리콘 질화물, 텅스텐 보론 질화물, 지르코늄 알루미늄 질화물, 몰리브덴 실리콘 질화물, 몰리브덴 알루미늄 질화물, 탄탈륨 실리콘 질화물 또는 탄탈륨 알루미늄 질화물을 사용하여 형성될 수 있다. 이들은 단독으로 또는 서로 혼합되어 사용될 수 있다. 상기 제2 하부 도전막은 스퍼터링 공정, 화학 기상 증착 공정, 저압 화학 기상 증착 공정, 원자층 적층 공정, 전자 빔 증착 공정 또는 펄스 레이저 증착 공정을 이용하여 형성된다.
상기 제2 하부 도전막을 사진 식각 공정으로 패터닝하여 제1 및 제2 패드(250, 255) 상에 각기 하부 전극(260) 및 하부 배선(265)을 형성한다. 하부 전극(260)은 제1 패드(250)를 통해 제1 콘택 영역(235)에 전기적으로 연결된다. 하부 배선(265)은 제2 패드(255)를 통해 제2 콘택 영역(240)에 전기적으로 접속된다. 하부 배선(265)은 비트 라인을 포함한다. 본 발명의 실시예들에 있어서, 하부 전극(260)과 하부 배선(265)은 각기 제1 패드(250)와 제2 패드(255)에 비하여 실질적으로 넓은 폭으로 형성될 수 있다.
하부 층간 절연막(245) 상에 하부 전극(260)과 하부 배선(265)을 덮는 절연막(270)을 형성한다. 절연막(270)은 실리콘 산화물, 실리콘 산질화물 또는 실리콘 산질화물 등과 같은 절연 물질을 사용하여 형성된다. 예를 들어, 절연막(270)은 PSG, BPSG, USG, SOG, TEOS, PE-TEOS, FOX 또는 HDP-CVD 산화물과 같은 실리콘 산화물을 사용하여 형성될 수 있다. 절연막(270)은 화학 기상 증착 공정, 플라즈마 증대 화학 기상 증착 공정, 저압 화학 기상 증착 공정 또는 고밀도 플라즈마 화학 기상 증착 공정을 이용하여 형성된다. 본 발명의 일 실시예에 따르면, 화학 기계적 연마 공정 및/또는 에치 백 공정을 이용하여 절연막(270)의 상면을 평탄화시킬 수 있다. 절연막(270)은 하부 층간 절연막(245)과 실질적으로 동일한 절연 물질을 사용하여 형성할 수 있고, 혹은 상이한 절연 물질을 사용하여 형성될 수 있다.
도 3d를 참조하면, 절연막(270)을 사진 식각 공정으로 부분적으로 식각하여 절연막(270)을 관통하여 하부 전극(260)을 노출시키는 개구(275)를 형성한다. 예를 들면, 개구(275)는 등방성 식각 공정을 이용하여 형성될 수 있다.
개구(275)를 채우면서 노출된 하부 전극(260) 상에 상변화 물질층(280)을 형성한다. 상변화 물질층(280)은 칼코겐 화합물 또는 불순물이 도핑된 칼코겐 화합물을 사용하여 형성된다. 예를 들어, 상변화 물질층(280)은 게르마늄-안티몬-텔루르(Ge-Sb-Te; GST)와 같은 칼코겐 화합물이나, 탄소, 질소 또는 안정화 금속으로 도핑된 칼코겐 화합물을 이용하여 형성될 수 있다. 상변화 물질층(280)은 스퍼터링 공정 또는 화학 기상 증착 공정을 이용하여 형성될 수 있다. 상변화 물질층(280)을 형성하는 공정들은 도 2a를 참조하여 설명한 공정들과 실질적으로 동일하다.
도 3e를 참조하면, 상변화 물질층(280)에 제1 및 제2 연마 공정을 수행하여 상변화 물질층 패턴(285)을 형성한다. 상기 제1 연마 공정은 절연막(270)에 대한 상변화 물질층(280)의 연마 선택비가 높은 제1 슬러리 조성물을 사용하여 수행된다. 상기 제1 연마 공정은 절연막(270)의 상면이 부분적으로 노출될 때까지 수행하거나, 절연막(270)의 상면이 노출되지 않고 상변화 물질층(280)이 절연막(270)의 상면 상에 부분적으로 남아있을 때까지 수행될 수 있다. 이에 따라 절연막(270)의 상면 상에 형성되어 있는 상변화 물질층(280)의 벌크 부분이 제거될 수 있다.
벌크 부분이 제거된 상변화 물질층(280)에 대하여 제2 연마 공정을 수행하여 개구(275)를 채우는 상변화 물질층 패턴(285)을 형성한다. 상기 제2 연마 공정은 절연막(270)에 대한 상변화 물질층(280)의 연마 선택비가 낮은 제2 슬러리 조성물을 사용하여 수행된다. 상기 제2 연마 공정을 통해 절연막(270)의 상면 상에 남아있는 상변화 물질층(280)과, 절연막(270)의 상면 일부 및 제1 연마 공정으로 상변화 물질층(280)의 상부 표면에 형성되는 표면 산화막이 제거되고, 상면이 고르게 평탄화된 상변화 물질층 패턴(285)과 절연막(270)이 형성될 수 있다. 상기 제1 및 제2 연마 공정 및 상기 제1 및 제2 슬러리 조성물은 도 1 및 도 2a 내지 도 2c를 참조하여 설명한 공정 및 조성물들과 실질적으로 동일하며, 이에 대한 더 이상의 설명은 생략한다.
상변화 물질층 패턴(285) 및 절연막(270) 상에 제1 상부 도전막(도시되지 않음)을 형성한다. 상기 제1 상부 도전막은 금속 또는 금속 질화물과 같은 도전성 물질을 사용하여 형성되며, 단일막 또는 다층막으로 형성될 수 있다. 상기 제1 상부 도전막은 스퍼터링 공정, 화학 기상 증착 공정, 원자층 적층 공정, 전자 빔 증착 공정 또는 펄스 레이저 증착 공정을 이용하여 형성될 수 있다.
본 발명의 실시예들에 있어서, 상기 제1 상부 도전막은 금속을 사용하여 제1 박막(도시되지 않음)을 형성하고, 상기 제1 박막 상에 금속 질화물을 사용하여 제2 박막(도시되지 않음)을 형성하여 제조될 수 있다. 예를 들어, 상기 제1 박막은 알루미늄, 갈륨, 인듐, 티타늄, 크롬, 망간, 철, 코발트, 니켈, 지르코늄, 몰리브덴, 루테늄, 팔라듐, 하프늄, 탄탈륨, 이리듐 또는 백금을 사용하여 형성될 수 있다. 이들은 단독으로 또는 서로 혼합되어 사용될 수 있다. 또한, 상기 제2 박막은 알루미늄 질화물, 갈륨 질화물, 인듐 질화물, 티타늄 질화물, 크롬 질화물, 망간 질화물, 철 질화물, 코발트 질화물, 니켈 질화물, 지르코늄 질화물, 몰리브덴 질화물, 루테늄 질화물, 팔라듐 질화물, 하프늄 질화물, 탄탈륨 질화물, 이리듐 질화물, 백금 질화물, 텅스텐 질화물, 알루미늄 질화물, 니오븀 질화물, 티타늄 실리콘 질화물, 티타늄 알루미늄 질화물, 티타늄 보론 질화물, 지르코늄 실리콘 질화물, 텅스 텐 실리콘 질화물, 텅스텐 보론 질화물, 지르코늄 알루미늄 질화물, 몰리브덴 실리콘 질화물, 몰리브덴 알루미늄 질화물, 탄탈륨 실리콘 질화물 및/또는 탄탈륨 알루미늄 질화물을 사용하여 형성될 수 있다.
사진 식각 공정을 통해 상기 제1 상부 도전막을 패터닝하여 상변화 물질층 패턴(285) 상에 상부 전극(290)을 형성한다. 상부 전극(290)은 상변화 물질층 패턴(285)에 비하여 실질적으로 넓은 폭을 형성될 수 있다.
도 3f를 참조하면, 상부 전극(290)을 덮으면서 절연막(270) 상에 상부 층간 절연막(293)을 형성한다. 상부 층간 절연막(293)은 화학 기상 증착 공정, 플라즈마 증대 화학 기상 증착 공정, 저압 화학 기상 증착 공정 또는 고밀도 플라즈마 화학 기상 증착 공정을 이용하여 형성된다. 상부 층간 절연막(293)은 PSG, BPSG, USG, SOG, TEOS, PE-TEOS, FOX 또는 HDP-CVD 산화물과 같은 실리콘 산화물을 사용하여 형성될 수 있다. 본 발명의 일 실시예에 따르면, 상부 층간 절연막(293)은 하부 층간 절연막(245) 및/또는 절연막(270)과 실질적으로 동일한 절연 물질을 사용하여 형성될 수 있다. 본 발명의 다른 실시예에 있어서, 하부 층간 절연막(245), 절연막(270) 및/또는 상부 층간 절연막(2930)은 각기 상이한 절연 물질을 사용하여 형성될 수 있다.
상부 층간 절연막(293)을 사진 식각 공정을 통해 부분적으로 식각하여 상부 층간 절연막(293)에 상부 전극(290)을 노출시키는 상부 콘택홀(도시되지 않음)을 형성한다. 상기 상부 콘택홀을 채우면서 노출된 상부 전극(290)과 상부 층간 절연막(293) 상에 상부 패드(296) 및 상부 배선(299)을 형성한다. 상부 패드(296)와 상 부 배선(299)은 불순물로 도핑된 폴리실리콘, 금속 또는 도전성 금속 질화물을 사용하여 형성된다. 상부 배선(296) 및 상부 패드(299)는 스퍼터링 공정, 화학 기상 증착 공정, 원자층 적층 공정, 전자 빔 증착 공정 또는 펄스 레이저 증착 공정을 이용하여 형성된다. 본 발명의 일 실시예에 따르면, 상부 패드(296)와 상부 배선(299)은 일체로 형성된다. 본 발명의 다른 실시예에 있어서, 상기 상부 콘택홀을 채우는 상부 패드(296)를 먼저 형성한 후, 상부 패드(296)와 상부 층간 절연막(293) 상에 상부 배선(299)을 형성할 수 있다.
도 4a 내지 도 4c는 본 발명의 다른 실시예들에 따른 상변화 메모리 장치의 제조 방법을 설명하기 위한 단면도들이다. 도 4a 내지 도 4c에 도시한 상변화 메모리 장치의 제조 방법에 있어서, 기판(300) 상에 소자 분리막(305), 게이트 구조물(330), 제1 및 제2 콘택 영역(335, 340) 및 제1 하부 층간 절연막(345)을 형성하는 공정들은 도 3a 내지 도 3b를 참조하여 설명한 공정들과 실질적으로 동일하다. 게이트 구조물(330)은 기판(300)의 액티브 영역 상에 위치하며, 게이트 절연막 패턴(310), 게이트 도전막 패턴(315), 게이트 마스크(320) 및 게이트 스페이서(325)를 포함한다.
도 4a를 참조하면, 제1 하부 층간 절연막(345)을 사진 식각 공정으로 부분적으로 식각하여 제2 콘택 영역(340)을 노출시키는 하부 콘택홀(도시되지 않음)을 형성한다. 이 경우, 제1 콘택 영역(335)은 노출되지 않는다. 상기 하부 콘택홀을 채우면서 제1 하부 층간 절연막(345) 상에 제1 하부 도전막(도시되지 않음)을 형성한다. 제1 하부 도전막은 불순물이 도핑된 폴리실리콘, 금속 또는 금속 질화물을 사 용하여 형성된다.
상기 제1 하부 도전막을 제1 하부 층간 절연막(345)이 노출될 때까지 부분적으로 제거하여, 제2 콘택 영역(340) 상에 상기 하부 콘택홀을 채우는 하부 패드(355)를 형성한다. 하부 패드(355)는 후속하여 형성되는 하부 배선(360)을 제2 콘택 영역(340)에 전기적으로 연결시킨다.
하부 패드(355)와 제1 하부 층간 절연막(345) 상에 제2 하부 도전막(도시되지 않음)을 형성한 다음, 상기 제2 하부 도전막을 패터닝하여 하부 패드(355) 상에 비트 라인 등을 포함하는 하부 배선(360)을 형성한다. 본 발명의 다른 실시예에 따르면, 하부 패드(355)와 하부 배선(360)은 일체로 형성될 수 있다. 구체적으로는, 상기 하부 콘택홀을 채우면서 제2 콘택 영역(340)과 제1 하부 층간 절연막(345) 상에 하부 도전막을 형성한 후, 상기 하부 도전막을 패터닝하여 하부 패드(355)와 하부 배선(360)을 동시에 형성할 수 있다.
제1 하부 층간 절연막(345) 상에 하부 배선(360)을 덮는 제2 하부 층간 절연막(365)을 형성한다. 제2 하부 층간 절연막(365)은 실리콘 산화물과 같은 절연 물질을 사용하여 형성된다. 제2 하부 층간 절연막(365)은 제1 하부 층간 절연막(345)과 실질적으로 동일한 절연 물질로 형성될 수 있고, 혹은 상이한 절연 물질로 형성될 수도 있다.
제2 하부 층간 절연막(365)과 제1 하부 층간 절연막(345)을 부분적으로 식각하여, 제2 하부 층간 절연막(365) 및 제1 하부 층간 절연막(345)을 관통하여 제1 콘택 영역(335)을 노출시키는 개구(350)를 형성한다.
도 4b를 참조하면, 개구(350)를 채우면서 제1 콘택 영역(335) 상에 다이오드(370)를 형성한다. 예를 들면, 다이오드(370)는 노출된 제1 콘택 영역(335)을 시드로 이용하는 선택적 에피택시얼 성장(SEG) 공정으로 형성된 폴리실리콘을 포함한다. 본 발명의 일 실시예에 따르면, 다이오드(370)는 제1 및 제2 하부 층간 절연막(345, 365)의 두께의 합과 실질적으로 동일한 두께를 가진다. 본 발명의 다른 실시예들에 있어서, 다이오드(370)의 높이는 제1 및 제2 하부 층간 절연막(345, 365)의 두께의 합 보다 크거나 작을 수 있다.
다이오드(370)와 제2 하부 층간 절연막(365) 상에 절연막(375)을 형성한 후, 사진 식각 공정을 수행하여 다이오드(370)를 노출시키는 개구(도시되지 않음)를 형성한다. 상기 개구를 채우면서 노출된 다이오드(370)와 절연막(375) 상에 상변화 물질층(380)을 형성한다. 절연막(375), 상기 개구 및 상변화 물질층(380)을 형성하는 공정은 도 3c 및 도 3d를 참조하여 설명한 공정과 실질적으로 동일한 공정을 통해 형성된다.
도 4c를 참조하면, 상변화 물질층(380)에 제1 및 제2 연마 공정을 수행하여 상변화 물질층 패턴(385)을 형성한다. 상기 제1 연마 공정은 절연막(375)에 대한 상변화 물질층(380)의 연마 선택비가 높은 제1 슬러리 조성물을 사용하여 수행되고, 이에 따라 절연막(375)의 상면 상에 형성되어 있는 상변화 물질층(380)의 벌크 부분이 제거된다. 벌크 부분이 제거된 상변화 물질층(380)에 대하여 제2 연마 공정을 수행하여 상기 개구를 채우는 상변화 물질층 패턴(385)을 형성한다. 상기 제2 연마 공정은 절연막(375)에 대한 상변화 물질층(380)의 연마 선택비가 낮은 제2 슬 러리 조성물을 사용하여 수행된다. 상기 제2 연마 공정을 통해 절연막(375)의 상면 상에 남아있는 상변화 물질층(380)과, 절연막(375)의 상면 일부 및 제1 연마 공정으로 상변화 물질층(380)의 상부 표면에 형성되는 표면 산화막이 제거되고, 상면이 고르게 평탄화된 상변화 물질층 패턴(385)과 절연막(375)이 형성될 수 있다. 상기 제1 및 제2 연마 공정 및 상기 제1 및 제2 슬러리 조성물은 도 1 및 도 2a 내지 도 2c를 참조하여 설명한 공정 및 조성물들과 실질적으로 동일하며, 이에 대한 더 이상의 설명은 생략한다.
상변화 물질층 패턴(385) 및 절연막(375) 상에 제1 상부 도전막(도시되지 않음)을 형성한다. 사진 식각 공정을 통해 상기 제1 상부 도전막을 패터닝하여 상변화 물질층 패턴(385) 상에 상부 전극(390)을 형성한다. 상부 전극(390)을 덮으면서 절연막(375) 상에 상부 층간 절연막(393)을 형성하고, 상부 층간 절연막(393)을 사진 식각 공정을 통해 부분적으로 식각하여 상부 층간 절연막(393)에 상부 전극(390)을 노출시키는 상부 콘택홀(도시되지 않음)을 형성한다. 상기 상부 콘택홀을 채우면서 노출된 상부 전극(390)과 상부 층간 절연막(393) 상에 상부 패드(396) 및 상부 배선(399)을 형성한다. 상부 전극(390), 상부 층간 절연막(393), 상부 패드(396) 및 상부 배선(399)을 형성하는 공정들은 도 3e 및 도 3f를 참조하여 설명한 바와 실질적으로 동일하다.
이하, 상변화 물질층 연마용 슬러리 조성물의 제조에 관한 실시예 및 연마 실험을 통하여 본 발명을 더욱 상세하게 설명한다. 그러나 하기 실시예는 본 발명을 예시하기 위한 것으로서 본 발명은 이에 한정되지 않고 다양하게 수정 및 변경 될 수 있다.
상변화 물질층 연마용 슬러리 조성물의 제조
제조예 1
연마제인 콜로이드 실리카 약 3.5중량%, 시트르산 약 3중량%, 과산화수소 약 0.2중량%, 벤조트리아졸 약 0.2중량% 및 여분의 탈이온수를 혼합하고, pH 조절제인 염산을 적정량 첨가하여 pH가 약 4.0인 상변화 물질층 연마용 슬러리 조성물을 제조하였다.
제조예 2 내지 7
연마제, 시트르산, 과산화수소 및 벤조트리아졸의 함량이 다른 것을 제외하고는 제조예 1의 방법과 실질적으로 동일한 방법으로 상변화 물질층 연마용 슬러리 조성물을 제조하였다. 상변화 물질층 연마용 슬러리 조성물의 제조에 사용된 연마제, 시트르산, 과산화수소 및 벤조트리아졸의 함량을 하기 표 1에 나타낸다. 표 1에서 함량의 단위는 중량%이다.
표 1
Figure 112007089028660-pat00001
연마 속도 및 연마 선택비 평가
제조예 1 내지 7에서 제조된 슬러리 조성물에 대하여 상변화 물질층 및 산화막에 대한 연마 실험을 수행하여 연마 속도 및 연마 선택비를 평가하였다.
실리콘 기판 상에 화학 기상 증착 공정을 수행하여 게르마늄(Ge)-안티몬(Sb)-텔루르(Te)(GST)를 포함하는 상변화 물질층을 형성하였다. 제조된 상변화 물질층의 두께는 약 800Å으로 측정되었다. 또한, 실리콘 기판 상에 화학 기상 증착 공정을 수행하여 실리콘 산화물을 포함하는 절연막을 형성하였다. 제조된 절연막의 두께는 약 5,000Å으로 측정되었다.
상기와 같이 제조된 상변화 물질층과 절연막에 대하여 제조예 1 내지 7에서 제조된 슬러리 조성물을 이용하여 CMP 공정을 수행한 후, 연마 전후의 상변화 물질층과 절연막의 두께 변화를 4 point probe를 이용하여 측정하여 연마속도를 평가하였다. 상기 CMP 공정은 EBARA 연마장치를 이용하여 수행하였다. 상기 CMP 공정에 있어서, 연마패드의 회전 속도는 약 25rpm, 헤드의 회전 속도는 약 20rpm, down pressure는 약 100hPa, back pressure 약 50hPa이고, 슬러리 조성물의 유량은 약 100mL/min으로 조절하였다.
상변화 물질층과 절연막의 연마 속도 및 연마 선택비를 측정한 결과를 하기 표 2에 나타낸다. 하기 표 2에서 연마 속도의 단위는 Å/min이고, 함량의 단위는 중량%이다.
표 2
Figure 112007089028660-pat00002
표 2에 나타난 바와 같이, 연마제의 함량이 1중량%인 경우에는 실리콘 산화막의 연마속도가 250Å/min 이하로 느리지만, 연마제의 함량이 3.5중량%인 경우에는 실리콘 산화막의 연마 속도가 300Å/min 이상으로 빠른 것으로 나타났다. 특히, 연마제의 함량이 3.5중량%인 경우에는 실리콘 산화막의 연마속도가 연마제의 함량이 1중량%인 경우에 비하여 약 2배 이상 증가하는 것으로 나타났다.
따라서 절연막의 상대적인 연마 속도를 낮추어서 고선택비의 슬러리 조성물을 제조하기 위해서는 연마제의 함량이 3.5중량%보다 낮은 약 2중량%이하인 것이 바람직하고, 보다 바람직하게는 연마제의 함량이 약 1.8중량%이하임을 알 수 있다. 또한, 절연막의 상대적인 연마속도를 높여서 저선택비의 슬러리 조성물을 제조하기 위해서는 연마제의 함량이 1중량%를 초과하는 약 2.1중량% 이상인 것이 바람직하고, 보다 바람직하게는 2.2중량% 이상임을 알 수 있다.
연마제의 함량이 동일하고 아졸 화합물의 함량이 다른 제조예 4 내지 7의 슬러리 조성물들을 비교하면, 아졸 화합물의 함량이 증가하더라도 절연막의 연마속도는 크게 변화하지 않는 것으로 나타났다. 그러나 아졸 화합물을 사용하지 않는 경 우에는 상변화 물질층의 연마 속도가 매우 빠르지만, 아졸 화합물을 포함하는 슬러리 조성물들은 상변화 물질층의 연마 속도가 1,000Å/min 이하로 크게 감소하는 것으로 나타났다. 따라서 아졸 화합물은 상변화 물질층의 연마 억제제로 작용하며, 상변화 물질층의 상대적인 연마 속도를 낮추어서 절연막에 대한 상변화 물질층의 연마 선택비를 낮추기 위해서는 슬러리 조성물이 아졸 화합물을 포함하는 것이 바람직함을 알 수 있다. 예를 들어, 저선택비 슬러리 조성물은 아졸 화합물을 0.01중량% 내지 10중량%로 포함하는 것이 바람직하고, 더욱 바람직하게는 0.05 내지 1중량%로 포함함을 알 수 있다.
한편, 제조예 2의 슬러리 조성물은 아졸 화합물을 포함하지 않음에도 상변화 물질층의 연마 속도가 낮은 것으로 나타났다. 이는 산화제의 함량을 제조예 1의 경우에 비해 절반으로 낮춘데 기인하는 것으로서, 산화제의 함량이 낮은 경우에는 상변화 물질층의 연마 속도가 감소하는 것을 알 수 있다. 따라서 상변화 물질층의 상대적인 연마 속도를 낮추어서 절연막에 대한 상변화 물질층의 연마 선택비를 낮추기 위해서는 슬러리 조성물에 포함된 산화제의 함량을 낮추는 것이 바람직함을 알 수 있다. 예를 들어, 저선택비 슬러리 조성물은 산화제의 함량이 약 0.5중량% 이하인 것이 바람직하다. 다만, 산화제에 대한 게르마늄, 안티몬 및 텔루르의 반응성이 차이가 있어서, 산화제의 함량이 지나치게 낮은 경우에는 연마 후에 남아 있는 GST층의 성분 구성이 불균일해지는 것이 관찰되었으며, 산화제는 적어도 0.01중량% 이상 포함하는 것이 바람직한 것으로 나타났다.
상술한 본 발명의 실시예들에 따른 상변화 물질층 패턴의 형성 방법은 고선택비의 슬러리 조성물을 이용하여 제1 연마 공정을 수행한 후에 저선택비의 슬러리 조성물을 이용하여 제2 연마 공정을 수행한다. 이에 따라, 고선택비의 슬러리 조성물을 이용한 한 차례의 연마 공정을 수행하는 경우에 비하여, 상변화 물질층 패턴의 상부에 원하지 않는 리세스가 발생하는 것을 억제할 수 있다. 또한, 저선택비의 슬러리 조성물만을 이용하는 경우에는 상변화 물질층의 연마 속도가 낮아서 연마 효율이 떨어지는 문제가 있으나, 고선택비의 슬러리 조성물과 저선택비의 슬러리 조성물을 함께 사용함으로써 연마 공정의 효율을 현저히 개선할 수 있다.
또한, 고선택비 슬러리 조성물만을 사용하는 경우에는 산화막과 같은 절연막의 연마 속도가 낮아 상변화 물질층 패턴의 상부에 두꺼운 표면 산화막이 형성되고 상변화 물질층 내부로 산소가 확산되어 상전이에 관련되는 전기적 특성이 저하될 수 있다. 그러나 본 발명의 실시예들에 따른 상변화 물질층의 형성 방법에서는, 산화막의 제거 속도가 상대적으로 빠른 저선택비 슬러리 조성물을 이용하여 제2 연마 공정을 추가적으로 수행함으로써, 제1 연마 공정에서 형성된 상변화 물질층 상부의 표면 산화막을 제거하는 동시에 표면 산화막의 형성을 효과적으로 억제할 수 있다. 이에 따라, 표면 산화막의 형성에 따른 상변화 물질층의 전기적 특성의 저하 및 상변화 메모리 장치의 불량 발생을 크게 감소시킬 수 있다.
이상, 본 발명의 바람직한 실시예를 참조하여 설명하였지만 해당 기술분야의 통상의 지식을 가진 자라면 하기의 특허청구범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있 음을 이해할 수 있을 것이다.
도 1은 본 발명의 실시예들에 따른 상변화 물질층 패턴의 형성 방법을 설명하기 위한 공정 흐름도이다.
도 2a 내지 도 2c는 본 발명의 실시예들에 따른 상변화 물질층 패턴의 형성 방법을 설명하기 위한 단면도들이다.
도 3a 내지 도 3f는 본 발명의 실시예들에 따른 상변화 메모리 장치의 제조 방법을 설명하기 위한 단면도들이다.
도 4a 내지 도 4c는 본 발명의 다른 실시예들에 따른 상변화 메모리 장치의 제조 방법을 설명하기 위한 단면도들이다.
<도면의 주요 부분에 대한 부호의 설명>
100, 200, 300: 기판 105, 270, 375: 절연막
110: 리세스 120: 표면 산화막
115, 280, 380: 상변화 물질층
125, 285, 385: 상변화 물질층 패턴
205, 305:소자 분리막 210, 310: 게이트 절연막 패턴
215, 315: 게이트 도전막 패턴 220, 320: 게이트 마스크
225, 325: 게이트 스페이서 230, 330: 게이트 구조물
235, 335: 제1 콘택 영역 240, 340: 제2 콘택 영역
245, 345, 365: 하부 층간 절연막 250: 제1 패드
255: 제2 패드 355: 하부 패드
260: 하부 전극 265, 360: 하부 배선
275: 개구 290, 390: 상부 전극
293, 393: 상부 층간 절연막 296, 396: 상부 패드
299, 399: 상부 배선

Claims (20)

  1. 기판 상에 리세스를 갖는 절연막을 형성하는 단계;
    상기 리세스를 채우면서 상기 절연막 상에 상변화 물질층을 형성하는 단계;
    상기 절연막과 상기 상변화 물질층 사이의 제1 연마 선택비를 가지는 제1 슬러리 조성물을 적용하여 상기 상변화 물질층에 대한 제1 연마 공정을 수행하는 단계; 및
    상기 제1 연마 선택비보다 낮은 제2 연마 선택비를 가지는 제2 슬러리 조성물을 적용하여 상기 상변화 물질층에 대한 제2 연마 공정을 수행하여 상기 리세스를 채우는 상변화 물질층 패턴을 형성하는 단계를 포함하는 상변화 물질층 패턴의 형성 방법.
  2. 제1항에 있어서, 상기 제1 연마 선택비는 1:5 내지 1:1,000의 범위인 것을 특징으로 하는 상변화 물질층 패턴의 형성 방법.
  3. 제1항에 있어서, 상기 제2 연마 선택비는 1:0.5 내지 1:2의 범위인 것을 특징으로 하는 상변화 물질층 패턴의 형성 방법.
  4. 제1항에 있어서, 상기 제2 연마 공정을 수행하는 단계는, 상기 제1 슬러리 조성물이 상기 상변화 물질층의 상부 표면을 산화시켜 형성되는 표면 산화막을 제 거하는 단계를 더 포함하는 것을 특징으로 하는 상변화 물질층 패턴의 형성 방법.
  5. 제1항에 있어서, 상기 제1 슬러리 조성물은 무기 연마제, 산화제, 유기산 및 용매를 포함하고, 상기 제2 슬러리 조성물은 무기 연마제, 산화제, 유기산, 아졸 화합물 및 용매를 포함하는 것을 특징으로 하는 상변화 물질층 패턴의 형성 방법.
  6. 제5항에 있어서, 상기 제1 슬러리 조성물은 무기 연마제를 0.1 내지 2중량%의 범위로 포함하고, 상기 제2 슬러리 조성물은 무기 연마제를 2.1 내지 5중량%의 범위로 포함하는 것을 특징으로 하는 상변화 물질층 패턴의 형성 방법.
  7. 제5항에 있어서, 상기 제1 슬러리 조성물은 산화제를 0.12 내지 5중량%의 범위로 포함하고, 상기 제2 슬러리 조성물은 산화제를 0.01 내지 0.5중량%의 범위로 포함하는 것을 특징으로 하는 상변화 물질층 패턴의 형성 방법.
  8. 제5항에 있어서, 상기 제1 슬러리 조성물은 상기 절연막에 대한 연마 속도가 1 내지 250Å/min의 범위이고, 상기 제2 슬러리 조성물은 상기 절연막에 대한 연마 속도가 300 내지 1,000Å/min의 범위인 것을 특징으로 하는 상변화 물질층 패턴의 형성 방법.
  9. 제5항에 있어서, 상기 제1 슬러리 조성물은 상기 상변화 물질층에 대한 연마 속도가 1,000 내지 100,000Å/min의 범위이고, 상기 제2 슬러리 조성물은 상기 상변화 물질층에 대한 연마 속도가 150 내지 1,000Å/min의 범위인 것을 특징으로 하는 상변화 물질층 패턴의 형성 방법.
  10. 제1항에 있어서, 상기 절연막은 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물 또는 이들의 혼합물을 이용하여 형성되는 것을 특징으로 하는 상변화 물질층 패턴의 형성 방법.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020070128365A 2007-12-11 2007-12-11 상변화 물질층 패턴의 형성 방법, 상변화 메모리 장치의제조 방법 및 이에 사용되는 상변화 물질층 연마용 슬러리조성물 KR101198100B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020070128365A KR101198100B1 (ko) 2007-12-11 2007-12-11 상변화 물질층 패턴의 형성 방법, 상변화 메모리 장치의제조 방법 및 이에 사용되는 상변화 물질층 연마용 슬러리조성물
US12/292,842 US7682976B2 (en) 2007-12-11 2008-11-26 Methods of forming a phase-change material layer pattern, methods of manufacturing a phase-change memory device and related slurry compositions
JP2008314555A JP5468769B2 (ja) 2007-12-11 2008-12-10 相変化物質層パターンの形成方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070128365A KR101198100B1 (ko) 2007-12-11 2007-12-11 상변화 물질층 패턴의 형성 방법, 상변화 메모리 장치의제조 방법 및 이에 사용되는 상변화 물질층 연마용 슬러리조성물

Publications (2)

Publication Number Publication Date
KR20090061374A KR20090061374A (ko) 2009-06-16
KR101198100B1 true KR101198100B1 (ko) 2012-11-09

Family

ID=40722105

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070128365A KR101198100B1 (ko) 2007-12-11 2007-12-11 상변화 물질층 패턴의 형성 방법, 상변화 메모리 장치의제조 방법 및 이에 사용되는 상변화 물질층 연마용 슬러리조성물

Country Status (3)

Country Link
US (1) US7682976B2 (ko)
JP (1) JP5468769B2 (ko)
KR (1) KR101198100B1 (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8735293B2 (en) * 2008-11-05 2014-05-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and methods relating thereto
KR20100094827A (ko) * 2009-02-19 2010-08-27 삼성전자주식회사 상변화 메모리 장치의 형성 방법
US8283202B2 (en) * 2009-08-28 2012-10-09 International Business Machines Corporation Single mask adder phase change memory element
US8283650B2 (en) 2009-08-28 2012-10-09 International Business Machines Corporation Flat lower bottom electrode for phase change memory cell
US8012790B2 (en) * 2009-08-28 2011-09-06 International Business Machines Corporation Chemical mechanical polishing stop layer for fully amorphous phase change memory pore cell
US8233317B2 (en) * 2009-11-16 2012-07-31 International Business Machines Corporation Phase change memory device suitable for high temperature operation
US8129268B2 (en) 2009-11-16 2012-03-06 International Business Machines Corporation Self-aligned lower bottom electrode
US7943420B1 (en) * 2009-11-25 2011-05-17 International Business Machines Corporation Single mask adder phase change memory element
US20120001118A1 (en) * 2010-07-01 2012-01-05 Koo Ja-Ho Polishing slurry for chalcogenide alloy
US20120003834A1 (en) 2010-07-01 2012-01-05 Koo Ja-Ho Method Of Polishing Chalcogenide Alloy
CN102463522B (zh) * 2010-11-18 2014-09-24 中芯国际集成电路制造(上海)有限公司 铝的化学机械抛光方法
KR20120104031A (ko) * 2011-03-11 2012-09-20 삼성전자주식회사 상변화 물질층, 상변화 물질층의 형성 방법, 상변화 메모리 장치 및 상변화 메모리 장치의 제조 방법
CN102690604A (zh) * 2011-03-24 2012-09-26 中国科学院上海微系统与信息技术研究所 化学机械抛光液
US8790160B2 (en) * 2011-04-28 2014-07-29 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for polishing phase change alloys
US8309468B1 (en) 2011-04-28 2012-11-13 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for polishing germanium-antimony-tellurium alloys
JP2013084876A (ja) * 2011-09-30 2013-05-09 Fujimi Inc 研磨用組成物
JP5945123B2 (ja) * 2012-02-01 2016-07-05 株式会社フジミインコーポレーテッド 研磨用組成物
JP2013247341A (ja) * 2012-05-29 2013-12-09 Fujimi Inc 研磨用組成物並びにそれを用いた研磨方法及びデバイス製造方法
US11271155B2 (en) 2020-03-10 2022-03-08 International Business Machines Corporation Suppressing oxidation of silicon germanium selenium arsenide material

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5166758A (en) 1991-01-18 1992-11-24 Energy Conversion Devices, Inc. Electrically erasable phase change memory
US5825046A (en) 1996-10-28 1998-10-20 Energy Conversion Devices, Inc. Composite memory material comprising a mixture of phase-change memory material and dielectric material
JP2002151451A (ja) * 2000-11-14 2002-05-24 Jsr Corp 研磨速度比の調整方法ならびに化学機械研磨用水系分散体およびこの化学機械研磨用水系分散体を用いた半導体装置の製造方法
KR20030081900A (ko) 2002-04-15 2003-10-22 삼성전자주식회사 상변화 메모리 소자의 제조방법
KR100979710B1 (ko) 2003-05-23 2010-09-02 삼성전자주식회사 반도체 메모리 소자 및 제조방법
JP4618987B2 (ja) * 2003-05-26 2011-01-26 日立化成工業株式会社 研磨液及び研磨方法
JP2005032855A (ja) 2003-07-09 2005-02-03 Matsushita Electric Ind Co Ltd 半導体記憶装置及びその製造方法
JP2005123482A (ja) 2003-10-17 2005-05-12 Fujimi Inc 研磨方法
JP4900565B2 (ja) 2005-02-23 2012-03-21 Jsr株式会社 化学機械研磨方法
KR100682948B1 (ko) * 2005-07-08 2007-02-15 삼성전자주식회사 상전이 메모리 소자 및 그 제조방법
KR100681266B1 (ko) 2005-07-25 2007-02-09 삼성전자주식회사 가변 저항 구조물의 제조 방법 및 이를 이용한 상변화메모리 장치의 제조 방법
US7897061B2 (en) * 2006-02-01 2011-03-01 Cabot Microelectronics Corporation Compositions and methods for CMP of phase change alloys
US8518296B2 (en) * 2007-02-14 2013-08-27 Micron Technology, Inc. Slurries and methods for polishing phase change materials

Also Published As

Publication number Publication date
JP5468769B2 (ja) 2014-04-09
KR20090061374A (ko) 2009-06-16
JP2009147337A (ja) 2009-07-02
US20090149006A1 (en) 2009-06-11
US7682976B2 (en) 2010-03-23

Similar Documents

Publication Publication Date Title
KR101198100B1 (ko) 상변화 물질층 패턴의 형성 방법, 상변화 메모리 장치의제조 방법 및 이에 사용되는 상변화 물질층 연마용 슬러리조성물
US8148710B2 (en) Phase-change memory device using a variable resistance structure
KR100749740B1 (ko) 상변화 메모리 장치의 제조 방법
KR100655796B1 (ko) 상변화 메모리 장치 및 그 제조 방법
KR100829602B1 (ko) 상변화 물질층 형성 방법 및 상변화 메모리 장치의 제조방법
US7332370B2 (en) Method of manufacturing a phase change RAM device utilizing reduced phase change current
JP5128731B2 (ja) Feramコンデンサの化学的機械研磨
KR100791477B1 (ko) 상변화 메모리 유닛, 이의 제조 방법, 이를 포함하는상변화 메모리 장치 및 그 제조 방법
KR100669851B1 (ko) 상변화 메모리 장치의 제조 방법
JP2002530890A5 (ko)
JP2008022003A (ja) 相変化物質層、相変化物質層形成方法、及びこれを利用した相変化メモリ装置の製造方法
US8546177B2 (en) Methods of manufacturing phase-change memory device and semiconductor device
US8080439B2 (en) Method of making a vertical phase change memory (PCM) and a PCM device
US8124526B2 (en) Methods of forming a thin ferroelectric layer and methods of manufacturing a semiconductor device including the same
CN102237309B (zh) 氧化锰基电阻型存储器与铜互连后端工艺集成的方法
KR20130049538A (ko) 연마용 슬러리 조성물 및 이를 이용한 상변화 메모리 장치의 제조 방법
KR20120020556A (ko) 화학적 기계적 연마 공정의 슬러리 조성물 및 이를 이용하는 상변화 메모리 소자의 형성 방법
CN101740717B (zh) 一种CuxO基电阻型存储器及其制备方法
KR100807224B1 (ko) 상변화 메모리 장치의 제조 방법
US10103331B2 (en) Slurry for polishing phase-change materials and method for producing a phase-change device using same
CN101826595B (zh) 一种WOx基电阻型存储器及其制备方法
US7525176B2 (en) Phase change memory cell design with adjusted seam location
KR20070011887A (ko) 상변화 메모리 장치 및 그 제조 방법
KR20070120242A (ko) 콘택 형성 방법 및 이를 이용한 상변화 메모리 장치의 제조방법.
KR20070079647A (ko) 상변화 메모리 소자의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20151001

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160930

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180927

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190930

Year of fee payment: 8