KR101179726B1 - Self-ionized and inductively-coupled plasma for sputtering and resputtering - Google Patents

Self-ionized and inductively-coupled plasma for sputtering and resputtering Download PDF

Info

Publication number
KR101179726B1
KR101179726B1 KR1020107009485A KR20107009485A KR101179726B1 KR 101179726 B1 KR101179726 B1 KR 101179726B1 KR 1020107009485 A KR1020107009485 A KR 1020107009485A KR 20107009485 A KR20107009485 A KR 20107009485A KR 101179726 B1 KR101179726 B1 KR 101179726B1
Authority
KR
South Korea
Prior art keywords
plasma
deposition
chamber
self
sputtering
Prior art date
Application number
KR1020107009485A
Other languages
Korean (ko)
Other versions
KR20100051882A (en
Inventor
페이준 딩
롱 타오
쳉 수
다니엘 씨. 루벤
수라즈 렌가라잔
마이클 에이. 밀러
아르빈드 선다라잔
시안민 탕
존 씨. 포스터
지안밍 푸
로더릭 씨. 모셀리
푸젠 첸
프라부람 고팔라자
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/993,543 external-priority patent/US6610184B2/en
Priority claimed from US10/202,778 external-priority patent/US20030116427A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority claimed from PCT/US2002/036940 external-priority patent/WO2003042424A1/en
Publication of KR20100051882A publication Critical patent/KR20100051882A/en
Application granted granted Critical
Publication of KR101179726B1 publication Critical patent/KR101179726B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3457Sputtering using other particles than noble gas ions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics

Abstract

SIP 스퍼터링 및 ICP 스퍼터링이 촉진되는 마그네트론 스퍼터 반응기(410) 및 마그네트론 스퍼터 반응기 사용 방법이 개시된다. 다른 챔버(412)에서, 타겟으로부터 기판 쪽으로 일 측면 상에서 마그네트론 스퍼터 반응기의 측벽들(414)을 따라 배치된 보조 자석들의 어레이가 개시된다. 바람직하게 마그네트론(436)은 제 2 극성을 가진 더 약한 내부 자극(440)을 둘러싸는 제 1 극성을 가진 더 강한 외부 자극(442)을 가진 것이고, 여기서, 상기 내부 자극과 외부 자극은 모두 요크(444) 상에 있으며, 상기 마그네트론(436)은 회전 수단(446, 448, 450)을 사용하여 챔버의 축(438)을 중심으로 회전한다. 바람직하게 보조 자석들(462)은 기판(424) 쪽으로 불균형 자계(460)를 끌어당기기 위하여 제 1 극성을 갖고, 기판(424)은 전력(454)이 공급되는 페데스털(422) 상에 있다. 아르곤(426)은 밸브(428)를 통해 공급된다. 타겟(416)에는 전력(434)이 공급된다.Disclosed are a magnetron sputter reactor 410 and a method of using a magnetron sputter reactor in which SIP sputtering and ICP sputtering are facilitated. In another chamber 412, an array of auxiliary magnets is disclosed disposed along sidewalls 414 of the magnetron sputter reactor on one side from the target toward the substrate. Preferably the magnetron 436 has a stronger external magnetic pole 442 with a first polarity surrounding the weaker internal magnetic pole 440 with a second polarity, where both the internal and external magnetic poles are yoke ( 444, the magnetron 436 rotates about the axis 438 of the chamber using the rotating means 446, 448, 450. Preferably the auxiliary magnets 462 have a first polarity to attract the unbalanced magnetic field 460 towards the substrate 424, the substrate 424 being on the pedestal 422 to which the power 454 is supplied. . Argon 426 is supplied through valve 428. The target 416 is supplied with power 434.

Description

스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합 플라즈마 {SELF-IONIZED AND INDUCTIVELY-COUPLED PLASMA FOR SPUTTERING AND RESPUTTERING}Self-ionizing and inductively coupled plasma for sputtering and resputtering {SELF-IONIZED AND INDUCTIVELY-COUPLED PLASMA FOR SPUTTERING AND RESPUTTERING}

본 출원은 2000년 10월 10일 출원된 계류중인 출원 09/685,978의 일부 계속 출원, 1999년 10월 8일 출원된 09/414,614의 분할 출원(미국특허번호 6,398,929로서 특허허여됨); 및 2002년 7월 25일 출원된 계류중인 출원 10/202,778의 일부 계속 출원(2001년 8월 30일 출원된 예비 출원 60/316,137, 및 2001년 12월 21일 출원된 60/342,608을 우선권 주장함); 및 2001년 11월 14일 출원된 계류중인 출원 09/993,543의 일부 계속 출원이고, 여기에 참조로써 통합된다.This application is partly pending application of pending application 09 / 685,978, filed October 10, 2000, and divided application of 09 / 414,614, filed October 8, 1999 (patented as US Pat. No. 6,398,929); And some continuing applications of pending application 10 / 202,778, filed on July 25, 2002 (priority applications 60 / 316,137, filed August 30, 2001, and 60 / 342,608, filed December 21, 2001). ; And some pending applications of pending application 09 / 993,543, filed November 14, 2001, which is incorporated herein by reference.

본 발명은 일반적으로 스퍼터링 및 재스퍼터링(resputtering)에 관한 것이다. 특히, 본 발명은 재료의 스퍼터 증착 및 반도체 집적 회로들의 형성시 증착된 재료의 재스퍼터링에 관한 것이다.The present invention relates generally to sputtering and resputtering. In particular, the present invention relates to sputter deposition of material and resputtering of deposited material in the formation of semiconductor integrated circuits.

반도체 집적 회로들은 통상적으로 다수의 액티브 반도체 소자들 사이에 전기 접속을 제공하기 위하여 다중 레벨의 금속배선(metallizagtion)을 포함한다. 특히 마이크로프로세서들에 대한 개선된 집적 회로들은 5 이상의 금속배선 레벨들을 포함할 수 있다. 과거에는, 알루미늄이 선호되는 금속배선이었지만, 개선된 집적 회로들을 위한 금속배선으로서 구리가 개발되었다. Semiconductor integrated circuits typically include multiple levels of metallizagtion to provide electrical connections between a plurality of active semiconductor devices. In particular, improved integrated circuits for microprocessors may include five or more metallization levels. In the past, aluminum has been the preferred metallization, but copper has been developed as the metallization for improved integrated circuits.

통상적인 금속배선 레벨은 도 1의 단면도에 도시된다. 하부 레벨층(110)은 도전 피쳐(112)를 포함한다. 만약 하부 레벨층(110)이 실리카 또는 다른 절연 재료 같은 하부 레벨 유전층이면, 도전 피쳐(112)는 하부 레벨 구리 금속배선일 수 있고, 상부 레벨 금속배선의 수직 부분은 상기 수직 부분이 금속배선의 두 개의 레벨들을 상호접속하기 때문에 비아라 한다. 만약 하부 레벨층(110)이 실리콘층이면, 도전 피쳐(112)는 도핑된 실리콘 영역일 수 있고, 하나의 홀에 형성된 상부 레벨 금속배선의 수직 부분은 그것이 전기적으로 실리콘과 접촉하기 때문에 접촉부라한다. 상부 레벨 유전층(114)은 하부 레벨 유전층(110) 및 하부 레벨 금속배선(112) 상에 증착된다. 라인들 및 트렌치들을 포함하는 홀들에 대한 여러 모양들이 있다. 또한, 하기될 바와 같이 이중 다마신 및 유사한 상호접속 구조들에서, 홀들은 복잡한 모양을 가진다. 몇몇 애플리케이션에서, 홀은 유전층을 통하여 연장하지 않을 수 있다. 다음 논의는 단지 비아 홀들에 대해서만 이루어지지만, 대부분의 환경들에서 상기 논의는 종래에 잘 공지된 몇몇 변형들을 가진 여러 타입의 홀들에 똑같이 적용 가능하다.Typical metallization levels are shown in the cross sectional view of FIG. Lower level layer 110 includes conductive feature 112. If lower level layer 110 is a lower level dielectric layer, such as silica or other insulating material, conductive feature 112 may be a lower level copper metallization, where the vertical portion of the upper level metallization is the two vertical portions of the metallization. These are called vias because they interconnect the levels. If lower level layer 110 is a silicon layer, conductive feature 112 may be a doped silicon region, and the vertical portion of the upper level metallization formed in one hole is called a contact because it is in electrical contact with silicon. . Upper level dielectric layer 114 is deposited on lower level dielectric layer 110 and lower level metallization 112. There are several shapes for the holes including lines and trenches. Also, in dual damascene and similar interconnect structures, as will be described below, the holes have a complex shape. In some applications, the holes may not extend through the dielectric layer. The following discussion is made only for via holes, but in most circumstances the discussion is equally applicable to several types of holes with some variations well known in the art.

통상적으로, 유전체는 선구체로서 테트라에틸오소실리케이트(TEOS)를 사용하여 플라즈마 강화 화학 기상 증착(PECVD)에 의해 형성된 실리콘 산화물이다. 그러나, 다른 조성의 로우-k(low-k) 및 증착 기술들이 고려될 수 있다. 개발된 몇몇의 낮은 k 유전체는 질화된 실리케이트 유리 같은 실리케이트들로서 특성화된다. 이후, 실리케이트(산화물) 유전체가 직접적으로 기술되지만, 다른 유전체 조성물들이 사용될 수 있다는 것이 고려된다.Typically, the dielectric is silicon oxide formed by plasma enhanced chemical vapor deposition (PECVD) using tetraethyl orthosilicate (TEOS) as a precursor. However, other compositions of low-k and deposition techniques may be considered. Some low k dielectrics developed are characterized as silicates, such as nitrided silicate glass. Afterwards, the silicate (oxide) dielectric is described directly, however, it is contemplated that other dielectric compositions may be used.

비아 홀은 실리케이트 유전체의 경우 질소 기재 플라즈마 에칭 처리를 사용하여 통상적으로 상부 레벨 유전층(114)으로 에칭된다. 개선된 집적 회로들에서, 비아 홀들은 0.18㎛ 또는 그 이하만큼 작은 폭들을 가질 수 있다. 유전층(114)의 두께는 일반적으로 적어도 0.7㎛이고, 때때로 이에 대해 두 배이므로, 홀의 종횡비는 4:1 또는 그 이상일 수 있다. 6:1 및 그 이상의 종횡비들이 제안된다. 게다가, 대부분의 환경들에서, 비아 홀은 수직 프로파일을 가져야 한다.Via holes are typically etched into the top level dielectric layer 114 using a nitrogen based plasma etch process for the silicate dielectric. In improved integrated circuits, the via holes may have widths as small as 0.18 μm or less. Since the thickness of the dielectric layer 114 is generally at least 0.7 μm, and sometimes twice this, the aspect ratio of the holes may be 4: 1 or more. 6: 1 and more aspect ratios are proposed. In addition, in most circumstances, the via hole should have a vertical profile.

라이너층(116)은 홀의 하부 및 측면들과 유전층(114)상에 증착될 수 있다. 라이너(116)는 몇몇 기능들을 수행할 수 있다. 상기 라이너층은 금속막들이 산화물들로부터 벗겨지는 경향이 있기 때문에 유전체 및 금속 사이의 부착층으로서 작동할 수 있다. 상기 라이너층은 산화물 기재 유전체 및 금속 사이의 내부 확산에 대한 배리어로서 작동할 수 있다. 상기 라이너층은 균일한 부착 및 성장과, 가능하면 홀을 충전하는 금속의 증착을 위한 저온 리플로우 및 각각의 시드층의 균일한 성장을 핵으로 하기 위한 시드(seed) 및 핵형성 층으로서 작동한다. 하나 이상의 라이너층들은 증착될 수 있고, 여기에서 하나의 라이너층은 우선 배리어층으로서 작동할 수 있고 다른 라이너층들은 우선적으로 부착, 시드 또는 핵형성 층들로서 기능할 수 있다.The liner layer 116 may be deposited on the bottom and sides of the hole and the dielectric layer 114. Liner 116 may perform some functions. The liner layer can act as an adhesion layer between the dielectric and the metal because the metal films tend to peel off the oxides. The liner layer can act as a barrier to internal diffusion between the oxide based dielectric and the metal. The liner layer acts as a seed and nucleation layer to nucleate uniform deposition and growth, possibly cold reflow for the deposition of metal filling the holes and uniform growth of each seed layer. . One or more liner layers may be deposited, where one liner layer may first act as a barrier layer and other liner layers may preferentially function as attachment, seed or nucleation layers.

구리 같은 도전 금속의 상호 접속층(118)은 그 다음 라이너층(116)상에 증착되어 홀을 충전하고 유전층(114)의 상부를 커버한다. 통상적인 알루미늄 금속배선들은 금속 층(118)의 편평한 부분의 선택적 에칭에 의해 수평 상호접속부들로 패턴화된다. 그러나, 구리 금속배선 기술, 소위 이중 다마신은 유전층(114)의 홀을 두개의 접속된 부분들로 형성하고, 제 1 부분은 유전층의 하부 부분을 통한 좁은 바이어스들이고 제 2 부분은 바이어스를 상호 접속하는 표면 부분의 보다 넓은 트렌치들이다. 금속 증착후, 화학적 기계적 연마(CMP)는 수행되어 유전체 산화물상에서 노출된 비교적 부드러운 구리를 제거하지만 보다 단단한 산화물상에서 멈춘다. 결과적으로, 다음 하부 레벨의 도전 피쳐(112)와 유사한 상부 레벨의 다중 구리 충전 트렌치들은 서로 절연된다. 구리 충전된 트렌치들은 구리 충전된 바이어스들 사이에서 수평 상호접속부로서 작동한다. 이중 다마신 및 CMP의 결합은 구리를 에칭할 필요성을 제거한다. 몇몇 층 구조들 및 에칭 시퀀스들은 이중 다마신을 위하여 개발되었고, 다른 금속배선 구조들은 유사한 제조 요구조건들을 가진다. An interconnect layer 118 of a conductive metal, such as copper, is then deposited on the liner layer 116 to fill the holes and cover the top of the dielectric layer 114. Typical aluminum metallizations are patterned into horizontal interconnections by selective etching of the flat portion of the metal layer 118. However, copper metallization technology, so-called dual damascene, forms a hole in the dielectric layer 114 into two connected portions, the first portion being narrow biases through the lower portion of the dielectric layer and the second portion interconnecting the bias. Wider trenches in the surface portion. After metal deposition, chemical mechanical polishing (CMP) is performed to remove the relatively soft copper exposed on the dielectric oxide but stop on the harder oxide. As a result, the multiple copper fill trenches of the upper level similar to the next lower level conductive feature 112 are insulated from each other. Copper filled trenches operate as horizontal interconnects between copper filled biases. The combination of double damascene and CMP eliminates the need to etch copper. Some layer structures and etching sequences have been developed for dual damascene and other metallization structures have similar manufacturing requirements.

이중 다마신에서 발생하는 것 같은 비아 홀들 및 유사한 고종횡비 구조들을 라이닝하고 충전하는 것은 그 종횡비들이 계속하여 증가할때 계속적인 도전에 직면된다. 4:1의 종횡비들은 일반적이고 그 값은 추가로 증가할 것이다. 여기에 사용된 바와 같은 종횡비는 일반적으로 홀의 깊이 대 상기 홀의 상부 표면 근처에서 홀의 가장 좁은 폭의 비율로서 정의된다. 0.18㎛의 비아 폭들은 일반적이고 상기 값은 추가로 감소할 것이다. 산화물 유전체들에 형성된 개선된 구리 상호접속부들에 대하여, 배리어층의 형성은 명확하게 핵형성 및 시드층으로부터 분리될 것이다. 확산 배리어는 Ta/TaN, W/WN, 또는 Ti/TiN, 또는 다른 구조들의 이중층으로부터 형성될 수 있다. 10 내지 50nm의 배리어 두께는 통상적이다. 구리 상호접속부들에 대하여, 핵형성 및 시드 기능들을 수행하기 위하여 하나 이상의 구리 층들을 증착하는 것이 유용하다는 것이 발견되었다. Lining and filling via holes and similar high aspect ratio structures as occurring in dual damascene face ongoing challenges as their aspect ratios continue to increase. Aspect ratios of 4: 1 are common and the value will increase further. Aspect ratio as used herein is generally defined as the ratio of the depth of the hole to the narrowest width of the hole near the top surface of the hole. Via widths of 0.18 μm are common and the value will further decrease. For improved copper interconnects formed in oxide dielectrics, the formation of the barrier layer will clearly separate from the nucleation and seed layer. The diffusion barrier may be formed from a bilayer of Ta / TaN, W / WN, or Ti / TiN, or other structures. Barrier thicknesses of 10 to 50 nm are typical. For copper interconnects, it has been found useful to deposit one or more copper layers to perform nucleation and seed functions.

통상적인 물리적 기상 증착(PVD), 소위 스퍼터링에 의한 라이너층 또는 금속배선의 증착은 비교적 빠르다. DC 자기 스퍼터링 반응기는 스퍼터 증착될 금속으로 구성되고 DC 전기 소스에 의해 전력이 인가되는 타켓을 가진다. 자석은 타켓의 후면 부근에서 주사되고 그 자기장을 타켓 근처 반응기 부분으로 돌출시켜 플라즈마 밀도를 증가시키고 따라서 스퍼터링 속도를 증가시킨다. 그러나, 통상적인 DC 스퍼터링(도입된 여러 타입의 스퍼터링과 대조하여 PVD라 불림)은 주로 중성자들을 스퍼터링한다. PVD에서 통상적인 이온 밀도들은 109cm-3 미만이다. PVD는 원자들을 타켓 법선에 대해 코사인 종속하는 넓은 각도로 분산시킨다. 상기 넓은 분산은 도 2에 도시된 바와 같이 깊고 좁은 비아 홀(122)을 충전하는데 바람직하지 않을 수 있고, 여기에서 배리어층(124)은 이미 증착되었다. 다수의 오프 각도 스퍼터 입자들은 층(126)이 우선적으로 홀(122)의 상부 모서리들 주변에 증착하도록 하여 오버행(overhang)(128)들을 형성한다. 큰 오버행들은 홀(122)에 대한 입구를 추가로 제한하여 홀(122)의 측벽들(130) 및 하부(132)에 부적당한 커버리지를 유발한다. 또한, 오버행들(128)은 충전되기전 홀(122)에 브리지를 형성할 수 있고 홀(122)내에 금속배선의 보이드(134)를 형성한다. 일단 보이드(134)가 형성되면, 종종 용융점 근처로 금속배선을 가열함으로써 상기 금속배선을 다시 리플로우하는 것은 어렵다. 심지어 작은 보이드는 신뢰성 문제들을 유도할 수 있다. 만약 제 2 금속배선 증착 단계는 전기 도금에 의해 도금될 작정이고, 브리지 오버행은 추후 증착을 보다 어렵게 만든다. Conventional physical vapor deposition (PVD), the deposition of liner layers or metallization by so-called sputtering, is relatively fast. The DC magnetic sputtering reactor consists of a metal to be sputter deposited and has a target powered by a DC electric source. The magnet is scanned near the back of the target and projects its magnetic field into the reactor portion near the target to increase the plasma density and thus the sputtering rate. However, conventional DC sputtering (called PVD in contrast to the various types of sputtering introduced) mainly sputter neutrons. Typical ion densities in PVD are less than 10 9 cm -3 . PVD distributes atoms at a wide angle that is cosine dependent on the target normal. This wide dispersion may be undesirable for filling deep and narrow via holes 122 as shown in FIG. 2, where barrier layer 124 has already been deposited. Multiple off-angle sputter particles cause layer 126 to preferentially deposit around the upper edges of hole 122 to form overhangs 128. Large overhangs further limit the entrance to the hole 122, resulting in inadequate coverage of the sidewalls 130 and the bottom 132 of the hole 122. In addition, the overhangs 128 may form bridges in the holes 122 before being filled and form voids 134 of metallization in the holes 122. Once voids 134 are formed, it is often difficult to reflow the metallization again by heating the metallization near the melting point. Even small voids can lead to reliability problems. If the second metallization deposition step is intended to be plated by electroplating, the bridge overhang makes later deposition more difficult.

오버행 문제를 개선하기 위한 한가지 방법은 스퍼터링 타켓이 스퍼터 코팅될 웨이퍼 또는 다른 기판으로부터 비교적 멀리 간격지는 롱 쓰로우(long throw) 스퍼터링이다. 예를 들어, 타켓 대 웨이퍼 간격은 적어도 웨이퍼 직경의 50%, 바람직하게 90% 이상, 및 보다 바람직하게 140% 이상이다. 결과적으로, 스퍼터링 분산의 오프-각도 부분은 우선적으로 챔버 벽으로 지향되지만, 중심 각도 부분은 대부분은 웨이퍼쪽으로 지향된다. 절단된 각도 분산은 보다 많은 부분의 스퍼터 입자들이 홀(122)쪽으로 깊숙히 지향되도록 하여 오버행(128)의 범위를 감소시킨다. 유사한 효과는 타켓 및 웨이퍼 사이의 시준기를 배치시킴으로써 달성될수있다. 시준기가 높은 종횡비의 다수의 홀들을 가지기 때문에, 오프 각도 스퍼터 입자들은 시준기의 측벽들을 때리고, 중심 각도 입자들은 통과한다. 롱 쓰로우 타켓들 및 시준기들은 통상적으로 웨이퍼에 도달하는 스퍼터 입자들의 플럭스를 감소시키고 스퍼터 증착 속도를 감소시킨다. 상기 감소는 쓰로우들이 길어지거나 시준이 증가하는 종횡비들의 홀들을 통하여 수용하도록 엄격해질 때보다 두드러진다.One way to ameliorate the overhang problem is long throw sputtering where the sputtering target is relatively far from the wafer or other substrate to be sputter coated. For example, the target to wafer spacing is at least 50%, preferably at least 90%, and more preferably at least 140% of the wafer diameter. As a result, the off-angle portion of the sputtering dispersion is preferentially directed to the chamber wall, while the center angle portion is mostly directed towards the wafer. The truncated angular dispersion causes more of the sputter particles to be deeply directed towards the hole 122, reducing the range of overhang 128. Similar effects can be achieved by placing a collimator between the target and the wafer. Since the collimator has multiple holes of high aspect ratio, the off angle sputter particles hit the side walls of the collimator and the center angle particles pass through. Long throw targets and collimators typically reduce the flux of sputter particles that reach the wafer and reduce the sputter deposition rate. The decrease is more pronounced when the throws are tightened to accommodate through holes of aspect ratios with longer or collimating increases.

또한, 롱 쓰로우 스퍼터링이 증가될 수 있는 길이는 제한될 수 있다. PVD 스퍼터링에 종종 사용된 수 밀리토르의 아르곤에서, 타켓 대 웨이퍼 간격이 증가할때 스퍼터된 입자들을 아르곤 스퍼터링하는 가능성이 보다 크다. 따라서, 순방향 입자들의 기하학적인 선택은 감소될 수 있다. 롱 쓰로우 및 시준 양쪽을 가지는 다른 문제는 감소된 금속 플럭스가 생산율을 감소시키는 것뿐 아니라, 스퍼터링 동안 웨이퍼가 경험하는 최대 온도를 증가시키는 경향이 있는 보다 긴 증착 기간을 유발한다. 다른 문제점에서, 롱 쓰로우 스퍼터링은 행(hang)상에서 감소할 수 있고 측벽들의 중간 및 상부 부분들에 우수한 커버리지를 제공하지만, 하부 측벽 및 하부 커버리지는 만족스럽지 않다. In addition, the length by which long throw sputtering can be increased can be limited. In the few millitorrs of argon often used for PVD sputtering, there is a greater possibility of argon sputtering sputtered particles as the target to wafer spacing increases. Thus, the geometric selection of the forward particles can be reduced. Other problems with both long throw and collimation not only reduce the production rate, but also lead to longer deposition periods that tend to increase the maximum temperature experienced by the wafer during sputtering. In another problem, long throw sputtering can decrease on a hang and provide good coverage in the middle and upper portions of the sidewalls, but the lower sidewall and lower coverage are not satisfactory.

깊은 홀 라이닝 및 충전을 위한 다른 기술은 이온화 금속 도금(IMP)이라 불리는 스퍼터링 처리에서 고밀도 플라즈마(HDP)를 사용하여 스퍼터링하는 것이다. 통상적인 고밀도 플라즈마는 플라즈마 외장을 제외하고 플라즈마를 가로질러 평균 플라즈마 밀도가 적어도 1011cm-3이고, 바람직하게 적어도 1012cm-3이다. IMP 증착에서, 각각의 플라즈마 소스 영역은 예를 들어 타켓 및 웨이퍼 사이의 플라즈마 소스 영역 둘레에 감겨진 전기 코일로부터 플라즈마에 RF 전력을 유도 결합함으로써 웨이퍼로부터 떨어진 영역에 형성된다. 이런 방식으로 형성된 플라즈마는 유도 결합된 플라즈마(ICP)라 불린다. 이런 구조를 가진 HDP 챔버는 HDP PVD 반응기로서 캘리포니아 산타 클라라 어플라이드 머티어리얼스사에서 상업적으로 판매되고 있다. 보다 높은 전력은 아르곤 작동 가스를 이온화시킬 뿐 아니라, 스퍼터된 원자들의 이온화 부분을 상당히 증가시킨다. 즉, 금속 이온들을 생성한다. 웨이퍼는 네가티브 전위로 자체 충전되거나 DC 전위를 제어하기 위하여 RF 바이어스된다. 금속 이온들은 그것들이 네가티브적으로 바이어스된 웨이퍼에 접근할때 플라즈마 외장을 가로질러 가속된다. 결과적으로, 각도있는 분산은 순방향으로 강하게 피크되어, 비아 홀쪽으로 깊게 유도된다. 오버행들은 IMP 스퍼터링에서 훨씬 덜 문제가 되고, 하부 커버리지 및 하부 측벽 커버리지는 비교적 높다.Another technique for deep hole lining and filling is to sputter using high density plasma (HDP) in a sputtering process called ionized metal plating (IMP). Typical high density plasmas have an average plasma density of at least 10 11 cm −3 and preferably at least 10 12 cm −3 across the plasma, except for the plasma sheath. In IMP deposition, each plasma source region is formed in an area away from the wafer, for example by inductively coupling RF power to the plasma from an electrical coil wound around the plasma source region between the target and the wafer. The plasma formed in this way is called inductively coupled plasma (ICP). The HDP chamber with this structure is commercially available from Santa Clara Applied Materials, California, as an HDP PVD reactor. Higher power not only ionizes the argon working gas, but also significantly increases the ionization portion of the sputtered atoms. That is, metal ions are generated. The wafer is self charged to negative potential or RF biased to control the DC potential. Metal ions are accelerated across the plasma sheath as they approach the negatively biased wafer. As a result, the angular dispersion peaks strongly in the forward direction, leading deeper into the via hole. Overhangs are much less problematic in IMP sputtering, and the lower coverage and lower sidewall coverage are relatively high.

원격 플라즈마를 사용하는 IMP 스퍼터링은 30 밀리토르 또는 그 이상의 보다 높은 압력에서 일반적으로 수행된다. 보다 높은 압력들 및 높은 밀도 플라즈마는 스퍼터 증착될 표면쪽으로 플라즈마 외장을 가로질러 가속되는 다수의 아르곤 이온들을 생성한다. 아르곤 이온 에너지는 형성될 막에 직접적으로 가열됨으로써 종종 소비된다. 구리는 IMP에서 경험된 상승된 온도에서, 즉 50 내지 75℃의 온도에서 조차 탄탈륨 질화물 및 다른 배리어 재료들로부터 듀잇(dewet)된다. 게다가, 아르곤은 현상 막에 내장되는 경향이 있다. IMP는 거칠거나 불연속적인 표면 구조를 가진 도 3의 단면에서 136으로 도시된 바와 같은 구리 막을 증착할 수 있다. 만약 그렇다면, 상기 막은 특히 라이너가 전기 도금을 위한 전극으로 사용될 때 홀을 채우는 것을 조장하지 않을 수 있다.IMP sputtering using remote plasma is generally performed at higher pressures of 30 millitorr or higher. Higher pressures and high density plasma produce a large number of argon ions that are accelerated across the plasma enclosure towards the surface to be sputter deposited. Argon ion energy is often consumed by heating directly to the film to be formed. Copper is dewed from tantalum nitride and other barrier materials even at elevated temperatures experienced in IMP, ie even at temperatures between 50 and 75 ° C. In addition, argon tends to be embedded in the developing film. IMP can deposit a copper film as shown at 136 in the cross section of FIG. 3 with a rough or discontinuous surface structure. If so, the film may not encourage filling the hole, especially when the liner is used as an electrode for electroplating.

금속들을 증착하기 위한 다른 기술은 푸(Fu) 등에 의한 1997년 5월 8일 출원된 미국특허출원 08/854,008 및 푸 등에 의한 1999년 8월 12일 출원된 미국특허 6,183,614 B1, 일련번호 09/373,097에 의해 기술된 바와 같은 자체 유지 스퍼터링(sustained self sputtering; SSS)이 있으며, 상기 특허 문헌들은 전체적으로 본 명세서에 참조에 의해 통합된다. 예를 들어, 구리 타켓 근처 충분히 높은 플라즈마 밀도에서, 구리 이온들의 충분히 높은 밀도는 구리 이온들이 유니트상에서 생산율을 갖는 구리 타켓을 리스퍼터할 것을 전개한다. 아르곤 작동 가스의 공급은 구리 플라즈마가 잔존하는 동안 제거될 수 있거나 매우 낮은 압력으로 감소된다. 알루미늄은 SSS에 쉽게 영향을 받지 않는 것으로 믿어진다. Pd, Pt, Ag, 및 Au 같은 몇몇 다른 재료들은 SSS를 경험할 수 있다.Other techniques for depositing metals are described in U.S. Patent Application 08 / 854,008, filed May 8, 1997 by Fu et al., And U.S. Patent 6,183,614 B1, Serial No. 09 / 373,097, filed August 12,1999 by Fu et al. There is a sustained self sputtering (SSS) as described by, which patent documents are incorporated herein by reference in their entirety. For example, at a sufficiently high plasma density near a copper target, a sufficiently high density of copper ions develops that the copper ions resputter a copper target with a production rate on the unit. The supply of argon working gas can be removed while the copper plasma remains or is reduced to very low pressure. It is believed that aluminum is not easily affected by SSS. Some other materials, such as Pd, Pt, Ag, and Au, may experience SSS.

구리의 자체 유지 스퍼터링에 의해 구리 또는 다른 금속들을 증착하는 것은 다수의 장점들을 가진다. SSS에서 스퍼터링 속도는 높다. 플라즈마 외장을 가로질러 바이어스된 웨이퍼쪽으로 가속될 수 있는 구리 이온들의 많은 부분이 있어서, 스퍼터 플럭스의 방향성을 증가시킨다. 챔버 압력은 매우 낮을 수 있고, 종종 후면 냉각 가스의 누설에 의해 제한되고, 아르곤 이온들로부터 웨이퍼 가열을 감소시키고 아르곤에 의해 금속 입자들의 산란을 감소시킨다.Depositing copper or other metals by self-maintaining sputtering of copper has a number of advantages. Sputtering speed is high in SSS. There is a large portion of copper ions that can be accelerated toward the biased wafer across the plasma sheath, increasing the directionality of the sputter flux. Chamber pressure can be very low, often limited by the leakage of backside cooling gas, reducing wafer heating from argon ions and reducing scattering of metal particles by argon.

자체 유지 스퍼터링을 조장하기 위한 기술들 및 반응기 구조들이 개발되었다. 서브 유니티 리스퍼터가 이들 동일한 기술들 및 구조들로부터 장점을 형성하고, 가정하여, 부분 자체 이온화 플라즈마(SIP)을 유발하는 부분 자체 스퍼터링으로 인해 몇몇 스퍼터 재료들이 SSS에 영향을 받지 않는다는 것이 관찰되었다. 게다가, 비록 종종 임의의 아르곤 작동 가스없는 SSS가 달성될 수 있지만 낮은 그러나 제한된 아르곤 압력으로 구리를 스퍼터하는 것이 바람직하다. 따라서, SIP 스퍼터링은 SSS가 SIP 타입이도록 작동 가스의 감소된 또는 영의 압력을 포함하는 많은 일반적인 스퍼터링 처리를 위하여 바람직한 용어이다. SIP 스퍼터링은 푸 등에 의한 미국특허 6,290,825 및 1999년 10월 8일 출원된 치앙 등에 의한 미국특허출원 09/414,614에 기술되고, 여기에 참조로써 통합된다. Techniques and reactor structures have been developed to promote self-maintaining sputtering. It has been observed that the sub unity sputters form an advantage from these same techniques and structures, assuming that some sputter materials are not affected by SSS due to partial self sputtering which causes partial self ionizing plasma (SIP). In addition, it is desirable to sputter copper with low but limited argon pressure, although often SSS without any argon working gas can be achieved. Thus, SIP sputtering is the preferred term for many common sputtering processes involving reduced or zero pressure of the working gas such that the SSS is SIP type. SIP sputtering is described in US Pat. No. 6,290,825 to Fu et al. And US Patent Application 09 / 414,614 to Chiang et al. Filed Oct. 8, 1999, which is incorporated herein by reference.

SIP 스퍼터링은 타켓에 인접한 고밀도 플라즈마(HDP)를 생성하고 플라즈마를 확장하고 웨이퍼쪽으로 금속 이온들을 가이드하도록 통상적인 용량 결합 자기 스퍼터 반응기에 대한 다양한 변형들을 사용한다. 비교적 높은 양의 DC 전력은 200mm 웨이퍼를 위하여 설계된 챔버에 대해 예를 들어 20 내지 40kW로 타켓에 인가된다. 게다가, 자석은 비교적 작은 영역을 가져서 타켓 전력은 자석의 보다 작은 영역에 집중되어, 자석에 인접한 HDP 영역에 공급된 전력 밀도를 증가시킨다. 작은 영역 자석은 타켓의 중심측에 배치되고 보다 균일한 스퍼터링 및 증착을 제공하기 위하여 중심부 부근을 회전한다.SIP sputtering uses various modifications to conventional capacitively coupled magnetic sputter reactors to generate high density plasma (HDP) adjacent to the target, to expand the plasma and to guide metal ions towards the wafer. A relatively high amount of DC power is applied to the target, for example 20 to 40 kW for a chamber designed for a 200 mm wafer. In addition, the magnet has a relatively small area so that the target power is concentrated in the smaller area of the magnet, increasing the power density supplied to the HDP area adjacent to the magnet. Small region magnets are placed on the center side of the target and rotate near the center to provide more uniform sputtering and deposition.

SIP 스퍼터링의 일형태에서, 자석은 밸런스되지 않은 극들을 가진다. 즉 다른 극성의 보다 약한 내부 자극을 둘러싸는 하나의 자석 극성의 강한 외부 자극보다 강한 자극으로부터 발산하는 자기장 라인들은 타켓 면에 인접한 종래 수평 자기장뿐 아니라, 웨이퍼쪽으로 연장하는 수직 자기장으로 분리된다. 수직 필드 라인은 웨이퍼를 향해 연장하고, 또한 금속이온을 웨이퍼로 안내한다. 더욱이, 챔버 벽에 근접한 수직 자기 라인은 플라즈마로부터 접지된 차폐부로의 전자의 확산을 방지하는 역할을 한다. 감소된 전자 손실은 특히 플라즈마 밀도를 증가시키고 프로세싱 공간에 걸쳐 플라즈마를 퍼지게 하는데 효과적이다. In one form of SIP sputtering, the magnet has unbalanced poles. That is, the magnetic field lines diverging from the stronger magnetic poles of one magnet polarity surrounding the weaker inner magnetic poles of the other polarity are separated into a vertical magnetic field extending toward the wafer as well as a conventional horizontal magnetic field adjacent to the target plane. Vertical field lines extend toward the wafer and also guide metal ions to the wafer. Moreover, vertical magnetic lines close to the chamber wall serve to prevent the diffusion of electrons from the plasma into the grounded shield. Reduced electron loss is particularly effective in increasing the plasma density and spreading the plasma over the processing space.

SIP 스퍼터링은 RF 코일의 사용없이 실행될 수도 있다. 작은 HDP 영역은 10 내지 25% 사이로 평가되는 금속 이온의 실질적인 비율로 이온화하는데 충분하며, 이는 깊은 홀로 효과적으로 스퍼터 코팅한다. 특히, 높은 이온화 비율에서, 이온화된 스퍼터링된 금속 원자는 타겟으로 다시 집중하며 추가로 금속 원자를 스퍼터링한다. 그 결과로써, 아르곤의 작용 압력은 플라즈마의 상실없이 감소될 수도 있다. 따라서, 웨이퍼에 대한 아르곤 가열은 문제가 덜 되며, 이는 이온 밀도를 감소시키고 금속 이온 스퍼터링 패턴을 랜덤하게 한다. SIP sputtering may be performed without the use of an RF coil. The small HDP area is sufficient to ionize with a substantial proportion of the metal ions, estimated to be between 10 and 25%, which effectively sputter coats into deep holes. In particular, at high ionization rates, the ionized sputtered metal atoms concentrate again on the target and further sputter the metal atoms. As a result, the working pressure of argon may be reduced without losing the plasma. Thus, argon heating to the wafer is less problematic, which reduces ion density and randomizes metal ion sputtering patterns.

SIP에 사용된 언밸런스된 마그네트론의 추가 장점은 더 강한 외부의 환상 극으로부터의 전계가 웨이퍼를 향해 플라즈마 프로세싱 영역 깊숙이 투입된다는 것이다. 이런 투입 필드는 플라즈마 프로세싱 영역의 많은 부분에 걸쳐 강한 플라즈마를 제공하고 이온화된 스퍼터 입자를 웨이퍼로 안내하는 장점을 갖는다. 2000년 7월 10일 출원된 Wei Wang의 미국 특허 출원 No.09/612,861에는 타겟으로부터 웨이퍼를 향해 연장하는 자계 성분을 생성하기 위해 플라즈마 프로세스 영역의 주용 부분을 감싸고 있는 동축 전자기 코일의 사용에 대해 개시한다. 자기 코일은 특히 SIP 스퍼터링을 롱-쓰로우 스퍼터 반응기, 즉 보조 자계가 플라즈마를 보조하고 더욱이 이온화된 스퍼터 입자를 안내하기 때문에 타겟과 웨이퍼 사이에 큰 공간을 갖는 반응기에 적용하는데 효과적이다. Lai는 미국 특허 5,593,551에서 타겟 부근의 작은 코일에 대해 개시하였다. An additional advantage of the unbalanced magnetrons used in SIP is that electric fields from stronger external annular poles are injected deep into the plasma processing region towards the wafer. This input field has the advantage of providing a strong plasma over much of the plasma processing region and guiding ionized sputter particles to the wafer. Wei Wang, US Patent Application No. 09 / 612,861, filed on July 10, 2000, discloses the use of a coaxial electromagnetic coil that wraps the main portion of the plasma process region to produce a magnetic component that extends from the target toward the wafer. do. Magnetic coils are particularly effective for applying SIP sputtering to long-throw sputter reactors, ie reactors with large spaces between the target and wafer because the auxiliary magnetic field assists the plasma and further guides ionized sputter particles. Lai discloses a small coil in the vicinity of the target in US Pat. No. 5,593,551.

그러나, SIP 스퍼터링은 여전히 개선될 수 있다. 이와 관련한 근본적인 문제는 자계 구조를 최적화하는데 사용가능한 변수의 제한된 수(number) 이다. 마그네트론은 타겟 전력 밀도를 최대화하기 위해 작아야 하지만, 타겟은 균일하게 스퍼터링될 필요가 있다. 자계는 전자 트래핑을 최대화하기 위해 타겟 부근의 강한 수평 성분을 가져야 한다. 자계의 소정의 성분은 이온화된 스퍼터 입자를 안내하기 위해 타겟으로부터 웨이퍼를 향해 투입된다. Wang의 동축 자계 코일은 이러한 문제점의 단지 일부만을 언급한다. 미국 특허 5,593,551에 개시된, 수평으로 배치된 영구 자석은 이러한 효과에 대해 상세히 언급하지 않는다. However, SIP sputtering can still be improved. The fundamental problem in this regard is the limited number of variables available for optimizing the magnetic field structure. The magnetron should be small to maximize the target power density, but the target needs to be sputtered uniformly. The magnetic field must have a strong horizontal component near the target to maximize electron trapping. Certain components of the magnetic field are introduced from the target toward the wafer to guide the ionized sputter particles. Wang's coaxial magnetic coil addresses only some of these problems. Horizontally arranged permanent magnets, disclosed in US Pat. No. 5,593,551, do not address this effect in detail.

금속은, 상업적으로 Schumacher이라는 이름의 CupraSelect라는 상품명을 갖는 추가의 접착제인 Cu-HFAC-VTMS와 같은 금속 유기(metallo-organic) 선구체를 사용하는 화학기상증착(CVD)에 의해 증착될 수 있다. 열 CVD 프로세스는 기술분야에 공지된 바와 같이, 이러한 선구체와 함께 사용될 수 있지만, 플라즈마 CVD(PECVD) 또한 사용가능하다. CVD 프로세스는 고종횡비 홀에서도 거의 균일한 막을 증착하는데 사용가능하다. 예를 들어, 막은 얇은 시드층으로서 CVD에 의해 증착될 수 있으며, PVD 또는 다른 기술이 최종 홀 충전에 사용될 수도 있다. 그러나, CVD 구리 시드층은 종종 거칠게 되는 것이 발견된다. 거칠기는 시드층으로서 그리고 보다 구체적으로는 증착후 홀 깊숙이 구리의 저온 리프로우를 증진시키는 리프로우 층으로서 사용함으로써 줄어들 수 있다. 또한 거칠기는 50nm 정도의 상대적인 두께를 갖는 CVD 구리 층이 연속적인 시드층을 신뢰할 만하게 코팅하는데 필요하다는 것을 나타낸다. 고려되는 더 좁은 비아 홀에 대해, 소정 두께의 CVD 구리 시드층은 홀을 거의 충전할 수 있다. 그러나, CVD에 의해 실행된 완전한 충전은 중심 시임으로부터 어려움을 겪으며, 이는 장치 신뢰도에 영향을 미친다. The metal may be deposited by chemical vapor deposition (CVD) using a metallo-organic precursor such as Cu-HFAC-VTMS, which is an additional adhesive commercially available under the name CupraSelect under the name Schumacher. Thermal CVD processes can be used with such precursors, as is known in the art, but plasma CVD (PECVD) is also available. The CVD process can be used to deposit almost uniform films even in high aspect ratio holes. For example, the film may be deposited by CVD as a thin seed layer, and PVD or other techniques may be used for final hole filling. However, it is found that CVD copper seed layers often become rough. The roughness can be reduced by using it as a seed layer and more specifically as a draw layer that promotes low temperature draw of copper deep into the hole after deposition. The roughness also indicates that a CVD copper layer with a relative thickness on the order of 50 nm is needed to reliably coat the continuous seed layer. For the narrower via holes considered, a CVD copper seed layer of predetermined thickness can almost fill the holes. However, complete filling performed by CVD suffers from central seam, which affects device reliability.

다른 관련 기술은 얇은 구리 핵형성 층을 증착하기 위해 때때로 플래시 증착으로 불려지는 IMP 스퍼터링을 사용하는데, 더 두꺼운 CVD 구리 시드층은 IMP 층에 증착된다. 그러나, 도3에 도시된 바와 같이, IMP 층(136)은 거칠 수 있으며, CVD 층은 대개 기판을 거칠게 하는 경향이 있다. 따라서, IMP 층위의 CVD 층은 거칠게 되는 경향이 있다. Another related technique uses IMP sputtering, sometimes called flash deposition, to deposit thin copper nucleation layers, with thicker CVD copper seed layers deposited on the IMP layer. However, as shown in Figure 3, the IMP layer 136 may be rough, and the CVD layer usually tends to roughen the substrate. Thus, the CVD layer over the IMP layer tends to be rough.

전기화학적 도금(ECP)은 개발되어질 또 다른 구리 증착 기술이다. 이러한 방식으로, 웨이퍼는 구리 전해조에 침지된다. 웨이퍼는 전해조에 대해 전기적으로 바이어싱되며, 그리는 전기화학적으로 통상적으로 컨포멀 프로세스에서 웨이퍼에 증착된다. 무전기 도금 기술이 또한 사용가능하다. 전기 도금 및 관련된 프로세스는 이들이 대기압에서 간단한 설비로 실행될 수 있기 때문에 유리하며, 증착률은 높고, 액체 프로세싱은 연속적인 화학 물리적 연마와 조화된다. Electrochemical plating (ECP) is another copper deposition technique to be developed. In this way, the wafer is immersed in a copper electrolyzer. The wafer is electrically biased against the electrolyzer and is then electrochemically deposited on the wafer in a conventional conformal process. Radioless plating techniques are also available. Electroplating and related processes are advantageous because they can be performed with simple equipment at atmospheric pressure, deposition rates are high, and liquid processing is coordinated with continuous chemical physical polishing.

그러나, 전기도금은 그 자체의 필요조건을 갖는다. 시드 및 접착층은 통상적으로 Ta/TaN 같은 배리어층의 상부에 제공되어, 전기 도금된 구리를 핵형성하고 이를 배리어 재료에 접착시킨다. 더욱이, 비아홀(122)을 둘러싸는 통상적인 절연 구조는 유전층(114)과 비아홀(122) 사이에 전기 도금 전극이 형성될 것을 필요로 한다. 탄탈 및 다른 배리어 재료는 통상적으로 상대적으로 낮은 전기적 도전체이며, (구리 전해질을 함유하는)비아홀(122)과 마주하는 배리어층(124)의 통상의 질화물 서브층은 전기 도금에 필요한 긴 횡단 전류 경로에 비해 도전성이 훨씬 덜하다. 따라서, 우수한 도전성 시드 및 접착층은 비하홀의 하부를 효과적으로 충전하는 전기 도금을 용이하게 하기 위해 종종 증착된다. However, electroplating has its own requirements. Seed and adhesive layers are typically provided on top of a barrier layer, such as Ta / TaN, to nucleate the electroplated copper and adhere it to the barrier material. Moreover, conventional insulating structures surrounding the via holes 122 require that an electroplating electrode be formed between the dielectric layer 114 and the via holes 122. Tantalum and other barrier materials are typically relatively low electrical conductors, and conventional nitride sublayers of the barrier layer 124 facing the via holes 122 (containing copper electrolyte) have long transverse current paths required for electroplating. It is much less conductive than Thus, good conductive seeds and adhesive layers are often deposited to facilitate electroplating, which effectively fills the bottom of the bottom hole.

배리어층(124) 위에 증착된 구리 시드층은 통상적으로 전기 도금 전극으로써 사용된다. 그러나, 연속적이고 평탄하고 균일한 막이 바람직하다. 그렇지 않으면, 전기 도금 전류는 구리로 커버링된 부분으로만 향할 것이며, 우선적으로 두꺼운 구리로 커버링된 영역으로 향할 것이다. 구리 시드층을 증착하는 것은 그 자체의 문제점이 있다. IMP 증착된 시드층은 고종횡비 홀에서 우수한 하부 커버리지를 제공하지만, 그 측면 커버리지는 최종 박막이 되고 불연속적이 되도록 작을 수 있다. 얇은 CVD 증착된 시드는 또한 거칠 수도 있다. 두꺼운 CVD 증착된 시드층 또는 IMP 구리 위의 CVD 구리는 필요한 연속성을 얻기 위해 현저히 두꺼운 시드층을 필요로 할 수 있다. 또한, 전기도금 전극은 본질적으로 높은 측벽 커버리지가 요구되도록 전체 홀 측벽 상에서 동작할 수 있다. 롱 쓰로우는 적절한 측벽 커버리지를 제공하지만, 하부 커버리지는 충분하지 않을 수도 있다. The copper seed layer deposited over the barrier layer 124 is typically used as an electroplating electrode. However, continuous, flat and uniform membranes are preferred. Otherwise, the electroplating current will only be directed to the portion covered with copper and preferentially to the area covered with thick copper. Depositing a copper seed layer has its own problems. The IMP deposited seed layer provides good bottom coverage in the high aspect ratio holes, but its side coverage can be as small as the final thin film and discontinuous. Thin CVD deposited seeds may also be rough. Thick CVD deposited seed layers or CVD copper over IMP copper may require a significantly thick seed layer to achieve the required continuity. In addition, the electroplated electrodes can operate on the entire hole sidewall such that essentially high sidewall coverage is required. Long throws provide adequate sidewall coverage, but lower coverage may not be sufficient.

본 발명의 일 실시예는 하나의 챔버에서 롱-쓰로우 스퍼터링, 자기 이온 플라즈마(SIP) 스퍼터링, 유도성 커플 플라즈마(ICP) 스퍼터링, 및 코일 스퍼터링을 조합함으로써 탄탈 또는 탄탈 질화물과 같은 라이너 재료를 스퍼터 증착하는 것에 관한 것이다. 롱-쓰로우 스퍼터링은 상대적으로 높은 비율의 타겟-대-기판 거리 및 기판 직경에 특징이 있다. 롱-쓰로우 SIP 스퍼터링은 이온화되고 중성 증착 재료 성분의 깊은 홀 코팅을 증진시킨다. ICP 스퍼터링은 접촉 저항을 감소시키기 위해 깊은 홀의 층 하부 커버리지의 두께를 감소시킬 수 있다. ICP 스퍼터링 동안, ICP 코일 스퍼터링은, 스퍼터링에 의한 박층화가 필요하지 않은 홀개구부 부근과 같은 영역 상의 보호층을 증착시킬 수 있다. One embodiment of the invention sputters liner materials such as tantalum or tantalum nitride by combining long-throw sputtering, magnetic ion plasma (SIP) sputtering, inductive couple plasma (ICP) sputtering, and coil sputtering in one chamber. It is about depositing. Long-throw sputtering is characterized by a relatively high ratio of target-to-substrate distance and substrate diameter. Long-throw SIP sputtering is ionized and promotes deep hole coating of neutral deposition material components. ICP sputtering can reduce the thickness of the bottom layer coverage of deep holes to reduce contact resistance. During ICP sputtering, ICP coil sputtering can deposit a protective layer on an area, such as near the hole opening, that does not require thinning by sputtering.

본 발명의 또 다른 특징은 하나의 챔버에서 롱-쓰로우 스퍼터링, 자체-이온화된 플라즈마(SIP) 스퍼터링, 및 SIP 재스퍼터링을 조합함으로써, 구리와 같은 인터커넥트 재료를 스퍼터링 증착하는 것에 관한 것이다. 게다가, 롱-쓰로우 SIP 증착은 이온화된 중성 구리 성분의 깊은 홀 코팅을 증진시킨다. SIP 재스퍼터링은 깊은 홀의 우수한 하부 모서리 커버리지를 증진시키기 위해 재분배될 수 있다. Another feature of the invention relates to sputter deposition of interconnect materials such as copper by combining long-throw sputtering, self-ionized plasma (SIP) sputtering, and SIP resputtering in one chamber. In addition, long-throw SIP deposition promotes deep hole coating of ionized neutral copper components. SIP resputtering can be redistributed to promote good lower edge coverage of deep holes.

SIP는 5밀리토르 이하, 특히 2밀리토르 이하, 보다 바람직하게는 1밀리토르 이하의 저압에 의해 증진되는 경향이 있다. 특히 이러한 저압에서, SIP는 상대적으로 작은 영역을 갖는 마그네트론에 의해 증진되어 타겟 전력 밀도를 향상시키며, 비대칭 자석을 갖는 마그네트론을 사용함으로써 자계가 기판을 향해 보다 더 침투하게 한다. 이러한 프로세스는 시드층을 증착하는데 사용될 수 있는데, 시드층은 나중에 증착될 층의 핵형성 또는 시딩을 증진시키고 유전층을 통과하는 특히 좁고 깊은 비아 또는 콘택을 형성하는데 유용하다. 추가의 층은 전기 화학적 도금(ECP)에 의해 증착될 수 있다. 또 다른 실시예에서, 추가의 층은 화학 기상 증착(CVD)에 의해 증착된다. SIP tends to be enhanced by low pressures of 5 millitorr or less, especially 2 millitorrs or less, more preferably 1 millitorr or less. Particularly at such low pressures, SIP is promoted by magnetrons with relatively small areas to improve target power density, allowing magnetic fields to penetrate more towards the substrate by using magnetrons with asymmetric magnets. This process can be used to deposit seed layers, which are useful for promoting nucleation or seeding of layers to be deposited later and for forming particularly narrow deep vias or contacts through the dielectric layer. Additional layers can be deposited by electrochemical plating (ECP). In another embodiment, additional layers are deposited by chemical vapor deposition (CVD).

일 실시예는 마그네트론 스퍼터 반응기에서 보조 자석 어레이를 포함하는데, 이는 웨이퍼에 근접한 챔버에 배치되고 제1 수직 자계 극성을 갖는다. 자석은 영구 자석이거나 챔버의 중앙측을 따르는 코일 축을 갖는 전자석의 배열일 수 있다. One embodiment includes an array of auxiliary magnets in a magnetron sputter reactor, which is disposed in a chamber proximate the wafer and has a first vertical magnetic field polarity. The magnet may be a permanent magnet or an array of electromagnets with a coil axis along the center side of the chamber.

일 실시예에서, 제1 자석 극성의 강한 외부 자극을 갖는 회전가능한 자석은 반대 극성의 약한 극을 둘러싼다. 보조 자석은 바람직하게 웨이퍼 부근의 프로세싱 공간의 절반에 바람직하게 배치되어 외부 자극으로부터 웨이퍼를 향해 자계의 언밸런스한 부분을 끌어당긴다. In one embodiment, the rotatable magnet with a strong external pole of the first magnet polarity surrounds the weak pole of the opposite polarity. The auxiliary magnet is preferably placed in half of the processing space near the wafer to attract the unbalanced portion of the magnetic field from the external magnetic pole towards the wafer.

SIP 챔버에서의 재스퍼터링은 일 실시예에서 웨이퍼의 바이어싱이 증착동안 증가되는 다중 스텝으로 증진될 수 있다. 택일적으로, 타겟에 대한 전력은 비아 및 다른 홀의 하부 모서리에 대한 증착을 재분배하기 위해 증착동안 감소될 수도 있다. Resputtering in the SIP chamber may be promoted in multiple embodiments in which the biasing of the wafer is increased during deposition. Alternatively, power to the target may be reduced during deposition to redistribute deposition to the bottom edges of vias and other holes.

이하에서 설명될 본원 발명의 추가의 특징이 있다. 따라서, 상기한 사항은 본 발명의 실시예 및 특징 중 일부의 요약이다. 본 발명의 추가의 실시예 및 특징은 이하에서 언급된다. 본 발명의 권리범위를 벗아나지 않고 다양한 변경된 실시예가 만들어질 수 있다. 따라서, 전술한 요약은 발명의 사상을 한정하지 않는다. 본 발명의 사상은 첨부된 청구항에 의해 결정된다. There are further features of the present invention to be described below. Accordingly, the foregoing is a summary of some of the embodiments and features of the present invention. Further embodiments and features of the invention are mentioned below. Various modified embodiments can be made without departing from the scope of the present invention. Accordingly, the foregoing summary does not limit the spirit of the invention. The spirit of the invention is determined by the appended claims.

도1은 종래 기술과 같이 유전체의 상부를 커버하는 금속배선(metallization)으로 충전된 비아의 단면도이다.
도2는 비아에 걸쳐서 막고 있는 금속공정으로 충전하는 동안의 비아의 단면도이다.
도3은 이온화된 금속 도금에 의해 증착된 거친 시드층을 갖는 비아의 단면도이다.
도4는 본 발명의 실시예에 사용가능한 스퍼터링 챔버의 개략도이다.
도5는 도4의 스퍼터링 챔버의 다양한 성분의 전기적 인터커넥션의 나타낸 개략도이다.
도6-9b는 본 발명의 일실시예에 따른 비아 라이너 및 금속공정을 위한 비아 라이너 및 금속 공정 및 형성 프로세스의 개략도이다.
도10은 본 발명의 보조 자석 어레이를 포함하는 스퍼터 반응기의 단면도이다.
도11은 도10의 스퍼터 반응기에서 상부 자석의 하부 평면도이다.
도12는 보조 자석 어레이를 지지하는 어셈블리의 실시예의 정사영도이다.
도13은 보조 자석 어레이가 전자석의 어레이를 포함하는 스퍼터 반응기의 개략적인 단면도이다.
도14a 및 14b는 본 발명의 실시예에 따른 비아 시드층 및 비아 시드층 형성 프로세스의 단면도이다.
도15는 본 발명에 사용가능한 또 다른 스퍼터링 챔버의 개략도이다.
도16은 타겟, 차폐부, 절연체 및 타겟 O-링을 상세히 나타낸 도15의 분해 조립도이다.
도17은 플로팅 차폐부의 길이와 플라즈마를 지지하기 위한 최소 압력 사이의 관계를 나타낸 그래프이다.
도18은 본 발명에 따른 비아 금속 공정의 단면도이다.
도19 및 20은 두 개의 상이한 자석 및 상이한 동작 조건을 위한 웨이퍼 양단의 이온 전류를 나타낸 그래프이다.
도21은 본 발명의 일 실시예에 따른 비아 금속 공정의 단면도이다.
도22는 본 발명의 또 다른 실시예에 따른 비아 금속 공정의 단면도이다.
도23은 웨이퍼의 가열을 감소시키는 플라즈마 점화 시퀀스의 흐름도이다.
도24는 본 발명의 또 다른 실시예에 따른 프로세서에 따라 형성된 비아 금속 공정의 단면도이다.
도25는 본 발명의 또 다른 실시예에 따른 스퍼터링 챔버의 개략도이다.
도26은 도25의 스퍼터링 챔버의 다양한 성분의 전기적 인터커넥션의 개락도이다.
도27은 본 발명의 실행될 통합 프로세싱 툴의 개략도이다.
1 is a cross-sectional view of vias filled with metallization covering the top of a dielectric as in the prior art.
2 is a cross sectional view of the via during filling with a metal process clogging over the via;
3 is a cross-sectional view of a via having a rough seed layer deposited by ionized metal plating.
4 is a schematic diagram of a sputtering chamber usable in an embodiment of the invention.
FIG. 5 is a schematic diagram illustrating electrical interconnection of various components of the sputtering chamber of FIG. 4. FIG.
6-9B are schematic diagrams of via liner and metal processing and forming processes for via liner and metal processing in accordance with one embodiment of the present invention.
10 is a cross-sectional view of a sputter reactor including an auxiliary magnet array of the present invention.
FIG. 11 is a bottom plan view of the upper magnet in the sputter reactor of FIG.
12 is an orthographic view of an embodiment of an assembly supporting an auxiliary magnet array.
13 is a schematic cross-sectional view of a sputter reactor in which the auxiliary magnet array comprises an array of electromagnets.
14A and 14B are cross-sectional views of a via seed layer and via seed layer formation process in accordance with an embodiment of the present invention.
Figure 15 is a schematic diagram of another sputtering chamber usable in the present invention.
FIG. 16 is an exploded view of FIG. 15 detailing a target, shield, insulator and target o-ring; FIG.
17 is a graph showing the relationship between the length of the floating shield and the minimum pressure for supporting the plasma.
18 is a cross sectional view of a via metal process in accordance with the present invention.
19 and 20 are graphs showing ion current across the wafer for two different magnets and different operating conditions.
Figure 21 is a cross sectional view of a via metal process in accordance with an embodiment of the present invention.
Figure 22 is a cross sectional view of a via metal process in accordance with another embodiment of the present invention.
Figure 23 is a flow chart of a plasma ignition sequence that reduces the heating of the wafer.
24 is a cross-sectional view of a via metal process formed in accordance with another embodiment of the present invention.
25 is a schematic diagram of a sputtering chamber according to another embodiment of the present invention.
FIG. 26 is a schematic diagram of electrical interconnection of various components of the sputtering chamber of FIG. 25. FIG.
Figure 27 is a schematic diagram of an integrated processing tool to be implemented of the present invention.

DC 자석 스퍼터링 반응기에서 측벽과 하부 커버리지 사이의 분배는 유전층에서 홀 또는 비아에 요구되는 특성을 갖는 라이너층과 같은 금속층을 형성하기 위해 적용될 수 있다. 고종횡비 비아로 스퍼터 증착된 SIP 막은 적절한 측벽 커버리지를 가질 수 있으며, 걸쳐지지 않는 경향이 있다. 바람직한 하부 커버리지는 박층화되거나, 비하의 하부의 ICP 스퍼터링에 의해 제거될 수도 있다. 본 발명의 일 특징에 따라, 두 스퍼터링 타입의 장점은 각각의 단계에서 실행될 수 있는 SIP 및 CIP 플라즈마 생성 기술의 선택된 특징을 조합한 반응기에서 얻어질 수 있다. 이러한 반응기의 예는 도4에서 150으로 기재된다. 게다가, 라이너층 측벽의 상부 부분은 코일 재료를 기판 상에 증착하기 위해 챔버 내에 배치된 ICP 코일(151)을 스퍼터링 함으로써 재스퍼터링으로부터 보호될 수 있다.The distribution between the sidewalls and the bottom coverage in a DC magnet sputtering reactor can be applied to form a metal layer, such as a liner layer, having the properties required for holes or vias in the dielectric layer. Sputter deposited SIP films with high aspect ratio vias can have adequate sidewall coverage and tend not to span. Preferred bottom coverage may be thinned or removed by ICP sputtering of the underside of the rain. According to one aspect of the invention, the advantages of both sputtering types can be obtained in a reactor combining selected features of SIP and CIP plasma generation techniques that can be implemented in each step. An example of such a reactor is described as 150 in FIG. In addition, the upper portion of the liner layer sidewalls can be protected from resputtering by sputtering an ICP coil 151 disposed in the chamber to deposit coil material onto the substrate.

또한 반응기(150)는 플라즈마에 의해 생성된 SIP와 ICP 모두를 바람직하게는 조합하여 그러나 선택적으로도 사용하여 배리어 또는 라이너층과 같은 금속층을 스퍼터 증착하는데 사용될 수 있다. DC 마그네트론 스퍼터링 반응기 내의 이온화된 중성 원자 플럭스 사이의 분포는 홀 내에서 또는 유전체층 내에서 코팅부를 형성하도록 맞추어질(tailor) 수 있다. 이미 언급한 바와 같이, 높은 종횡비(aspect-ratio)로 스퍼터 증착된 SIP 막은 바람직한 상부 측벽 커버리지를 가질 수 있으며 오버행(overhang)을 형성하지 않는다. 한편, ICP 생성된 플라즈마는 홀에 스퍼터 증착된 막이 양호한 기저부(bottom)와 기저부 코너 커버리지를 가질 수 있다. 본 발명의 또 다른 태양(aspect)에 따라서, 두 가지 타입의 스퍼터링이 갖는 장점은 두 개의 증착 기술중에서 선택된 태양과 결합하는 반응기(150)와 같은 반응기에서 얻어질 수 있다. 게다가, 코일 재료는 필요하다면 역시 증착층에 분포되도록 스퍼터링될 수 있다. Reactor 150 may also be used to sputter deposit metal layers, such as barrier or liner layers, preferably in combination but also optionally in combination with both SIP and ICP generated by plasma. The distribution between the ionized neutral atom flux in the DC magnetron sputtering reactor can be tailored to form a coating in the hole or in the dielectric layer. As already mentioned, a sputter deposited SIP film with high aspect-ratio may have desirable top sidewall coverage and does not form an overhang. On the other hand, the ICP generated plasma may have a bottom and a base corner coverage where the sputter deposited film in the hole is good. According to another aspect of the present invention, the advantages of the two types of sputtering can be obtained in a reactor such as reactor 150 that combines with an aspect selected from two deposition techniques. In addition, the coil material can be sputtered so as to be distributed in the deposition layer if necessary.

라이너, 배리어 및 다른 층들을 형성하기 위한 반응기(150)와 여러 프로세스들이 2002년 7월 25일에 출원된 계류중인 U.S. 특허출원 제10/202,778호(대리인 문서번호 제4044호)에 상세히 개시되어 있으며, 상기 출원 명세서는 그 전체가 본 명세서에서 참조로 포함된다. 여기서 설명한 바와 같이, 예시된 실시예의 반응기(150)는 캘리포니아, 산타 클라라에 있는 어플라이드 머티어리얼스 사에서 입수할 수 있는 Endura PVD Reactor의 변형물에 기초한 DC 마그네트론 타입의 반응기이다. 이러한 반응기는 웨이퍼(158) 상에 스퍼터 증착될 재료로 이루어진 적어도 표면 부분을 갖는 PVD 타겟(156)에 타겟 절연체(154)를 통해 전기적으로 접지되고 밀봉되며 보통 금속으로 이루어진 진공 챔버(152)를 포함한다. 타겟 스퍼터링 표면은 도면에서 평면으로 도시되었지만, 타겟 스퍼터링 표면(들)은 천장이 둥글고 원주형을 포함하는 다양한 형태를 가질 수 있다. 웨이퍼는 150,200,300,450mm를 포함하는 상이한 크기를 가질 수 있다. 예시된 반응기(150)는 롱-쓰로우(long-throw) 모드에서 자기-이온화(self-ionized) 스퍼터링(SIP)을 할 수 있다. 이러한 SIP 모드는 커버리지가 홀의 측벽에 주로 영향을 미치는 일 실시예에서 사용될 수 있다. SIP 모드는 또한 양호한 기저부 커버리지를 달성하는데 사용될 수 있다.Reactor 150 and various processes for forming liners, barriers, and other layers are described in pending U.S. Pat. Patent Application No. 10 / 202,778 (Agent No. 4044), which is incorporated by reference in its entirety. As described herein, the reactor 150 of the illustrated embodiment is a DC magnetron type reactor based on a variant of Endura PVD Reactor, available from Applied Materials, Inc. of Santa Clara, California. This reactor includes a vacuum chamber 152 electrically grounded and sealed through a target insulator 154, usually made of metal, to a PVD target 156 having at least a surface portion of material to be sputter deposited onto the wafer 158. do. Although the target sputtering surface is shown planar in the figures, the target sputtering surface (s) can have a variety of forms, including rounded ceilings and cylindrical. Wafers can have different sizes, including 150,200,300,450 mm. The illustrated reactor 150 may be self-ionized sputtering (SIP) in long-throw mode. This SIP mode may be used in one embodiment where coverage primarily affects the sidewalls of the holes. SIP mode can also be used to achieve good base coverage.

또한 반응기(150)는 RF 에너지를 반응기의 내부에 유도적으로 결합시키는 RF 코일(151)을 포함한다. 코일(151)에 의해 제공된 RF 에너지는 기저부 커버리지를 얇게 하기 위해 이온화된 아르곤을 사용하여 증착층을 재스퍼터링하거나 기저부 커버리지를 개선하기 위해 스퍼터링된 증착 재료를 이온화하도록 플라즈마를 유지시키기 위해 아르곤과 같은 선구 가스를 이온화시킨다. 일 실시예에서, 고밀도 IMP 처리 동안 20-60 mTorr와 같이 비교적 높은 압력에서 플라즈마를 유지시키는 것보다는, 압력은 탄탈 질화물을 증착하는 동안 1 mTorr 또는 탄탈을 증착하는 동안 2.5 mTorr와 같이 실질적으로 낮은 압력에서 유지된다. 그러나, 0.1 내지 40 mTorr 범위의 압력은 어플리케이션에 따라 적당할 수 있다. 그 결과, 반응기(150) 내에서 이온화 비율은 통상적인 고밀도 IMP 처리보다 실질적으로 낮을 것이다. 이러한 플라즈마는 증착된 층을 재스퍼터링하기 위해 또는 스퍼터링된 증착 재료를 이온화하기 위해 또는 이들 모두를 위해 사용될 수 있다. 더욱이, 코일(151)은 증착된 재료를 얇게 하는 것이 바람직하지 않은 영역을 위해 웨이퍼 상으로 증착된 재료를 재스퍼터링하는 동안 웨이퍼 상에 보호 코팅부를 제공하거나 또는 추가의 증착 재료를 제공하기 위해 스퍼터링될 수 있다.The reactor 150 also includes an RF coil 151 that inductively couples RF energy into the interior of the reactor. The RF energy provided by the coil 151 may use a precursor such as argon to maintain plasma to ionize the sputtered deposition material to resputter the deposition layer using ionized argon to thin the base coverage or to improve the base coverage. Ionize the gas. In one embodiment, rather than maintaining the plasma at a relatively high pressure such as 20-60 mTorr during high density IMP processing, the pressure is substantially lower than 1 mTorr during deposition of tantalum nitride or 2.5 mTorr during deposition of tantalum. Is maintained at. However, pressures in the range of 0.1 to 40 mTorr may be appropriate depending on the application. As a result, the ionization rate in reactor 150 will be substantially lower than conventional high density IMP treatment. Such plasma may be used to resputter the deposited layer or to ionize the sputtered deposition material or both. Moreover, the coil 151 may be sputtered to provide a protective coating on the wafer or to provide additional deposition material while resputtering the deposited material onto the wafer for areas where it is undesirable to thin the deposited material. Can be.

일 실시예에서, 양호한 상부 측벽 커버리지와 기저부 코너 커버리지는 하나의 단계에서 RF 전력이 코일에 거의 또는 전혀 제공되지 않는 다중 단계 프로세스에서 이루어질 수 있다. 따라서, 하나의 단계에서, 스퍼터링된 타겟 증착 재료의 이온화는 자기-이온화의 결과에 따라서 주로 발생할 것이다. 따라서, 양호한 상부 측벽 커버리지가 이루어질 것이다. 제 2 단계에서 바람직하게 동일한 챔버에서, RF 전력은 코일(151)에 제공될 수 있지만, 압력이 타겟에 낮게 제공되거나 전혀 제공되지 않는다. 이러한 실시예에서, 재료는 타겟(156)으로부터 거의 스퍼터링되지 않거나 전혀 스퍼터링되지 않지만 선구 가스의 이온화는 코일(151)에 유도적으로 결합된 RF 에너지의 결과에 따라서 주로 발생한다. ICP 플라즈마는 홀의 기저부에서 배리어층 저항을 감소시키기 위해 에치 또는 재스퍼터링에 의해 기저부 커버리지를 얇게 하거나 제거할 수 있다. 게다가, 코일(151)은 얇아지는 것이 바람직하지 않은 보호 재료를 증착하도록 스퍼터링될 수 있다. 일 실시예에서, 압력은 플라즈마 밀도가 비교적 낮아져 코일로부터 스퍼터링된 증착 재료의 이온화를 감소시키도록 비교적 낮게 유지될 수 있다. 그 결과, 스퍼터링된 코일 재료는 상부 측벽 부분들이 얇게 되는 것을 보호하기 위해 상부 측벽 위로 주로 증착되도록 대부분 중성으로 남아있을 수 있다. In one embodiment, good top sidewall coverage and bottom corner coverage may be achieved in a multi-step process in which little or no RF power is provided to the coil in one step. Thus, in one step, ionization of the sputtered target deposition material will occur primarily as a result of self-ionization. Thus, good top sidewall coverage will be achieved. In the same chamber, preferably in the second step, RF power may be provided to the coil 151, but the pressure is provided to the target low or not at all. In this embodiment, the material is little or no sputtered from the target 156 but ionization of the precursor gas occurs primarily as a result of RF energy inductively coupled to the coil 151. ICP plasma can thin or remove base coverage by etch or resputtering to reduce barrier layer resistance at the bottom of the hole. In addition, the coil 151 may be sputtered to deposit a protective material that is not desirable to be thinned. In one embodiment, the pressure can be kept relatively low so that the plasma density is relatively low to reduce ionization of the sputtered deposition material from the coil. As a result, the sputtered coil material can remain mostly neutral to be deposited primarily over the top sidewall to protect the top sidewall portions from thinning.

예시된 반응기(150)는 자기-이온화된 스퍼터링을 할 수 있기 때문에, 증착 재료는 플라즈마가 RF 코일(151)에 의해 유지되는 결과로뿐만 아니라 타겟(156)의 스퍼터링에 의해서 이온화될 수 있다. 양호한 기저부 커버리지를 갖도록 층을 증착하는 것이 바람직한 경우, 조합된 SIP와 ICP 이온화 처리는 양호한 기저부와 기저부 코너 커버리지를 위해 충분히 이온화된 재료를 제공한다. 그러나, RF 코일(151)에 의해 제공된 낮은 압력의 플라즈마의 낮은 이온화 비율은 상부 측벽 위로 증착되도록 충분한 중성 스퍼터링 재료가 이온화되지 않은 채 남아 있게 한다. 따라서, 이온화된 증착 재료의 결합된 소스는 양호한 상부 측벽 커버리지 뿐만 아니라 기저부 코너 커버리지 모두를 제공할 수 있으며, 이는 하기에서 상세히 설명된다. Since the illustrated reactor 150 can be self-ionized sputtering, the deposition material can be ionized by sputtering of the target 156 as well as the result of the plasma being held by the RF coil 151. If it is desirable to deposit the layer with good base coverage, the combined SIP and ICP ionization treatments provide sufficiently ionized material for good base and base corner coverage. However, the low ionization rate of the low pressure plasma provided by the RF coil 151 leaves enough neutral sputtering material unionized to deposit over the top sidewall. Thus, the combined source of ionized deposition material can provide both good top sidewall coverage as well as bottom corner coverage, as described in detail below.

선택적인 실시예에서, 양호한 상부 측벽 커버리지, 기저부 커버리지 및 기저부 코너 커버리지는 하나의 단계에서 RF 전력이 코일에 거의 또는 전혀 제공되지 않는 다중 단계 프로세스에서 이루어질 수 있다. 따라서, 하나의 단계에서, 증착 재료의 이온화는 자기-이온화의 결과에 따라 주로 발생할 것이다. 결과적으로, 양호한 상부 측벽 커버리지가 이루어질 수 있다. 제 2 단계에서 바람직하게 동일한 챔버에서, RF 전력은 코일(151)에 제공될 수 있다. 게다가, 일 실시예에서, 압력은 고밀도 플라즈마가 유지되도록 실질적으로 상승할 수 있다. 그 결과, 양호한 기저부와 기저부 코너 커버리지는 제 2 단계에서 이루어질 수 있다.In alternative embodiments, good top sidewall coverage, bottom coverage and bottom corner coverage may be achieved in a multi-step process in which little or no RF power is provided to the coil in one step. Thus, in one step, ionization of the deposition material will occur primarily as a result of self-ionization. As a result, good top sidewall coverage can be achieved. In the same chamber, preferably in the second step, RF power may be provided to the coil 151. In addition, in one embodiment, the pressure may rise substantially to maintain a high density plasma. As a result, good base and base corner coverage can be achieved in the second step.

웨이퍼 클램프(160)는 웨이퍼(158)를 페데스털 전극(162) 위에 홀딩한다. 저항성 히터, 냉각(refrigerant) 채널, 및 페데스털(162) 내의 열 전달 가스 캐버티는 페데스털의 온도가 -40도씨보다 낮은 온도로 제어되어 웨이퍼 온도가 유사하게 제어되도록 제공된다.Wafer clamp 160 holds wafer 158 over pedestal electrode 162. The resistive heater, the refrigerant channel, and the heat transfer gas cavity in the pedestal 162 are provided such that the temperature of the pedestal is controlled below -40 degrees Celsius so that the wafer temperature is similarly controlled.

부분적으로 중성 플럭스를 갖는 깊은 홀 코팅부를 얻기 위해, 타겟(156)과 웨이퍼(158) 사이의 거리는 롱-쓰로우 모드에서 도작하도록 증가될 수 있다. 이 경우, 타겟-대-기판 간격은 통상적으로 기판 직경의 절반보다 크다. 도시된 실시예에서, 간격은 웨이퍼 직경의 90%보다 크지만(200 mm 웨이퍼에서는 190 mm 간격을 가지며 300 mm 웨이퍼에서는 290 mm 간격을 가짐), 기판 직경의 100%보다 크고 140%보다 클 정도로 80%보다 큰 간격이 적절할 수도 있다. 많은 어플리케이션에서, 50 내지 1000 mm의 타게-대-기판 간격이 적절할 수 있다. 종래 스퍼터링의 롱 쓰로우는 스퍼터링 증착 비율을 감소시키지만, 이온화된 스퍼터 입자는 크게 감소하지 않는다. To obtain a deep hole coating with partially neutral flux, the distance between the target 156 and the wafer 158 can be increased to work in a long-throw mode. In this case, the target-to-substrate spacing is typically greater than half the substrate diameter. In the illustrated embodiment, the spacing is greater than 90% of the wafer diameter (190 mm spacing on a 200 mm wafer and 290 mm spacing on a 300 mm wafer), but 80 to greater than 100% and greater than 140% of the substrate diameter. Intervals greater than% may be appropriate. In many applications, a target-to-substrate spacing of 50 to 1000 mm may be appropriate. The long throw of conventional sputtering reduces the sputter deposition rate, but the ionized sputter particles do not significantly decrease.

제 2 유전체 차폐부 절연체(168)에 의해 분리된 다크스페이스 차폐부(darkspace shield)(164)와 챔버 차폐부(166)는 스퍼터링된 재료로부터 챔버 벽(152)을 보호하기 위해 챔버(152) 내에 홀딩된다. 예시된 실시예에서, 다크스페이스 차폐부(164)와 챔버 차폐부(166)는 접지된다. 그러나, 일부 실시예에서, 차폐부는 비접지 레벨에 플로팅 또는 바이어스될 수 있다. 또한 챔버 차폐부(166)는 캐소드 타겟(156)에 마주한 애노드 접지 평면으로서 기능하고, 이로써 용량적으로 플라즈마를 지지한다. 만약 다크스페이스 차폐부가 전기적으로 플로팅되게 한다면, 일부 전자들은 네거티브 전하가 형성되도록 다크스페이스 차폐부(164) 위에 증착될 수 있다. 네거티브 전위는 전자들이 증착되지 않게 전자들을 튀어나오게 할 뿐만 아니라 주된 플라즈마 영역 내에 전자들을 가둬놓게 할 수 있으며, 이로써 전자 손실을 감소시키고, 저-압 스퍼터링을 유지하며 필요에 따라 플라즈마 밀도를 증가시킨다.Darkspace shield 164 and chamber shield 166, separated by second dielectric shield insulator 168, are in chamber 152 to protect chamber wall 152 from sputtered material. Is held. In the illustrated embodiment, dark space shield 164 and chamber shield 166 are grounded. However, in some embodiments, the shield may be floating or biased at the ungrounded level. The chamber shield 166 also functions as an anode ground plane facing the cathode target 156, thereby capacitively supporting the plasma. If the dark space shield is to be electrically floated, some electrons may be deposited over the dark space shield 164 to form a negative charge. The negative potential not only causes the electrons to bounce off so that they are deposited, but also traps the electrons in the main plasma region, thereby reducing electron loss, maintaining low-pressure sputtering and increasing plasma density as needed.

코일(151)은 코일(151)을 지지 차폐부(164)로부터 전기적으로 절연시키는 다수의 코일 스탠드오프(standoff)(180)에 의해 차폐부(164) 상에서 유지되게 한다. 게다가, 스탠드오프(180)는 도전성 재료가 타겟(110)으로부터 코일 스탠드오프(180) 위로 반복하여 증착되게 하지만 코일(151)로부터 차폐부(164)까지 증착된 재료의 완전한 도전 경로가 형성되어 코일(151)이 (통상적으로 접지된) 차폐부(164)에 대해 단락되는 것을 방지하는 엉클어진(labyrinthine) 통로(passageway)를 갖는다. Coil 151 is maintained on shield 164 by a number of coil standoffs 180 that electrically insulate coil 151 from support shield 164. In addition, the standoff 180 causes the conductive material to be repeatedly deposited from the target 110 onto the coil standoff 180 but a complete conductive path of the deposited material from the coil 151 to the shield 164 is formed to form a coil. 151 has a labyrinthine passageway that prevents short circuit to shielding 164 (typically grounded).

회로 경로와 같은 코일을 사용할 수 있게 하기 위해, RF 전력은 진공 챔버 벽과 차폐부(164)를 통과하여 코일(151) 단부에 이른다. 진공 피드스루(도시 안됨)가 진공 압력 챔버 외부에 위치한 발생기로부터 RF 전류를 제공하도록 진공 챔버를 통과하여 연장한다. RF 전력은 차폐부(164)를 통과하여 코일(151)까지 피드스루 스탠드오프(182)(도 5)에 의해 제공되며, 피드스루 스탠드오프(182)는 코일 스탠드오프(180)와 유사하고 코일(151)을 차폐부(164)에 대해 단락시킬 수 있는 코일(151)로부터 차폐부(164)까지의 증착된 재료의 경로가 형성되는 것을 방지하기 위해 엉클어진 통로를 갖는다. To enable the use of a coil, such as a circuit path, RF power passes through the vacuum chamber wall and shield 164 to the coil 151 end. A vacuum feedthrough (not shown) extends through the vacuum chamber to provide RF current from a generator located outside the vacuum pressure chamber. RF power is provided by a feedthrough standoff 182 (FIG. 5) through the shield 164 to the coil 151, where the feedthrough standoff 182 is similar to the coil standoff 180 and the coil It has an entangled passageway to prevent the formation of a path of deposited material from the coil 151 to the shield 164 which may short the 151 with the shield 164.

플라즈마 다크스페이스 차폐부(164)는 일반적으로 원주형상을 갖는다. 플라즈마 챔버 차폐부(166)는 사발(bowl)-형상을 가지며 스탠드오프(180,182)가 절연적으로 코일(151)을 지지하도록 부착된 일반적으로 원주형상인 수직으로 향한 벽(190)을 포함한다. The plasma dark space shield 164 generally has a cylindrical shape. Plasma chamber shield 166 includes a generally circumferentially oriented wall 190 having a bowl-shape and with standoffs 180 and 182 attached to support coil 151 insulated.

도 5는 예시된 실시예에서 플라즈마 발생 장치의 전기 접속을 개략적으로 나타낸다. 플라즈마에 의해 발생된 이온을 끌어당기기 위해, 타겟(156)은 가변 DC 전력원(200)에 의해 예컨대 1-40 kW의 DC 전력으로 네거티브적으로 바이어스된다. 소스(200)는 플라즈마를 점화하고 유지하기 위해 챔버 차폐부(166)와 관련하여 약 -400 내지 -600VDC로 타겟(156)을 네거티브적으로 바이어스한다. 통상적으로 1 내지 5kW 사이의 타겟 전력은 플라즈마를 점화하는데 사용되지만 10kQ보다 큰 전력이 여기서 설명한 SIP 스퍼터링에 바람직하다. 예컨대, 24kW의 타겟 전력은 SIP 스퍼터링에 의해 탄탈 질화물을 증착시키는데 사용될 수 있고 20kW의 타겟 전력은 SIP 스퍼터링에 의해 탄탈을 증착시키는데 사용될 수 있다. ICP 재스퍼터링 동안, 타겟 전력은 플라즈마가 균일하게 유지되도록 예컨대 100-200 와트까지 감소시킬수 있다. 선택적으로, 만약 ICP 재스퍼터링 동안 타겟 스퍼터링이 바람직하다면 타겟 전력은 높은 레벨로 유지될 수 있거나 필요하다면 완전히 턴오프될 수 있다. 5 schematically shows the electrical connection of the plasma generating apparatus in the illustrated embodiment. To attract ions generated by the plasma, target 156 is negatively biased by variable DC power source 200 at a DC power of, for example, 1-40 kW. Source 200 negatively biases target 156 to about -400 to -600 VDC with respect to chamber shield 166 to ignite and maintain the plasma. Typically target powers between 1 and 5 kW are used to ignite the plasma, but powers greater than 10 kQ are preferred for the SIP sputtering described herein. For example, a target power of 24 kW can be used to deposit tantalum nitride by SIP sputtering and a target power of 20 kW can be used to deposit tantalum by SIP sputtering. During ICP resputtering, the target power can be reduced to, for example, 100-200 watts so that the plasma remains uniform. Optionally, if target sputtering is desired during ICP resputtering, the target power may be maintained at a high level or may be turned off completely if necessary.

페데스털(162)과 웨이퍼(158)는 전기적으로 플로우팅된 상태로 남겨질 수 있지만, 네거티브 DC 자기-바이어스가 기판 위에서 형성될 수 있다. 선택적으로, 페데스털(162)은 이온화된 증착 재료를 기판으로 끌어당기기 위해 기판(158)을 네거티브적으로 바이어스하기 위해 소스(202)에 의해 -30 v DC에서 네거티브로 바이어스될 수 있다. 다른 실시예는 기판 위에서 형성된 네거티브 DC 바이어스를 제어하기 위해 페데스털(162)에 RF 바이어스를 제공할 수 있다. 예컨대, 바이어스 전원 장치(202)는 13.56MHz에서 동작하는 RF 전원장치일 수 있다. SIP 증착시 20mm 웨이퍼에 대해 예컨대 10 와트 내지 5kW의 범위, 더 바람직하게는 150 내지 300와트 범위에 있는 RF 전력이 공급될 수 있다. Pedestal 162 and wafer 158 may be left electrically floating, but a negative DC self-bias may be formed over the substrate. Optionally, pedestal 162 may be negatively biased at −30 v DC by source 202 to negatively bias substrate 158 to attract ionized deposition material to the substrate. Another embodiment may provide an RF bias to pedestal 162 to control the negative DC bias formed over the substrate. For example, the bias power supply 202 may be an RF power supply operating at 13.56 MHz. In SIP deposition, RF power can be supplied for 20 mm wafers, for example in the range of 10 watts to 5 kW, more preferably in the range of 150 to 300 watts.

코일(151)의 일 단부는 피드스루 스탠드오프(182)에 의해 차폐부(166)를 통과하여 증폭기와 매칭 네트워크(204)의 출력과 같은 RF 소스에 절연적으로 결합된다. 매칭 네트워크(204)의 입력은 RF 발생기(206)에 결합되며, RF 발생기(206)는 본 실시예에서 ICP 플라즈마 발생을 위해 대략 1 또는 1.5 kW에서 RF 전력을 제공한다. 예컨대, 탄탈 질화물 증착을 위한 1.5 kW의 전력 및 탄탈 증착을 위한 1 kW의 전력이 바람직하다. 50 와트 내지 10 kW의 범위가 바람직하다. SIP 증착 동안, 코일에 대한 RF 전력은 필요하다면 턴오프될 수 있다. 선택적으로, RF 전력은 필요하다면 SIP 증착동안 공급될 수 있다. One end of the coil 151 passes through the shield 166 by a feedthrough standoff 182 and is insulated coupled to an RF source such as the output of the amplifier and the matching network 204. The input of the matching network 204 is coupled to the RF generator 206, which in this embodiment provides RF power at approximately 1 or 1.5 kW for ICP plasma generation. For example, a power of 1.5 kW for tantalum nitride deposition and a power of 1 kW for tantalum deposition are preferred. Preference is given to a range of 50 watts to 10 kW. During SIP deposition, RF power to the coil can be turned off if necessary. Optionally, RF power can be supplied during SIP deposition if desired.

또한 코일(151)의 다른 단부는 코일(151)에 대해 DC 바이어스를 유지하기 위해 유사한 피드스루 스탠드오프(182)에 의해 차폐부(166)를 통해 접지에 절연적으로 결합되며, 바람직하게는 가변 커패시터일 수 있는 블로킹 커패시터(208)를 통해 결합된다. 코일(151)에 대한 DC 바이어스와 코일 스퍼터링 비율은 미국 특허 제6,375,810호에 개시된 것처럼 코일(151)에 결합된 DC 전력원(209)을 통해 제어될 수 있다. ICP 플라즈마 발생과 코일 스퍼터링을 위한 적절한 DC 전력 범위는 50 와트 내지 10 kW를 포함한다. 코일 스퍼터링 동안 바람직한 값은 500 와트이다. 코일(151)에 대한 DC 전력은 필요하다면 SIP 증착동안 턴오프될 수 있다.The other end of the coil 151 is also insulatively coupled to ground through the shield 166 by a similar feedthrough standoff 182 to maintain a DC bias with respect to the coil 151, and is preferably variable. Coupled through blocking capacitor 208, which may be a capacitor. The DC bias and coil sputtering ratio for the coil 151 may be controlled through a DC power source 209 coupled to the coil 151 as disclosed in US Pat. No. 6,375,810. Suitable DC power ranges for ICP plasma generation and coil sputtering include 50 watts to 10 kW. The preferred value during coil sputtering is 500 watts. DC power to coil 151 can be turned off during SIP deposition if desired.

상기 언급한 전력 레벨은 특정 어플리케이션에 따라 가변될 수 있다. 컴퓨터에 기초한 제어기(224)는 특정 어플리케이션에 따라 여러 소스의 전력 레벨, 전압, 전류 및 주파수를 제어하도록 프로그래밍될 수 있다. The above mentioned power level may vary depending on the particular application. Computer-based controller 224 may be programmed to control power levels, voltages, currents, and frequencies from various sources, depending on the particular application.

RF 코일(151)은 코일로부터 스퍼터링된 재료가 웨이퍼를 가격할 때 낮은 기울기를 갖도록 챔버 내에서 비교적 낮게 위치할 수 있다. 그 결과, 코일 재료는 홀 기저부가 ICP 플라즈마에 의해 재스퍼터링될 때 홀의 부분을 보호하기 위해 홀의 상부 코너 상에 바람직하게 증착될 수 있다. 예시된 실시예에서, 코일은 코일의 주된 기능이 웨이퍼를 재스퍼터링하기 위해 플라즈마를 생성하고 재스퍼터링 동안 보호 코팅부를 제공하는 것일 때 타겟 보다는 웨이퍼에 더 가깝게 위치하는 것이 바람직하다. 많은 어플리케이션에 있어서, 0 내지 500mm의 코일 대 웨이퍼 간격이 바람직하다. 그러나 실제 위치는 특정 어플리케이션에 따라 바뀔 수 있다. 코일의 주된 기능은 증착 재료를 이온화하기 위해 플라즈마를 생성하는 어플리케이션에서, 코일은 타겟보다 가깝게 위치할 수 있다. 또한, 1996년 7월 10일에 출원된 플라즈마 발생용 스퍼터링 코일이란 제목의 본 출원인의 양수인에게 양도된 미국특허 제6,368,469호(대리인 문서 1390-CIP/PVD/DV)에 상세히 개시된 것처럼, RF 코일은 스퍼터링된 코일 재료를 갖는 증착된 층의 균일성을 개선하기 위해 위치할 수 있다. 게다가, 코일은 헬릭스(helix) 또는 스피럴(spiral)로 형성된 다수의 턴(turn)을 가질 수 있고 복잡함과 비용을 감소시키고 세척을 용이하게 하기 위해 하나의 턴과 같이 적은 턴을 가질 수 있다. The RF coil 151 may be positioned relatively low in the chamber such that the material sputtered from the coil has a low slope when striking the wafer. As a result, the coil material can be preferably deposited on the upper corners of the holes to protect portions of the holes when the hole base is resputtered by the ICP plasma. In the illustrated embodiment, the coil is preferably located closer to the wafer than the target when the main function of the coil is to generate a plasma to resputter the wafer and provide a protective coating during resputtering. For many applications, coil to wafer spacing of 0 to 500 mm is desirable. However, the actual location may change depending on the specific application. The main function of the coil is in applications where plasma is generated to ionize the deposition material, the coil may be located closer than the target. In addition, as disclosed in detail in U.S. Patent No. 6,368,469 (Attorney Document 1390-CIP / PVD / DV), filed on July 10, 1996, assigned to the assignee of Applicant entitled Plasma Generation Sputtering Coil, It may be located to improve the uniformity of the deposited layer with the sputtered coil material. In addition, the coil may have multiple turns formed of helix or spiral and may have as few turns as one turn to reduce complexity and cost and to facilitate cleaning.

다양한 코일 지지 스탠드오프와 피드스루 스탠드오프는 코일을 절연적으로 지지하는데 사용될 수 있다. 특히 SSS, SIP, ICP와 관련한 높은 전력 레벨에서의 스퍼터링이 높은 전압을 포함하기 때문에, 유전 절연체는 통상적으로 상이하게 바이어스된 부분을 분리시킨다. 따라서, 금속 증착으로부터 절연체를 보호하는 것이 바람직하다. Various coil support standoffs and feedthrough standoffs can be used to insulate the coils. Dielectric insulators typically isolate differently biased portions because sputtering at high power levels, particularly with respect to SSS, SIP, ICP, involves high voltages. Therefore, it is desirable to protect the insulator from metal deposition.

스탠드오프의 내부 구조는 엉켜있으며 이는 본 출원인의 양수인에게 양도되고 2000년 2월 29일에 출원된 "플라즈마 발생용 코일 및 코일 지지부"란 제목의 공동계류중인 미국특허 제09/515,880호에 상세히 개시되어 있다. 코일(151)과 플라즈마에 직접 노출된 스탠드오프의 부분은 증착되는 재료와 동일한 재료로 이루어진다. 따라서, 만약 증착되는 재료가 탄탈로 이루어진다면, 스탠드오프의 외부 부분은 역시 탄탈로 이루어진다. 증착된 재료의 접착을 용이하게 하기 위하여, 금속의 노출된 표면은 증착된 재료로부터 입자가 흐르는 것을 감소시키기 위해 비드 블래스팅(bead blasting)에 의해 처리될 수 있다. 탄탈 외에, 코일과 타겟은 구리, 알루미늄 및 텅스텐을 포함하는 다양한 증착 재료로 이루어질 수 있다. 엉켜짐은 코일로부터 차폐부까지 완전한 도전 경로의 형성을 방해할 정도의 크기를 가져야 한다. 이러한 도전 경로는 도전 증착 재료가 코일과 스탠드오프 위로 증착될 때 형성될 수 있다. 특정 어플리케이션에 따라, 엉클어진 통로의 크기, 형상 및 수가 다를 수 있다. 엉클어진 구조에 영향을 미치는 인자는 증착되는 재료의 타입과 스탠드오프가 세척되거나 대체되기 이전에 필요한 증착 회수를 포함한다. 스탠드오프까지 연장되는 볼트 또는 다른 전도성 부재에 RF 전력이 인가되는 점을 제외하고 적당한 피드스루 스탠드오프가 비슷한 방식으로 구성될 수 있다.The internal structure of the standoff is entangled and is disclosed in detail in co-pending U.S. Pat. It is. The portion of the standoff directly exposed to the coil 151 and the plasma is made of the same material as the material being deposited. Thus, if the material to be deposited is made of tantalum, the outer part of the standoff is also made of tantalum. To facilitate adhesion of the deposited material, the exposed surface of the metal can be treated by bead blasting to reduce the flow of particles from the deposited material. In addition to tantalum, the coil and target may be made of various deposition materials including copper, aluminum and tungsten. The entanglement should be large enough to prevent the formation of a complete conductive path from the coil to the shield. This conductive path can be formed when the conductive deposition material is deposited over the coil and the standoffs. Depending on the particular application, the size, shape and number of tangled passageways may vary. Factors affecting the tangled structure include the type of material being deposited and the number of depositions needed before the standoff is cleaned or replaced. Suitable feedthrough standoffs can be constructed in a similar manner, except that RF power is applied to the bolt or other conductive member extending to the standoffs.

코일(151)은 중첩되지만 이격된 단부들을 갖는다. 이러한 배치에서 각 단부의 피드스루 스탠드오프(182)들은 도 4에 나타낸 바와 같이 진공 챔버 타겟(156)과 기판 홀더(162) 사이의 플라즈마 챔버 중심 축과 평행한 방향으로 적층된다. 그 결과, 코일의 일 단부로부터 코일의 다른 단부로의 RF 경로가 유사하게 중첩될 수 있어 웨이퍼 상의 공백을 피할 수 있다. 이러한 중첩 배치는 1998년 3월 16일자 제출되어 본 출원의 양수인에게 양도된 동시계속출원 제 09/039,695호에 개시된 바와 같이 플라즈마 발생, 이온화 및 증착의 균일성을 향상시킬 수 있는 것으로 여겨진다.Coil 151 has overlapping but spaced ends. In this arrangement the feedthrough standoffs 182 at each end are stacked in a direction parallel to the plasma chamber central axis between the vacuum chamber target 156 and the substrate holder 162 as shown in FIG. 4. As a result, RF paths from one end of the coil to the other end of the coil can similarly overlap to avoid voids on the wafer. Such overlapping batches are believed to be able to improve the uniformity of plasma generation, ionization and deposition, as disclosed in co-pending application 09 / 039,695, filed March 16, 1998 and assigned to the assignee of the present application.

지지 스탠드오프(180)는 나머지 코일 둘레에 분배되어 적당한 지지력을 제공한다. 설명하는 실시예들에서 코일들은 각각의 바깥 면에 90도 간격으로 배치된 3개의 허브 부재(504)를 갖는다. 스탠드오프의 개수 및 간격은 특정 응용에 따라 달라질 수 있는 것으로 인식되어야 한다.Support standoffs 180 are distributed around the remaining coils to provide adequate support. In the described embodiments, the coils have three hub members 504 disposed on each outer surface at 90 degree intervals. It should be appreciated that the number and spacing of standoffs may vary depending on the particular application.

설명하는 실시예들의 코일(151)은 단일 회전 코일로 형성된 2 ×1/4 인치의 튼튼한 비드 블라스트 탄탈 또는 구리 리본으로 각각 만들어진다. 그러나, 그 밖의 고도의 도전 물질 및 형태가 이용될 수도 있다. 예를 들어, 코일의 두께는 1/16 인치로 감소되고 폭은 2 인치로 증가될 수도 있다. 또한, 웨이퍼 냉각이 요구되는 경우에 특히 공동 배관이 이용될 수 있다.The coils 151 of the described embodiments are each made of 2 × 1/4 inch sturdy bead blast tantalum or copper ribbons formed from a single rotating coil. However, other highly conductive materials and forms may be used. For example, the thickness of the coil may be reduced to 1/16 inch and the width may be increased to 2 inches. Also, in the case where wafer cooling is required, cavity piping may be used in particular.

적당한 RF 발생기 및 매칭 회로들은 당업자들에게 잘 알려진 부품들이다. 예를 들어, 매칭 회로 및 안테나와의 최상 주파수 매칭을 위한 주파수 추적 능력을 갖는 ENI 제네시스 시리즈와 같은 RF 발생기가 적당하다. 코일에 RF 전력을 발생시키는 발생기의 주파수는 2 MHz가 바람직하지만 예를 들어 1 MHz 내지 200 MHz 및 비-RF 주파수 등의 다른 A.C. 주파수에서 범위가 달라질 수 있는 것으로 예상된다. 이러한 성분들은 프로그래밍 가능 제어기(224)에 의해서도 제어될 수 있다.Suitable RF generators and matching circuits are well known to those skilled in the art. For example, an RF generator such as the ENI Genesis Series with a matching circuit and frequency tracking capability for best frequency matching with the antenna is suitable. The frequency of the generator that generates the RF power in the coil is preferably 2 MHz, but for example 1 A to 200 MHz and other A.C. It is anticipated that the range may vary in frequency. These components may also be controlled by the programmable controller 224.

도 4로 돌아가면, 웨이퍼(158)를 지지하는 페데스털(162)의 상부 뒤에서 챔버 차폐부(166)의 하부 원통부(296)가 아래쪽으로 계속해서 솟아나온다. 그리고 챔버 차폐부(166)가 볼 부분(302)에서 방사상 안쪽으로 연장하고 가장 깊은 원통부(151)에서 거의 웨이퍼(158)의 높이로 페데스털(162)의 방사상 바깥에 이격되어 수직 위쪽으로 연장한다.Returning to FIG. 4, the lower cylinder portion 296 of the chamber shield 166 continues to rise downwards behind the top of the pedestal 162 supporting the wafer 158. And chamber shield 166 extends radially inward at ball portion 302 and is radially spaced outwardly of pedestal 162 at the height of wafer 158 at the deepest cylindrical portion 151 and vertically upwards. Extend.

차폐부(164, 166)는 일반적으로 스테인레스 강철로 구성되며, 그 안쪽 면은 비드 블라스트 되거나 거칠게 되어 그 위에 스퍼터 증착된 물질의 점착을 촉진시킨다. 그러나 장기간의 스퍼터링 동안 어떤 지점에서, 증착된 물질이 보다 박리되기 쉬운 두께까지 쌓아 올려져 해로운 입자들이 생기게 한다. 이 지점에 이르기 전에 차폐부들이 세척되거나 새로운 차폐부들로 교체될 수 있게 되어야 한다. 그러나 보다 고가의 절연체(154, 168)는 대부분의 지속 주기에 교체될 필요가 없다. 더욱이, 지속 주기는 절연체들의 단락에 의해서가 아니라 차폐부들의 박리에 의해 결정된다.
The shields 164 and 166 are generally made of stainless steel, the inner side of which is bead blasted or roughened to promote adhesion of the sputter deposited material thereon. However, at some point during prolonged sputtering, the deposited material builds up to a thickness that is more likely to peel off, causing harmful particles. Before reaching this point, the shields must be cleaned or replaceable with new ones. However, more expensive insulators 154 and 168 do not need to be replaced in most durations. Moreover, the duration is determined not by the short of the insulators but by the peeling of the shields.

가스 소스(314)는 질량 유량계(316)를 통해 스퍼터링 작업 가스, 통상적으로는 화학적 불활성 희가스 아르곤을 챔버(152)에 공급한다. 작업 가스는 챔버의 상부에 또는 도시한 바와 같이 차폐부 챔버 차폐부(166)의 바닥 또는 챔버 차폐부(166), 웨이퍼 클램프(160) 및 페데스털(162) 사이의 갭(318)을 통해 장치를 관통하는 하나 이상의 입구 파이프를 갖는 챔버 바닥에 수용될 수도 있다. 넓은 펌프 포트(322)를 통해 챔버(152)에 접속된 진공 펌프 시스템(320)은 챔버를 저압으로 유지한다. 기저 압력이 약 10-7 Torr 또는 더욱 낮게 유지될 수 있더라도, 작업 가스의 압력은 종래의 스퍼터링에서 통상적으로 약 1 내지 1000 milliTorr, SIP 스퍼터링에서 약 5 milliTorr 미만으로 유지된다. 컴퓨터 기반 제어기(224)는 DC 타겟 전원(200), 바이어스 전원(202) 및 질량 유량계(316)를 포함하는 반응기를 제어한다.The gas source 314 supplies the sputtering working gas, typically chemically inert rare gas argon, through the mass flow meter 316 to the chamber 152. The working gas is at the top of the chamber or through the gap 318 between the bottom of the shield chamber shield 166 or the chamber shield 166, the wafer clamp 160 and the pedestal 162 as shown. It may be housed in a chamber bottom having one or more inlet pipes through the device. Vacuum pump system 320 connected to chamber 152 via wide pump port 322 maintains the chamber at low pressure. Although the base pressure may be maintained at about 10 −7 Torr or lower, the pressure of the working gas is typically maintained at about 1 to 1000 milliTorr in conventional sputtering and less than about 5 milliTorr in SIP sputtering. Computer-based controller 224 controls the reactor including DC target power source 200, bias power source 202 and mass flow meter 316.

효과적인 스퍼터링을 제공하기 위해, 타겟(156)의 뒤에 마그네트론(330)이 배치된다. 마그네트론(330)은 자기 요크(336)에 의해 접속 및 지지된 자석(332, 334)을 마주 배치시킨다. 자석들은 챔버(152) 내에 마그네트론(330)에 인접한 자계를 형성한다. 자계는 전자를 트랩하고, 중성 전하에 대해 이온 밀도 또한 증가하여 고밀도 플라즈마 영역(338)을 형성한다. 마그네트론(330)은 보통 모터 구동 샤프트(342)에 의해 타겟(156)의 중심(340)에 대해 회전하여 타겟(156)의 스퍼터링에서 완전 커버리지를 달성한다. 충분한 이온화 밀도의 고밀도 플라즈마(338)를 달성하여 자체 유지 스퍼터링을 가능하게 하기 위해, 마그네트론(330)에 인접한 영역으로 전달되는 전력 밀도가 높아질 수 있다. 이는 상기 인용된 특허에서 Fu 및 Chiang에 의해 개시된 바와 같이 DC 전원(200)으로부터 전달되는 전력 레벨을 증가시키고 마그네트론(330)의 영역을 예를 들어 삼각형이나 트랙 형태로 감소시킴으로써 달성될 수 있다. 첨단이 타겟 중심(340)과 거의 일치하는 60도 삼각형 마그네트론은 언제라도 타겟의 약 1/6만을 커버한다. SIP 스퍼터링이 가능한 통상의 반응기에서는 1/4의 커버리지가 바람직한 최대치이다.To provide effective sputtering, a magnetron 330 is placed behind the target 156. The magnetron 330 faces the magnets 332, 334 connected and supported by the magnetic yoke 336. The magnets form a magnetic field adjacent to the magnetron 330 in the chamber 152. The magnetic field traps electrons and the ion density also increases with respect to the neutral charge, forming a high density plasma region 338. The magnetron 330 is usually rotated about the center 340 of the target 156 by the motor drive shaft 342 to achieve full coverage in the sputtering of the target 156. In order to achieve high density plasma 338 of sufficient ionization density to enable self-maintaining sputtering, the power density delivered to the region adjacent to magnetron 330 may be high. This may be accomplished by increasing the power level delivered from the DC power source 200 and reducing the area of the magnetron 330, for example in the form of a triangle or track, as disclosed by Fu and Chiang in the cited patent above. The 60 degree triangular magnetron whose tip is nearly coincident with the target center 340 at any time covers only about one sixth of the target. In conventional reactors capable of SIP sputtering, a quarter of coverage is the desired maximum.

전자 손실을 감소시키기 위해, 내부 자석(332) 및 자극 표면으로 나타낸 내부 자극은 상당한 구멍을 갖지 않고 외부 자석(334) 및 극 표면으로 나타낸 연속하는 외부 자극에 의해 둘러싸여 져야 한다. 게다가, 이온화된 스퍼터 입자들을 웨이퍼(158)로 안내하기 위해, 외부 자극이 내부 자극보다 훨씬 더 높은 자속을 발생시켜야 한다. 연장하는 자계 라인들은 전자들을 포획하여 플라즈마를 웨이퍼(158)에 더 가깝게 확장시킨다. 자속 비는 적어도 150%, 바람직하게는 200%보다 커야한다. Fu의 삼각형 마그네트론의 두 가지 실시예는 동일한 세기지만 반대 극성을 갖는 6개 또는 10개의 내부 자석 및 25개의 외부 자석을 갖는다. 2차원 타겟 표면과 공동하여 설명하였지만, 다양한 불균형 마그네트론이 다양한 타겟 형상으로 사용되어 자기 이온화 플라즈마를 발생시킬 수 있다. 자석들은 원형 또는 그 밖의 형상을 포함하는 삼각형 이외의 형상을 가질 수 있다.In order to reduce the electron loss, the inner magnetic poles represented by the inner magnet 332 and the magnetic pole surface should be surrounded by the outer magnetic poles 334 and the continuous outer magnetic poles represented by the pole surface without significant holes. In addition, in order to guide the ionized sputter particles to the wafer 158, the external stimulus must generate a much higher magnetic flux than the internal stimulus. The extending magnetic field lines trap electrons and expand the plasma closer to the wafer 158. The flux ratio should be at least 150%, preferably greater than 200%. Two embodiments of Fu's triangular magnetrons have six or ten inner magnets and twenty-five outer magnets of equal intensity but opposite polarity. Although described in conjunction with a two-dimensional target surface, various unbalanced magnetrons can be used in various target shapes to generate a self-ionizing plasma. The magnets may have shapes other than triangles, including circular or other shapes.

아르곤이 챔버에 수용되면, 타겟(156)과 챔버 차폐부(166) 사이의 DC 전압 차가 플라즈마에서 아르곤을 연소시키고, 양극 대전된 아르곤 이온들이 음극 대전된 타겟(156)에 끌어당겨 진다. 이온들은 상당한 에너지로 타겟(156)에 충돌하여 타겟 원자들 또는 원자 클러스터들이 타겟(156)으로부터 스퍼터링 되게 한다. 타겟 입자들의 일부는 웨이퍼(158)에 충돌함으로써 증착되고, 이로써 타겟 물질의 막을 형성한다. 금속 질화물의 반응성 스퍼터링에서, 질소가 소스(343)로부터 챔버에 추가로 수용되고, 이는 스퍼터링된 금속 원자들과 반응하여 웨이퍼(158) 상에 금속 질화물을 형성한다.When argon is received in the chamber, the DC voltage difference between the target 156 and the chamber shield 166 burns argon in the plasma, and positively charged argon ions are attracted to the negatively charged target 156. The ions impinge upon the target 156 with significant energy causing the target atoms or atomic clusters to be sputtered from the target 156. Some of the target particles are deposited by impinging on the wafer 158, thereby forming a film of the target material. In reactive sputtering of metal nitride, nitrogen is further received from source 343 into the chamber, which reacts with the sputtered metal atoms to form metal nitride on wafer 158.

도 6 내지 도 9b는 본 발명의 일 측면에 따른 라이너층 형성의 연속하는 단면도를 나타낸다. 도 6을 참조하면, 층간 유전체(345)(예를 들어 실리콘 이산화물)가 상호 접속(348)의 제1 금속층(예를 들어 제1 구리층(347a)) 상에 증착된다(도 9b). 그리고 층간 유전체(345)에 비아(349)가 에칭되어 제1 구리층(347a)을 노출시킨다. 제1 금속층은 CVD, PVD, 전해 도금 또는 그 밖의 공지된 금속 증착 방법을 이용하여 증착될 수 있고, 하부에 있는 반도체 웨이퍼에 형성된 디바이스에 유전층을 통해 콘택에 의해 접속된다. 제1 구리층을 도금한 산화물이 에칭되는 에칭 챔버로부터 웨이퍼가 이동되여 제1 구리층과 금속층이 증착되는 제2 구리층 사이에 비아 형성을 위한 구멍을 형성하는 경우와 같이, 제1 구리층(347a)이 산소에 노출되면, 그 위에 절연/고저항 구리 산화물 층(347a')을 쉽게 형성할 수 있다. 이에 따라, 구리 상호 접속(348)의 저항을 감소시키기 위해, 임의의 구리 산화물 층(347a') 및 비아(349) 내 임의의 처리 부산물이 제거된다.6-9B show a continuous cross sectional view of the liner layer formation according to one aspect of the present invention. Referring to FIG. 6, an interlayer dielectric 345 (eg, silicon dioxide) is deposited on the first metal layer (eg, first copper layer 347a) of interconnect 348 (FIG. 9B). Vias 349 are then etched into interlayer dielectric 345 to expose first copper layer 347a. The first metal layer may be deposited using CVD, PVD, electrolytic plating or other known metal deposition methods, and is connected by contact through a dielectric layer to a device formed in the underlying semiconductor wafer. The first copper layer may be moved, such as when a wafer is moved from an etching chamber in which the oxide plating the first copper layer is etched to form a hole for forming a via between the first copper layer and the second copper layer on which the metal layer is deposited. Once 347a is exposed to oxygen, it is easy to form an insulating / high resistance copper oxide layer 347a'on it. Thus, to reduce the resistance of the copper interconnect 348, any copper oxide layer 347a ′ and any processing byproducts in the vias 349 are removed.

구리 산화물 층(347a')을 제거하기 전에 층간 유전체(345) 상부 및 노출된 제1 구리층(347a) 상부에 배리어층(351)이 증착된다(예를 들어 도 4의 스퍼터링 챔버 내에서). 탄탈, 탄탈 질화물, 티타늄 질화물, 텅스텐 또는 텅스텐 질화물을 바람직하게 포함하는 배리어층(351)은 이어서 증착된 구리층들이 층간 유전체(345)에 결합되어 열화되는 것을 방지한다(이전에 설명한 바와 같이).Prior to removing the copper oxide layer 347a ′, a barrier layer 351 is deposited over the interlayer dielectric 345 and over the exposed first copper layer 347a (eg, in the sputtering chamber of FIG. 4). The barrier layer 351, which preferably comprises tantalum, tantalum nitride, titanium nitride, tungsten or tungsten nitride, then prevents the deposited copper layers from bonding to the interlayer dielectric 345 and deteriorating (as previously described).

예를 들어, 탄탈 질화물층의 증착을 위해 스퍼터링 챔버(152)가 구성되면, 탄탈 타겟(156)이 채용된다. 일반적으로, 아르곤과 질소 가스 모두 가스 입구(360)(각 가스마다 하나씩 다수의 입구가 사용될 수 있다)를 통해 스퍼터링 챔버(152)로 유입되는 한편, DC 전원(200)에 의해 타겟(156)에 전력 신호가 인가된다. 선택적으로, 전력 신호는 또한 제1 RF 전원(206)에 의해 코일(151)에 인가될 수도 있다. 안정 상태 처리 동안, 질소는 탄탈 타겟(156)과 반응하여 탄탈 타겟(156) 상에 질화물 막을 형성하므로 탄탈 질화물이 스퍼터링된다. 추가로, 비-질화 탄탈 원자들 또한 타겟으로부터 스퍼터링되고, 원자들은 질소와 결합하여 계단에 또는 페데스털(162)에 의해 지지된 웨이퍼(도시 생략) 상에 탄탈 질화물을 형성한다.For example, if the sputtering chamber 152 is configured for deposition of a tantalum nitride layer, a tantalum target 156 is employed. In general, both argon and nitrogen gas enter the sputtering chamber 152 through a gas inlet 360 (a number of inlets, one for each gas, can be used), while the DC power source 200 supplies the target 156 to the target 156. The power signal is applied. Optionally, the power signal may also be applied to the coil 151 by the first RF power source 206. During steady state processing, nitrogen reacts with the tantalum target 156 to form a nitride film on the tantalum target 156 so that tantalum nitride is sputtered. In addition, non-tantalum nitride atoms are also sputtered from the target, and the atoms combine with nitrogen to form tantalum nitride on a staircase or on a wafer (not shown) supported by pedestal 162.

동작중, 배출구(362)에 효과적으로 연결된 스로틀 밸브가 중앙 위치에 배치되어 처리 가스(들)가 챔버에 유입되기 전에 증착 챔버(152)를 약 1 ×10-8의 바람직한 낮은 진공 레벨로 유지한다. 스퍼터링 챔버(152) 내에서 처리를 시작하기 위해, 아르곤과 질소 가스의 혼합물이 가스 입구(360)를 통해 스퍼터링 챔버(152)에 유입된다. DC 전원(200)에 의해 DC 전력이 탄탈 타겟(156)에 인가된다(가스 혼합물이 가스 입구(360)에 의해 스퍼터링 챔버(152)에 계속해서 유입되고 펌프(37)에 의해 그곳으로부터 배출되는 동안). 타겟(156)에 인가된 DC 전력은 아르곤/질소 가스 혼합물이 SIP 플라즈마를 형성하게 하고, 끌어 당겨져 타겟 물질(예를 들어 탄탈 및 탄탈 질화물)이 그로부터 배출되게 하는 타겟(156)에 충돌하는 아르곤 및 질소 이온들을 발생시키게 한다. 배출된 타겟 물질은 페데스털(162)에 의해 지지되는 웨이퍼(158)로 이동하여 증착된다. SIP 공정에 따라, 불균형 마그네트론에 의해 생성된 플라즈마가 스퍼터링된 탄탈 및 탄탈 질화물의 일부를 이온화시킨다. 기판 지지 페데스털(162)에 인가되는 RF 전력 신호를 조정함으로써, 기판 지지 페데스털(162)과 플라즈마 사이에 음의 바이어스가 형성될 수 있다. 기판지지 페데스털(162)과 플라즈마 사이의 음의 바이어스는 탄탈 이온, 탄탈 질화물 이온 및 아르곤 이온을 발생시켜 페데스털(162) 및 그 위에 지지된 임의의 웨이퍼 쪽으로 가속시킨다. 이에 따라, 중성 및 이온화된 탄탈 질화물이 웨이퍼 상에 증착되어, SIP 스퍼터링에 따른 양호한 측벽 및 상부 측벽 커버리지를 제공한다. 또한, RF 전력이 ICP 코일에 선택적으로 인가되면, 타겟(156)으로부터의 탄탈 질화물 물질이 웨이퍼 상에 증착되는 동시에 웨이퍼가 아르곤 이온에 의해 스퍼터-에칭될 수도 있다(즉, 동시 증착/스퍼터-에칭).In operation, a throttle valve effectively connected to the outlet 362 is placed in a central position to maintain the deposition chamber 152 at a desired low vacuum level of about 1 × 10 −8 before the processing gas (es) enter the chamber. To begin processing in the sputtering chamber 152, a mixture of argon and nitrogen gas is introduced into the sputtering chamber 152 through the gas inlet 360. DC power is applied to the tantalum target 156 by the DC power supply 200 (while the gas mixture continues to enter the sputtering chamber 152 by the gas inlet 360 and is discharged therefrom by the pump 37). ). DC power applied to the target 156 causes the argon / nitrogen gas mixture to form a SIP plasma and is attracted to the target 156 to impinge on the target 156 causing the target material (eg tantalum and tantalum nitride) to be discharged therefrom and Generate nitrogen ions. The discharged target material is transferred to and deposited on the wafer 158 supported by the pedestal 162. In accordance with the SIP process, the plasma generated by the unbalanced magnetron ionizes some of the sputtered tantalum and tantalum nitride. By adjusting the RF power signal applied to the substrate support pedestal 162, a negative bias can be formed between the substrate support pedestal 162 and the plasma. A negative bias between the substrate support pedestal 162 and the plasma generates tantalum ions, tantalum nitride ions, and argon ions to accelerate towards the pedestal 162 and any wafer supported thereon. Thus, neutral and ionized tantalum nitride is deposited on the wafer, providing good sidewall and top sidewall coverage due to SIP sputtering. In addition, if RF power is selectively applied to the ICP coil, tantalum nitride material from the target 156 may be deposited on the wafer while the wafer may be sputter-etched by argon ions (ie, simultaneous deposition / sputter-etching). ).

배리어층(351)의 증착 다음에, 바닥을 얇게 하거나 제거하는 것이 바람직하다면, 비아(349) 바닥에서의 배리어층(351)의 일부 및 그 밑의 구리 산화물 층(347a')( 및 임의의 처리 잔류물)이 도 7에 도시한 바와 같이 아르곤 플라즈마에 의해 스퍼터-에칭 또는 재스퍼터링될 수 있다. RF 전력을 ICP 코일에 인가함으로써 주로 이 단계에서 아르곤 플라즈마가 발생되는 것이 바람직하다. 이 실시예에서 스퍼터링 챔버(152)(도 4) 내의 스퍼터-에칭 동안, 타겟(156)에 인가되는 전력은 타겟(156)으로부터의 현저한 증착을 억제 또는 방지하도록 낮은 레벨(예를 들어, 100 또는 200W)로 이동 또는 감소되는 것이 바람직하다. 무-타겟 전력보다는 낮은 타겟 전력 레벨이 보다 균일한 플라즈마를 제공할 수 있고 현재 바람직하다.Following deposition of the barrier layer 351, if it is desired to thin or remove the bottom, a portion of the barrier layer 351 at the bottom of the via 349 and the copper oxide layer 347a 'underneath (and any treatment) Residue) can be sputter-etched or resputtered by an argon plasma as shown in FIG. 7. It is preferable to generate argon plasma mainly at this stage by applying RF power to the ICP coil. During sputter-etching in the sputtering chamber 152 (FIG. 4) in this embodiment, the power applied to the target 156 may be at a low level (eg, 100 or less) to inhibit or prevent significant deposition from the target 156. 200 W) is preferably moved or reduced. Lower target power levels than target-free power can provide a more uniform plasma and are currently desirable.

ICP 아르곤 이온들은 전계(예를 들어 음의 자기 바이어스를 페데스털 상에 형성하게 하는 도 4의 제2 RF 전원(41)에 의해 기판 지지 페데스털(162)에 인가된 RF 신호)에 의해 배리어층(351)쪽으로 가속되어, 배리어층(351)에 충돌하고, 추진력 이송으로 인해 비아 구멍의 베이스로부터 배리어층 물질을 스퍼터링하고 이를 비아(349)의 측벽을 코팅하는 배리어층(351)의 일부를 따라 재분배한다. 아르곤 이온은 기판에 거의 수직인 방향으로 끌어당겨 진다. 그 결과, 비아 측벽의 스퍼터링이 거의 일어나지 않고 비아 베이스의 상당한 스퍼터링이 일어난다. 재스퍼터링을 용이하게 하기 위해, 페데스털 및 웨이퍼에 인가된 바이어스는 예를 들어 400 와트이다.ICP argon ions are driven by an electric field (e.g., an RF signal applied to the substrate support pedestal 162 by the second RF power supply 41 of FIG. 4, which causes a negative magnetic bias to form on the pedestal). A portion of barrier layer 351 that is accelerated toward barrier layer 351 and impinges upon barrier layer 351 and sputters barrier layer material from the base of the via hole due to propulsion transfer and coats the sidewall of via 349. Redistribute along. Argon ions are attracted in a direction almost perpendicular to the substrate. As a result, sputtering of the via sidewall hardly occurs and significant sputtering of the via base occurs. To facilitate resputtering, the bias applied to the pedestal and the wafer is, for example, 400 watts.

재스퍼터링 공정 파라미터들의 특정 값들은 특정 응용에 따라 달라질 수 있다. 동시계속출원 또는 계류중인 출원 제08/768,058호; 제09/126,890호; 제09/449,202호; 제09/846,581호; 09/490,026; 및 09/704,161호는 재스퍼터링 공정을 개시하며 그 전체가 여기에 참조로 포함된다.Specific values of the resputtering process parameters may vary depending on the particular application. Co-pending application or pending application No. 08 / 768,058; 09 / 126,890; 09 / 449,202; 09 / 846,581; 09 / 490,026; And 09 / 704,161 disclose a resputtering process, the entirety of which is incorporated herein by reference.

본 발명의 다른 특징에 의하면, ICP 코일(151)은 타겟(156)과 같은 방식으로 탄탈 등의 라이너 물질로 형성되고 스퍼터링되어 웨이퍼 상에 탄탈 질화물을 증착하는 동시에 비아 바닥이 재스퍼터링된다. 재스퍼터링 공정중의 비교적 낮은 압력 때문에, 코일(151)로부터 스퍼터링된 증착 물질의 이온화 속도는 비교적 낮다. 그러므로 웨이퍼 상에 증착된 스퍼터링 물질은 주로 중성 물질이다. 또한, 코일(151)은 챔버에서 웨이퍼를 둘러싸고 인접하며 비교적 낮게 배치된다.According to another feature of the invention, the ICP coil 151 is formed and sputtered in the same manner as the target 156 and sputtered to deposit tantalum nitride on the wafer and at the same time the via bottom is resputtered. Due to the relatively low pressure during the resputtering process, the ionization rate of the deposited material sputtered from the coil 151 is relatively low. Therefore, the sputtering material deposited on the wafer is mainly neutral material. In addition, the coil 151 surrounds the wafer in the chamber and is positioned relatively low.

따라서, 코일(151)로부터 스퍼터링된 물질의 탄도는 비교적 작은 입사각을 갖는 경향이 있다. 그러므로 코일(151)로부터 스퍼터링된 물질은 웨이퍼 홀에서 깊이보다는 웨이퍼 표면과 웨이퍼에서 홀 또는 비아의 개구 둘레의 층(364)에 증착되는 경향이 있다. 코일(151)로부터의 이러한 증착 물질은 배리어층을 얇게 하는 것이 바람직하지 않은 측벽 위와 홀 개구 둘레보다는 주로 홀의 바닥을 재스퍼터링함으로써 배리어층이 얇아지도록 재스퍼터링으로부터 어느 정도의 보호를 제공하는데 사용된다.Thus, the trajectory of the material sputtered from the coil 151 tends to have a relatively small angle of incidence. Therefore, the material sputtered from the coil 151 tends to deposit on the layer 364 around the wafer surface and the openings of the holes or vias in the wafer rather than depth in the wafer holes. This deposition material from coil 151 is used to provide some protection from resputtering so that the barrier layer becomes thinner by resputtering the bottom of the hole rather than over the sidewalls and around the hole opening where it is undesirable to thin the barrier layer.

배리어층(351)이 비아 베이스로부터 스퍼터-에칭되면, 아르곤 이온이 구리 산화물 층(347a')에 충돌하고, 산화물 층이 스퍼터링 되어 비아 베이스로부터 구리 산화물 층 물질을 재분배하며, 스퍼터링 물질의 일부 또는 전부가 비아(349)의 측벽을 코팅하는 배리어층(351)의 일부를 따라 증착된다. 구리 원자(347a") 또한 비아(349)의 측벽 상에 배치된 배리어층(351, 364)을 코팅한다. 그러나 비아 베이스로부터 비아 측벽으로 재분배되게 하는 원래 증착된 배리어층(351)은 구리 원자(347a")에 대한 확산 배리어이기 때문에, 구리 원자(347a")는 배리어층(351) 내에서 거의 부동이며, 층간 유전층(345)에 이르는 것이 억제된다. 따라서, 측벽 상에 증착되는 구리 원자(347a")는 코팅되지 않은 측벽 상에 재분배될 때 일반적으로 비아 대 비아 누설 전류를 발생시키지 않는다.When barrier layer 351 is sputter-etched from the via base, argon ions impinge upon the copper oxide layer 347a ', the oxide layer is sputtered to redistribute the copper oxide layer material from the via base, and some or all of the sputtering material Is deposited along a portion of the barrier layer 351 that coats the sidewalls of the vias 349. Copper atoms 347a ″ also coat barrier layers 351 and 364 disposed on the sidewalls of vias 349. However, the originally deposited barrier layer 351, which causes redistribution from the via base to the via sidewalls, may be coated with copper atoms ( Since it is a diffusion barrier for 347a ", the copper atoms 347a" are almost floating within the barrier layer 351 and are inhibited from reaching the interlayer dielectric layer 345. Thus, copper atoms 347a deposited on the sidewalls ") Generally does not generate via to via leakage current when redistributed on uncoated sidewalls.

그 후에, 탄탈과 같은 제2 물질의 제2 라이너층(371)이 동일한 챔버(152) 또는 SIP 및 ICP 능력을 모두 갖는 유사한 챔버에서 이전 배리어층(351) 상에 증착된다(도 8). 탄탈 라이너층은 하부에 있는 탄탈 질화물 배리어층과 이어서 증착된 구리와 같은 도전체의 금속 상호 접속층 사이에 우수한 점착력을 제공한다. 그러나, 어떤 응용에서는 시드층 또는 홀 충진 이전에 단지 배리어층이나 단지 라이너층만을 증착하는 것이 바람직할 수도 있다.Thereafter, a second liner layer 371 of a second material, such as tantalum, is deposited on the previous barrier layer 351 in the same chamber 152 or similar chamber having both SIP and ICP capabilities (FIG. 8). The tantalum liner layer provides good adhesion between the underlying tantalum nitride barrier layer and the metal interconnect layer of the conductor, such as deposited copper. However, in some applications it may be desirable to deposit only the barrier layer or only the liner layer prior to seed layer or hole filling.

제 2 라이너층(371)은 제 1 라이너층(351)과 동일한 방식으로 증착될 수 있다. 즉, 탄탈 라이너(371)는 타겟 마그네트론(330)에 의해 플라즈마가 우선 생성되는 제 2 SIP 단계에서 증착될 수 있다. 그러나, 질소는 탄탈 질화물 이외의 탄탈이 증착되도록 허용하지 않는다. SIP 스퍼터링에 따라, 양호한 측벽 및 상부 측벽 커버리지가 달성될 수 있다. ICP 코일(151)로의 RF 전력은 원한다면 감소되거나 제거될 수 있다.The second liner layer 371 may be deposited in the same manner as the first liner layer 351. That is, tantalum liner 371 may be deposited in a second SIP step where plasma is first generated by the target magnetron 330. However, nitrogen does not allow tantalum other than tantalum nitride to be deposited. According to SIP sputtering, good sidewall and top sidewall coverage can be achieved. RF power to the ICP coil 151 can be reduced or eliminated if desired.

탄탈 라이너층(371)의 증착 이후, 하부의 비아(349)의 저면에서 라이너층(371) 부분(및 임의의 처리 잔유물)은 저면의 박막화 또는 제거를 원한다면, 도 9a에 도시된 것처럼, 라이너층(351)의 저면과 동일한 방식으로 아르곤 플라즈마에 의해 스퍼터링-에칭되거나 재-스퍼터링될 수 있다. 아르곤 플라즈마는 RF 전력을 ICP 코일에 인가함으로써 이러한 단계에서 우선적으로 생성되는 것이 바람직하다. 다시, 스퍼터링 챔버(152)내의 스퍼터링-에칭시(도 4), 타겟(156)에 인가되는 전력은 제거되거나 낮은 레벨(예, 500W)로 감소되어, 제 2 라이너층(371)의 저면 커버리지의 박막화 또는 제거 동안, 타겟(156)으로의 많은 증착을 중지하거나 방지할 수 있다. 또한, 코일(151)은 라이너 측벽들과 상부들이 저면부 재-스퍼터링동안 거의 박막화되는 것을 방지하기 위해 아르곤 플라즈마로 층 저면을 재-스퍼터링하면서, 라이너 물질(374)을 증착하도록 스퍼터링하는 것이 바람직하다.After deposition of the tantalum liner layer 371, the liner layer 371 portion (and any treatment residues) at the bottom of the underlying via 349 is desired to be thinned or removed from the bottom, as shown in FIG. 9A, a liner layer. Sputter-etched or re-sputtered by an argon plasma in the same manner as the bottom of 351. Argon plasma is preferably generated at this stage preferentially by applying RF power to the ICP coil. Again, upon sputtering-etching in the sputtering chamber 152 (FIG. 4), the power applied to the target 156 is removed or reduced to a low level (e.g., 500W) to reduce the bottom coverage of the second liner layer 371. During thinning or removal, many depositions to the target 156 can be stopped or prevented. In addition, the coil 151 is preferably sputtered to deposit the liner material 374 while re-sputtering the layer bottom with argon plasma to prevent the liner sidewalls and tops from becoming nearly thin during bottom re-sputtering. .

전술한 실시예에서, 비아의 측벽들상의 타겟 물질의 SIP 증착은 하나의 단계에서 우선적으로 수행되고, 비아 저면들의 ICP 재-스퍼터링과 코일(151)의 ICP 증착은 순차적인 단계에서 우선 수행된다. 원한다면, 타겟 물질, 및 비아(349)의 측벽들상의 코일 물질의 증착은 동시에 수행될 수 있다는 것을 이해해야 한다. 또한, 원한다면, 비아(349)의 저면에서의 증착되는 물질의 ICP 스퍼터링-에칭은 타겟 및 측벽들의 코일 물질의 증착과 동시에 수행될 수 있다는 것을 이해해야 한다. 동시적인 증착/스퍼터링 에칭은 코일(151), 타겟(156), 및 페데스털(162)에 인가되는 전력 신호들을 조정함으로써, 도 4의 챔버(152)와 함께 수행될 수 있다. 코일(151)은 플라즈마를 유지하는데 사용될 수 있기 때문에, 플라즈마는 웨이퍼상의 낮은 상대적 바이어스로(플라즈마를 유지하는데 필요한 것보다 더 적음) 웨이퍼에 스퍼터링할 수 있다. 스퍼터링 임계치에 도달하면, 특정 웨이퍼에 대하여 타겟(156)에 인가되는 DC 전력("DC 타겟 전력")과 비교해 볼 때 와이어 코일(151)에 인가되는 RF 전력("RF 코일 전력")의 비율은 스퍼터링-에칭과 증착간의 관계에 영향을 미친다. 예를 들어, 웨이퍼로 증가되는 이온화 및 순차적으로 증가되는 이온 충돌로 인해, RF:DC 전력 비가 더 높을수록 더 많은 스퍼터링-에칭이 일어날 것이다. 웨이퍼 바이어스를 증가시키면(예, 지지 페데스털(162)에 공급되는 RF 전력을 증가시키면), 스퍼터링 수율과 에칭율을 증가시키는 입력 이온들의 에너지가 증가된다. 예를 들어, 페데스털(162)에 인가되는 RF 신호의 전압 레벨을 증가시키면, 웨이퍼상에 발생되는 이온 에너지가 증가되는 반면에, 페데스털(162)에 인가되는 RF 신호의 듀티 사이클(duty cycle)을 증가시키면 발생 이온들의 수가 증가한다.In the above embodiment, SIP deposition of the target material on the sidewalls of the via is performed preferentially in one step, and ICP re-sputtering of the via bottoms and ICP deposition of the coil 151 are performed first in sequential steps. If desired, it should be understood that deposition of the target material and coil material on the sidewalls of the via 349 may be performed simultaneously. Also, it should be understood that if desired, ICP sputtering-etching of the deposited material on the bottom of the via 349 may be performed concurrently with the deposition of the coil material of the target and sidewalls. Simultaneous deposition / sputter etching may be performed with the chamber 152 of FIG. 4 by adjusting power signals applied to the coil 151, the target 156, and the pedestal 162. Since the coil 151 can be used to maintain the plasma, the plasma can sputter onto the wafer with a low relative bias on the wafer (less than necessary to maintain the plasma). When the sputtering threshold is reached, the ratio of RF power ("RF coil power") applied to the wire coil 151 as compared to the DC power ("DC target power") applied to the target 156 for a particular wafer is It affects the relationship between sputtering-etching and deposition. For example, due to increasing ionization into the wafer and sequentially increasing ion bombardment, the higher the RF: DC power ratio will result in more sputtering-etching. Increasing the wafer bias (eg, increasing the RF power supplied to the support pedestal 162) increases the energy of the input ions, which increases the sputtering yield and etch rate. For example, increasing the voltage level of the RF signal applied to the pedestal 162 increases the ion energy generated on the wafer while increasing the duty cycle of the RF signal applied to the pedestal 162. Increasing the duty cycle increases the number of generated ions.

따라서, 웨이퍼 바이어스의 전압 레벨과 듀티 사이클은 모두 스퍼터링 속도를 제어하기 위해 조정될 수 있다. 또한, DC 타겟 전력을 유지하면, 증착에 이용가능한 배리어 물질의 양을 감소시킨다. 제로의 DC 타겟 전력은 스퍼터링-에칭만을 초래한다. 높은 RF 코일 전력 및 웨이퍼 바이어스와 결합되는 낮은 DC 타겟 전력을 통해 비아 측벽 증착과 비아 저면 스퍼터링을 동시에 수행할 수 있다. 따라서, 물질과 기하학 구조들에 대한 처리가 조정될 수 있다. 200mm 웨이퍼상에 통상 3:1 종횡비의 비아를 위해서, 배리어 물질로서 탄탈 또는 탄탈 질화물을 이용하고, 500W 내지 1kW의 DC 타겟 전력, 2 내지 3kW 이상의 RF 코일 전력, 250W 내지 400W 이상의 웨이퍼 바이어스가 동시에 인가되면(예, 100% 듀티 사이클), 웨이퍼 측벽들상의 배리어 증착 및 비아 저면으로부터 물질의 제거를 발생시킬 수 있다. DC 타겟 전력을 더 낮추면, 측별들상에 증착되는 물질이 적어진다. DC 타겟 전력을 더 높히면, 비아의 저면을 스퍼터링하기 위해 더 많은 RF 코일 전력 및/또는 웨이퍼 바이어스 전력이 필요하다. 코일(151)상의 2kW RF 코일 전력 레벨, 및 페데스털(162)상의 100% 듀티 사이클을 갖는 250W RF 웨이퍼 전력 레벨은 예를 들어, 증착/스퍼터링-에칭을 동시에 수행하는데 이용될 수 있다. 충분한 비아 측벽 커버리지를 통해 비아 저면으로부터 스퍼터링-에칭되는 물질에 의한 측벽들의 오염물을 방지할 수 있도록, 동시적인 증착/스퍼터링-에칭시 초기에(예, 특정 기하학적 구조들/물질들에 따라 몇 초 또는 그 이상 동안) 어떤 웨이퍼 바이어스도 인가하지 않는 것이 바람직할 수 있다.Thus, both the voltage level and duty cycle of the wafer bias can be adjusted to control the sputtering rate. Maintaining the DC target power also reduces the amount of barrier material available for deposition. Zero DC target power results only in sputtering-etching. The low DC target power coupled with the high RF coil power and wafer bias allows simultaneous via sidewall deposition and via bottom sputtering. Thus, processing for materials and geometries can be coordinated. For vias of typically 3: 1 aspect ratio on 200mm wafers, tantalum or tantalum nitride is used as barrier material, and DC target power of 500W to 1kW, RF coil power of 2 to 3kW or more, and wafer bias of 250W to 400W are applied simultaneously. Once generated (eg, 100% duty cycle), barrier deposition on wafer sidewalls and removal of material from the via bottom can occur. Lowering the DC target power results in less material deposited on the sides. Higher DC target power requires more RF coil power and / or wafer bias power to sputter the bottom of the via. A 2 kW RF coil power level on coil 151 and a 250 W RF wafer power level with 100% duty cycle on pedestal 162 may be used, for example, to perform deposition / sputtering-etching simultaneously. Initially during simultaneous deposition / sputtering-etching (e.g., a few seconds or depending on specific geometries / materials) so as to prevent contaminants of the sidewalls by sputter-etched material from the via bottom through sufficient via sidewall coverage. It may be desirable not to apply any wafer bias).

예를 들어, 비아(349)의 동시적인 증착/스퍼터링-에칭시 초기에 웨이퍼 바이어스를 인가하지 않으면, 층간 유전체(345)의 측벽들상에 초기 배리어층의 형성을 용이하게 하고, 스퍼터링되는 구리 원자들이 이후의 증착/스퍼터링-에칭 동작동안 층간 유전체(345)를 오염시키지 못하도록 한다. 선택적으로, 증착/스퍼터링-에칭은 동일한 챔버내에서 "순차적으로" 수행될 수도 있거나, 제 1 처리 챔버내에서 배리어층(351)을 증착하고 별도의 제 2 처리 챔버(예, 어플라이드 머티어리얼스의 Preclean II 챔버와 같은 스퍼터-에칭 챔버)내에서 배리어층(351)과 구리 산화물층(374a')을 스퍼터링-에칭함으로써 "순차적으로" 수행될 수도 있다. For example, if no wafer bias is initially applied during simultaneous deposition / sputtering-etching of vias 349, it facilitates the formation of an initial barrier layer on the sidewalls of interlayer dielectric 345, and sputtered copper atoms. To prevent contamination of the interlayer dielectric 345 during subsequent deposition / sputtering-etching operations. Optionally, the deposition / sputtering-etch may be performed “sequentially” in the same chamber, or deposit the barrier layer 351 in the first processing chamber and separate a second processing chamber (eg, Applied Materials). May be performed "sequentially" by sputter-etching the barrier layer 351 and the copper oxide layer 374a 'in a sputter-etching chamber, such as a Preclean II chamber.

제 2 라이너층(371)의 증착과 저면 커버리지의 박막화 이후에, 구리 상호접속부(348)를 형성하기 위해 제 2 금속층(347b)이 증착된다(도 9b). 제 2 구리층(347b)은 제 2 라이너층(371) 상부 및 각 비아의 베이스에서 노출되는 제 1 구리층(347a)의 부분 상부에 도 9b에 도시된 것처럼, 코팅 또는 구리 플러그(347b')로서 증착될 수 있다. 구리층(347b)은 구리 시드층을 포함할 수 있다. 제 1 및 제 2 구리층들(347a, 347b)은 배리어층(351) 또는 제 2 라이너층(371)을 통해 접촉되는 것이 아니라 직접 접촉되기 때문에, 구리 상호접속부(348)의 저항은 감소될 수 있고 비아-대-비아 누설 전류도 감소될 수 있다. 그러나, 몇가지 응용예에서, 라이너층 또는 배리어층 또는 비아의 저면에서 둘 다의 코팅을 남겨두는 것이 바람직할 수 있다는 것을 이해해야 한다.After deposition of the second liner layer 371 and thinning of the bottom coverage, a second metal layer 347b is deposited to form the copper interconnect 348 (FIG. 9B). The second copper layer 347b is coated or copper plug 347b 'over the second liner layer 371 and over the portion of the first copper layer 347a exposed at the base of each via, as shown in FIG. 9B. Can be deposited as. The copper layer 347b may include a copper seed layer. Since the first and second copper layers 347a, 347b are in direct contact rather than contacting through the barrier layer 351 or the second liner layer 371, the resistance of the copper interconnect 348 may be reduced. And via-to-via leakage current can be reduced. However, it should be understood that in some applications, it may be desirable to leave both coatings on the bottom of the liner layer or barrier layer or vias.

상호접속부가 라이너층 또는 층들과는 상이한 도전체 금속으로 형성되면, 상호접속층은 상이한 도전체 금속의 타겟을 갖는 스퍼터링 챔버에서 증착될 수 있다. 스퍼터 챔버는 SIP 타입 또는 ICP 타입일 수 있다. 그러나 현재의 구리 시드층의 증착은 도 10과 연계하여 이하에서 기술되는 형태의 챔버에서 이루어지는 것이 바람직하다. 금속 상호접속부는 CVD 및 전기화학적 도금을 포함하는 다른 형태의 챔버들과 장치에서 다른 방법들로 증착될 수도 있다.If the interconnect is formed of a conductor metal different from the liner layer or layers, the interconnect layer may be deposited in a sputtering chamber having a target of a different conductor metal. The sputter chamber may be of SIP type or ICP type. However, the deposition of current copper seed layers is preferably done in a chamber of the type described below in connection with FIG. 10. The metal interconnect may be deposited in other ways in other types of chambers and apparatus, including CVD and electrochemical plating.

구리 시드층은 도 10의 개념적인 횡단면도에서 도시된 것처럼 다른 플라즈마 스퍼터링 반응기(410)에 의해 증착될 수 있다. 시드층 및 다른 층들을 형성하기 위한 반응기(410)와 다양한 프로세스들은 2001년 11월 14일자로 제출된 공동 출원 시리얼 넘버 09/993,543(attorney docket No. 6265)에 개시되어 있고, 그 전체가 참조로 본 발명에 포함된다. 상기 출원에 기재된 바와 같이, 진공 챔버(412)는 대체로 원통형의 측벽들(414)을 포함하고, 상기 측벽들(414)은 전기적으로 접지된다. 전형적으로, 도시되지 않은 접지된 교체가능 차폐부들이 측벽들(414)이 스퍼터 코팅되는 것을 방지하기 위하여 측벽들(414) 내부에 배치되나, 상기 차폐부들은 진공 유지를 제외하고는 챔버 측벽들로서 작용한다. 스퍼터링될 금속으로 구성된 스퍼터 타겟(416)은 전기적 절연체(418)를 통해 챔버(412)에 실링된다. 페데스털 전극(422)은 기판(424)이 타겟(416)에 평행하게 대향하여 스퍼터 코팅되도록 지지한다. 프로세싱 공간은 차폐부들 내부에서 타겟(416)과 기판(424) 사이에서 한정된다.The copper seed layer may be deposited by another plasma sputtering reactor 410 as shown in the conceptual cross sectional view of FIG. 10. Reactor 410 and various processes for forming seed and other layers are disclosed in co-application serial number 09 / 993,543 (attorney docket No. 6265), filed November 14, 2001, which is incorporated by reference in its entirety. It is included in the present invention. As described in this application, the vacuum chamber 412 includes generally cylindrical sidewalls 414, which sidewalls 414 are electrically grounded. Typically, grounded replaceable shields, not shown, are disposed inside the sidewalls 414 to prevent the sidewalls 414 from being sputter coated, but the shields act as chamber sidewalls except for vacuum retention. do. The sputter target 416 composed of the metal to be sputtered is sealed to the chamber 412 through the electrical insulator 418. The pedestal electrode 422 supports the substrate 424 to be sputter coated opposite the target 416 in parallel. Processing space is defined between the target 416 and the substrate 424 inside the shields.

스퍼터링 작용 가스, 바람직하게 아르곤은 질량 유량 제어기(mass flow controller)(428)를 통해 가스 공급기(426)로부터 챔버 안으로 측정(meter)된다. 도시되지 않은 진공 펌핑 시스템은 전형적으로 10-8 Torr 이하의 매우 낮은 기저 압력(base pressure)에서 챔버(412) 내부를 유지한다. 플라즈마 점화 동안, 아르곤 압력은 대략 5 milliTorr의 챔버 압력을 생성하는 양으로 공급되나, 이하에서 설명되는 바와 같이, 이러한 압력은 그 후 감소된다. DC 전원(434)은 아르곤 작용 가스가 전자들 및 양의 아르곤 이온들을 함유하는 플라즈마로 여기되도록 타겟(416)을 대략 -600VDC로 음으로 바이어싱한다. 양의 아르곤 이온들은 음으로 바이어싱된 타겟(416)으로 유인되고, 타겟으로부터 금속 원자들을 스퍼터링한다.The sputtering working gas, preferably argon, is metered into the chamber from the gas supply 426 via a mass flow controller 428. A vacuum pumping system, not shown, typically maintains inside the chamber 412 at a very low base pressure of 10 −8 Torr or less. During plasma ignition, the argon pressure is supplied in an amount that produces a chamber pressure of approximately 5 milliTorr, but as described below, this pressure is then reduced. DC power source 434 negatively biases target 416 to approximately -600 VDC such that the argon working gas is excited with a plasma containing electrons and positive argon ions. Positive argon ions are attracted to the negatively biased target 416 and sputter metal atoms from the target.

본 발명은 작은 내포 마그네트론(nested magnetron)(436)이 타겟(416) 뒤의 도시되지 않은 백 플레이트 상에서 지지되는 SIP 스퍼터링에 특히 유용하다. 챔버(412) 및 타겟(416)은 중심 축(438)에 대하여 대체로 원형 대칭이다. SIP 마그네트론(436)은 제 1 수직 자기 극성을 가진 내부 자극(440) 및 반대의 제 2 수직 자기 극성을 가진 둘레를 감싸는 외부 자극(442)을 포함한다. 두 자극은 자기 요크(magnetic yoke)(444)에 의해 지지되고, 자기 요크(444)를 통해 자기적으로 결합된다. 상기 요크(444)는 중심 축(438)을 따라 연장되는 회전 축(448) 상에서 지지되는 회전 암(446)에 고정된다. 축(448)에 연결되는 모터(450)는 마그네트론(436)이 중심 축(438)을 중심으로 회전하도록 한다. The present invention is particularly useful for SIP sputtering in which a small nested magnetron 436 is supported on an unshown back plate behind the target 416. Chamber 412 and target 416 are generally circular symmetric about central axis 438. SIP magnetron 436 includes an inner stimulus 440 with a first vertical magnetic polarity and an outer stimulus 442 surrounding the circumference with a second vertical magnetic polarity opposite. Both magnetic poles are supported by a magnetic yoke 444 and are magnetically coupled through the magnetic yoke 444. The yoke 444 is secured to a rotation arm 446 supported on a rotation axis 448 extending along the central axis 438. Motor 450 coupled to shaft 448 causes magnetron 436 to rotate about central axis 438.

불균형 마그네트론에서, 외부 자극(442)에 의해 생성된 면적에 대해 적분(integrated) 총 자속(magnetic flux)은 내부 자극(440)에 의해 생성된 것보다 더 크고, 바람직하게 적어도 150%의 자기 강도의 비율을 갖는다. 대향하는 자극들(440, 442)은 챔버(412) 내부에서 자계를 형성하고, 상기 자계는 타겟의 면에서 고밀도 플라즈마를 형성하여 스퍼터링 속도를 증가시키고 스퍼터링된 금속 원자들의 이온화 비율을 증가시키기 위하여, 타겟(416)의 면에 근접하여 평행한 강력한 성분들을 가진 세미-토로이드형(semi-toroidal)이다. 외부 자극(442)은 내부 자극(440)보다 자기적으로 더 강하기 때문에, 외부 자극(442)으로부터 나온 자계 부분은 자기 회로를 완성하기 위하여 외부 자극(442) 뒤편으로 다시 루핑(loop)되기 이전에 페데스털(422) 쪽으로 멀리 돌출된다.In an unbalanced magnetron, the integrated total magnetic flux over the area produced by the external magnetic pole 442 is greater than that generated by the internal magnetic pole 440, and preferably of at least 150% of magnetic strength. Has a ratio. Opposing magnetic poles 440, 442 form a magnetic field inside chamber 412, which forms a high density plasma at the face of the target to increase the sputtering rate and increase the ionization rate of the sputtered metal atoms, It is semi-toroidal with strong components parallel to the face of the target 416. Since the outer stimulus 442 is magnetically stronger than the inner stimulus 440, the magnetic field portion from the outer stimulus 442 is not looped back behind the outer stimulus 442 to complete the magnetic circuit. It protrudes away toward the pedestal 422.

예를 들어, 13.56MHz의 주파수를 갖는 RF 전원(454)은 기판(24) 상에서 음의 자기-바이어스를 형성하기 위하여 페데스털 전극(422)에 연결된다. 상기 바이어스는 인접한 플라즈마의 외장(sheath)을 가로질러 양으로 하전된 금속 원자들을 유인하고, 그에 의하여 인터-레벨 비아와 같은 기판의 높은 종횡비 홀들의 측면과 바닥을 코팅한다. For example, an RF power source 454 with a frequency of 13.56 MHz is connected to the pedestal electrode 422 to form a negative self-bias on the substrate 24. The bias attracts positively charged metal atoms across the sheath of the adjacent plasma, thereby coating the sides and bottom of high aspect ratio holes of the substrate, such as inter-level vias.

SIP 스퍼터링에서, 마그네트론은 작고, 높은 자력(magnetic strength)을 가지며, 높은 DC 전력량이 타겟에 인가되어 플라즈마 밀도가 타겟(416) 근처에서 1010cm-3 이상으로 상승한다. 이러한 플라즈마 밀도의 존재 하에서, 다수의 스퍼터링된 원자들은 양으로 하전된 금속 이온들로 이온화된다. 금속 이온 밀도는 더 멀리 금속 이온들을 스퍼터링하기 위하여 다수의 금속 이온이 타겟 후방으로 유인되기에 충분히 높다. 그 결과, 금속 이온들은 스퍼터링 프로세스에서 유효 작용 종으로서 아르곤 이온들을 적어도 부분적으로 대체할 수 있다. 즉, 아르곤 압력이 감소될 수 있다. 감소된 압력은 금속 이온들의 산란(scattering) 및 탈이온(deionization)의 이점을 갖는다. 구리 스퍼터링에 대하여, 소정의 조건하에서, 일단 플라즈마가 점화되면 자체 유지 스퍼터링(sustained self-sputtering; SSS)으로 불리우는 프로세스에서 아르곤 작용 가스를 완전히 제거하는 것이 가능하다. 알루미늄 또는 텅스텐 스퍼터링에 대하여, SSS는 가능하지 않으나, 아르곤 압력은 일반적인 스퍼터링에서 사용되는 압력으로부터 예를 들어, 1 milliTorr 이하로 상당히 감소될 수 있다.In SIP sputtering, the magnetron is small, has high magnetic strength, and a high amount of DC power is applied to the target so that the plasma density rises above 10 10 cm −3 near the target 416. In the presence of this plasma density, many sputtered atoms are ionized with positively charged metal ions. The metal ion density is high enough to attract a large number of metal ions behind the target to sputter metal ions further away. As a result, the metal ions can at least partially replace argon ions as an effective species in the sputtering process. That is, the argon pressure can be reduced. The reduced pressure has the advantage of scattering and deionization of metal ions. For copper sputtering, under certain conditions it is possible to completely remove argon working gas in a process called sustained self-sputtering (SSS) once the plasma is ignited. For aluminum or tungsten sputtering, SSS is not possible, but the argon pressure can be significantly reduced to, for example, 1 milliTorr or less from the pressure used in conventional sputtering.

본 발명의 일 실시예에서, 영구 자석들(462)의 보조 어레이(460)는 챔버 측벽들(414) 둘레에 배치되고, 대체로 기판(424)쪽으로 프로세싱 공간의 절반에 배치된다. 이러한 실시예에서, 보조 자석들(462)은 외부 자극(442)으로부터 자계의 불균형 부분을 끌어 내리기 위하여 내포 마그네트론(436)의 외부 자극(442)과 동일한 제 1 수직 자기 극성을 갖는다. 이하에서 상세히 설명되는 실시예에서는, 8개의 영구 자석들이 존재하나, 중심 축(438) 주위에 분포된 4 또는 그 이상의 임의의 개수가 유사하게 양호한 결과를 제공할 것이다. 프로세싱 영역에서의 유효 강도를 증가시키기 위하여 보조 자석들(462)을 챔버 측벽들(414) 내부에 그러나 바람직하게는 얇은 측벽 차폐부 외부에 배치시키는 것이 가능하다. 그러나, 측벽들(414) 외부의 배치는 전체 프로세싱 결과에 대해 바람직하다. In one embodiment of the invention, the secondary array 460 of permanent magnets 462 is disposed around the chamber sidewalls 414 and generally disposed in half of the processing space towards the substrate 424. In this embodiment, the auxiliary magnets 462 have the same first perpendicular magnetic polarity as the external magnetic pole 442 of the inclusion magnetron 436 to pull off the unbalanced portion of the magnetic field from the external magnetic pole 442. In the embodiment described in detail below, there are eight permanent magnets, but any number of four or more distributed around the central axis 438 will similarly provide good results. It is possible to place the auxiliary magnets 462 inside the chamber sidewalls 414 but preferably outside the thin sidewall shield to increase the effective strength in the processing area. However, placement outside the sidewalls 414 is desirable for the overall processing result.

보조 자석 어레이는 원형 대칭 자계를 생성하기 위하여 중심 축(438)을 중심으로 대체로 대칭적으로 배치된다. 반면, 내포 마그네트론(436)은 중심 축(438)을 중심으로 비대칭적으로 배치된 자계 분포를 갖는데, 상기 자계 분포는 회전 시간에 걸쳐 평균하면 대칭이 된다. 다수 형태의 내포 마그네트론(436)이 존재한다. 덜 바람직하지만 가장 간단한 형태는 둥근 고리형 외부 자극(442)에 의해 둘러싸인 버튼 중심 자극(button center pole)(440)을 갖는 형태이고, 그리하여 자계는 챔버 축(438)로부터 변위된 축에 대해 대칭이며 내포 마그네트론 축은 챔버 축(438)을 중심으로 회전된다. 도 11의 하부 평면도에 도시된, 바람직한 내포 마그네트론은 삼각형이고, 중심 축(438) 근처에 정점을 갖고 타겟(416)의 외주변 근처에 기저부를 갖는다. 이러한 형태는 자계의 시평균이 원형 내포 마그네트론보다 더 균일하기 때문에 특히 유리하다.The auxiliary magnet array is disposed generally symmetrically about a central axis 438 to create a circular symmetric magnetic field. On the other hand, the inclusion magnetron 436 has a magnetic field distribution asymmetrically disposed about the central axis 438, which becomes symmetrical when averaged over the rotation time. There are many forms of embedded magnetrons 436. A less desirable but simplest form is one having a button center pole 440 surrounded by a rounded annular external magnetic pole 442, such that the magnetic field is symmetrical about the axis displaced from the chamber axis 438. The inclusion magnetron axis is rotated about the chamber axis 438. The preferred inclusion magnetron, shown in the bottom plan view of FIG. 11, is triangular, has a vertex near the central axis 438 and a base near the outer periphery of the target 416. This form is particularly advantageous because the time average of the magnetic field is more uniform than the circularly embedded magnetron.

회전 사이클 동안 특정 순간의 유효 자계는 도 10의 점선에 의해 도시된다. 세미-토로이드형 자계 BM는 타겟(16)의 표면에 근접하고 타겟(16) 표면에 평행한 강한 수평 성분을 제공하고, 그에 의하여 플라즈마의 밀도, 스퍼터링 속도, 및 스퍼터링된 입자들의 이온화 비율을 증가시킨다. 보조 자계 BA1, BA2는 보조 자석 어레이(460)로부터 그리고 내포 마그네트론(436) 자계의 불균형 부분으로부터 나온 자계의 합이다. 내포 마그네트론(436)으로부터 떨어진 챔버의 측면 상에서는, 내포 마그네트론(436) 자계의 불균형 부분으로부터 나온 성분 BA1이 우세한데, 그것은 기판(424) 쪽으로 멀리 연장되지 않는다. 그러나, 내포 마그네트론(436)의 측면 상의 챔버 측벽(414) 근처에서, 보조 자석(462)이 외부 자극(442)에 강하게 결합되고, 그 결과 기판(424) 쪽으로 멀리 돌출하는 자계 성분 BA2을 초래한다. 예시된 평면에서, 자계 성분은 두 성분 BA1, BA2의 결합이다.The effective magnetic field at a particular moment during the rotation cycle is shown by the dashed line in FIG. The semi-toroidal magnetic field B M provides a strong horizontal component that is close to the surface of the target 16 and parallel to the surface of the target 16, thereby adjusting the density of the plasma, the sputtering rate, and the ionization rate of the sputtered particles. Increase. The auxiliary magnetic fields B A1 , B A2 are the sum of the magnetic fields from the auxiliary magnet array 460 and from the unbalanced portion of the embedded magnetron 436 magnetic field. On the side of the chamber away from the inclusion magnetron 436, component B A1 from the unbalanced portion of the inclusion magnetron 436 magnetic field prevails, which does not extend far towards the substrate 424. However, near the chamber sidewall 414 on the side of the inclusion magnetron 436, the auxiliary magnet 462 is strongly coupled to the external magnetic pole 442, resulting in magnetic field component B A2 protruding away toward the substrate 424. do. In the illustrated plane, the magnetic field component is a combination of two components B A1 , B A2 .

이러한 구조는 보조 자석들(442)의 자기 극성들과 강한 외부 자극들(442)의 정렬 때문에 강한 수직 자계가 챔버 측벽 둘레를 스위핑(sweep)하는 내포 마그네트론(436) 바로 아래 영역 내 챔버 측벽(414)의 상당한 길이를 따라 상기 챔버 측벽(414) 근처에서 생성되는 결과를 낳는다. 그 결과, 가장 강하게 스퍼터링되는 타겟(416)의 영역에 인접한 챔버(412) 외부 측면 상에서 강한 수직 자계가 존재한다. 이러한 돌출 자계(projecting field)는 플라즈마의 영역을 연장시키는데 그리고 이온화된 입자들을 기판(424)으로 안내하는데 효과적이다.This structure is due to the alignment of the magnetic polarities of the auxiliary magnets 442 with the strong external magnetic poles 442 and thus the chamber sidewall 414 in the region just below the nested magnetron 436 where a strong vertical magnetic field sweeps around the chamber sidewall. Resulting in near the chamber sidewall 414 along a significant length of < RTI ID = 0.0 > As a result, there is a strong vertical magnetic field on the outer side of the chamber 412 adjacent to the region of the most strongly sputtered target 416. This projecting field is effective in extending the area of the plasma and directing ionized particles to the substrate 424.

보조 자석 어레이(460)는 2개의 반원형 자석 캐리어들(470)을 사용하여 구현될 수 있고, 자석 캐리어들 중 하나가 도 12에 정사도법으로 예시된다. 각각의 캐리어(470)는 캐리어 내부를 향하고 하나의 자석(462)을 포함하는 각각의 자석 어셈블리(474)를 수용하도록 크기가 정해진 4개의 리세스(472)를 포함한다. 자석 어셈블리(474)는 호(弧)형 상부 클램프 부재(476) 및 하부 클램프 부재(478)를 포함하고, 상기 클램프 부재들은 2개의 나사(480)가 2개의 클램프 부재들(476, 478)을 함께 조일 때 리세스들 안으로 원통형 자석(462)을 포착한다. 캐리어들(470) 및 클램프 부재들(476, 478)은 알루미늄과 같은 비자기 재료로 형성될 수 있다. 하부 클램프 부재(478)는 리세스(472) 안에 들어맞는 길이를 가지나, 상부 클램프 부재(476)는 리세스(472) 너머로 연장되는 단부들을 갖고, 상부 클램프 부재(476)를 관통하여 2개의 관통 홀(482)이 드릴링된다. 나사(484)가 자석 캐리어(470) 내 태핑된 홀들(486)에 고정되도록 하기 위하여 2개의 나사(484)는 각각의 관통 홀들을 통과하여, 자석(462)을 자석 캐리어(470) 상의 제자리에 고정한다. 상기와 같이 조립된 2개의 반원형 자석 캐리어(470)들은 챔버 벽(414) 둘레에 링으로 배치되고 일반적인 고정 수단에 의해 챔버 벽에 고정된다. 이러한 구조는 자석들(462)을 챔버 벽(414)의 외부에 직접 인접하도록 배치시킨다. The auxiliary magnet array 460 can be implemented using two semicircular magnet carriers 470, one of the magnet carriers being illustrated in orthogonality in FIG. 12. Each carrier 470 includes four recesses 472 facing inside the carrier and sized to receive each magnet assembly 474 including one magnet 462. The magnet assembly 474 includes an arc-shaped upper clamp member 476 and a lower clamp member 478, wherein the clamp members have two screws 480 for the two clamp members 476, 478. When tightened together, it captures a cylindrical magnet 462 into the recesses. Carriers 470 and clamp members 476 and 478 may be formed of a nonmagnetic material such as aluminum. The lower clamp member 478 has a length that fits into the recess 472, but the upper clamp member 476 has ends extending beyond the recess 472, and two penetrations through the upper clamp member 476. Hole 482 is drilled. Two screws 484 pass through respective through holes so that the screw 484 is secured to the tapped holes 486 in the magnet carrier 470, thereby placing the magnet 462 in place on the magnet carrier 470. Fix it. The two semicircular magnet carriers 470 assembled as above are arranged in a ring around the chamber wall 414 and fixed to the chamber wall by conventional fastening means. This structure places the magnets 462 directly adjacent to the exterior of the chamber wall 414.

Wei Wang의 전자기 코일 내에 형성된 솔레노이드 자계는 영구 자석들로 이루어진 환형 어레이에 의해 형성된 원주형 쌍극 자계보다 반응기 챔버의 직경에 걸쳐 상당히 더 균일하다. 그러나, 도 13의 단면도에 도시된 바와 같이, 영구 자석들(462)을 챔버 벽의 주변 둘레에 배치된 전자기 코일들(490)의 환형 어레이로 대체함으로써 유사한 형태의 쌍극 자계를 형성하는 것이 가능하다. 코일들(490)은 전형적으로 중심 축(438)에 평행한 각각의 축들에 대해 나선형으로 감기고, 챔버 내부에 거의 동일한 쌍극 자계를 생성하기 위하여 전기적으로 전력이 공급된다. 그러한 설계는 보조 자계 강도 및 자계 극성의 신속한 조정을 허용하는 이점을 갖는다. The solenoid magnetic field formed in Wei Wang's electromagnetic coil is considerably more uniform across the diameter of the reactor chamber than the cylindrical dipole magnetic field formed by the annular array of permanent magnets. However, as shown in the cross-sectional view of FIG. 13, it is possible to form a bipolar magnetic field of similar type by replacing the permanent magnets 462 with an annular array of electromagnetic coils 490 disposed around the perimeter of the chamber wall. . Coils 490 are typically wound spirally about each axis parallel to center axis 438 and are electrically powered to create a nearly identical dipole magnetic field inside the chamber. Such a design has the advantage of allowing quick adjustment of the auxiliary magnetic field strength and the magnetic field polarity.

본 발명은 구리의 SIP 스퍼터링에 적용되었다. 종래의 SIP 반응기는 시트 저항 측정들에 의해 결정된 9%의 불균일성을 갖는 구리 막을 스퍼터링하는 반면, 보조 마그네트론은 단지 1%만의 불균일성을 생성하기 위하여 최적화될 수 있다. 개선된 균일성은 감소된 증착 속도의 희생으로 얻을 수 있지만, 깊은 홀들 내 얇은 구리 시드층들의 증착을 위하여, 더 낮은 증착 속도는 더 나은 프로세스 제어에 바람직할 수 있다.The present invention has been applied to SIP sputtering of copper. Conventional SIP reactors sputter copper films with a 9% non-uniformity determined by sheet resistance measurements, while auxiliary magnetrons can be optimized to produce only 1% non-uniformity. Improved uniformity can be obtained at the expense of reduced deposition rate, but for the deposition of thin copper seed layers in deep holes, lower deposition rates may be desirable for better process control.

비록 본 발명은 SIP 스퍼터 반응기의 사용을 개시하였지만, 보조 영구자석 어레이는, 미국특허 6,251,242의 SIP* 반응기, 미국특허 6,179,973의 중공 캐소드 타겟 또는 2000년 7/8월, 클로운 외, J.Vac. Sci Technology의 "개선된 금속배선을 위한 중공-캐소드 마그네트론 소스를 이용한 이온화된 물리적-기상 증착", 미국특허 6,045,547의 유도 결합된 IMP 반응기, 또는 예를 들어 2000년 IEEE, 와다 등의 "이온 반사기를 갖는 자기 이온 스퍼터링(SIS)을 이용한 0.13 마이크로미터 기술 세대를 위한 Cu 듀얼 다마신 처리"에 기술되는 것처럼 이온반사기를 이용하여 기판으로의 이온 플럭스를 제어하는 자기 이온 스퍼터링(SIS) 시스템과 같이, 다른 타겟과 전력 장치들에 바람직하게 적용될 수 있다. 밸런스된 마그네트론 및 고정 마그네트론들과 같은, 다른 마그네트론 장치들이 이용될 수도 있다. 또한, 보조 자석들의 극성은 상부 마그네트론의 외부 폴의 자기 극성에 평행하거나 평행하지 않을 수 있다. Al, Ta, Ti, Co, W 등과 이들 중 몇 가지 내화 금속들의 질화물들을 포함하는 다른 물질들이 스퍼터링될 수 있다.Although the present invention discloses the use of a SIP sputter reactor, the auxiliary permanent magnet array is a SIP * reactor of US Pat. No. 6,251,242, a hollow cathode target of US Pat. No. 6,179,973 or July / August 2000, Cloun et al., J. Vac. Sci Technology's "Ionized Physical-Gas Deposition Using Hollow-Chode Magnetron Sources for Improved Metallization", Inductively Coupled IMP Reactors of U.S. Patent 6,045,547, or "Ion Reflectors, e. Other methods, such as magnetic ion sputtering (SIS) systems, which use ion reflectors to control ion flux to a substrate, as described in "Cu Dual Damasine Treatment for 0.13 Micrometer Technology Generation with Magnetic Ion Sputtering (SIS) It can be preferably applied to the target and the power devices. Other magnetron devices may be used, such as balanced magnetrons and fixed magnetrons. In addition, the polarity of the auxiliary magnets may or may not be parallel to the magnetic polarity of the outer pole of the upper magnetron. Other materials, including Al, Ta, Ti, Co, W and the like, nitrides of some of these refractory metals can be sputtered.

따라서, 보조 저속 어레이는 마그네트론 스퍼터링에 유용한 자기장의 부가적인 제어를 제공한다. 그러나, 부분적으로 중성 플럭스로 더 깊은 홀 코팅을 달성하기 위해, 타겟(416)과 웨이퍼(424) 사이의 거리를 증가시키는 것, 즉 롱-쓰로우(long-throw) 모드로 동작하는 것이 바람직하다. 도 4의 챔버와 연계하여 전술한 바와 같이, 롱-쓰로우에서, 타겟-대-기판 간격은 기판 직경의 절반보다 통상 더 크다. SIP 구리 시드 증착에 이용될 때, 200mm 웨이퍼에 대해 140% 웨이퍼 직경보다 더 크고(예, 290mm 간격), 300mm 웨이퍼에 대해 130%보다 더 크지만(예, 400mm 간격), 기판 직경의 90% 및 100%보다 더 큰것을 포함하여 80%보다 더 큰 간격이 적절하다고 판단된다. 많은 응용예들에서, 50 내지 1000mm의 웨이퍼 간격에 대한 타겟이 적합하다고 판단된다. 종래의 스퍼터링의 롱-쓰로우는 스퍼터링 속도를 감소시키지만, 이온화된 스퍼터 입자들은 그렇게 많은 감소를 일으키지 않는다.Thus, the secondary low speed array provides additional control of the magnetic field useful for magnetron sputtering. However, in order to achieve deeper hole coating with partially neutral flux, it is desirable to increase the distance between target 416 and wafer 424, ie operate in long-throw mode. . As discussed above in connection with the chamber of FIG. 4, in long-throw, the target-to-substrate spacing is typically greater than half the substrate diameter. When used for SIP copper seed deposition, larger than 140% wafer diameter (eg 290mm spacing) for 200mm wafers, larger than 130% for 300mm wafers (eg 400mm spacing), but 90% of substrate diameter and Intervals greater than 80% are considered appropriate, including greater than 100%. In many applications, it is determined that a target for a wafer spacing of 50 to 1000 mm is suitable. The long-throw of conventional sputtering reduces the sputtering rate, but ionized sputter particles do not cause so much reduction.

도 4의 챔버 및 도 10의 챔버에 의해 형성될 수 있는 구조의 일 실시예는 도 14a의 횡단면으로 도시된 비아이다. 시드 구리층(492)은 도 4의 챔버에서 형성되는 라이너층들 상부의 비아 홀(494)내에 도 10의 챔버로 증착되고, SIP 및 ICP를 촉진하는 조건들 하에서 전술한 TaN 배리어(351, 364) 및 Ta 라이너층들(371, 374)과 같은 하나 이상의 배리어 및 라이너층들을 포함할 수 있다. SIP 구리층(492)은 예를 들어, 50 내지 300nm, 바람직하게는 80 내지 200nm의 블랭킷 두께로 증착될 수 있다. SIP 구리 시드층(492)은 비아 측벽들상에 2 내지 20nm, 더욱 바람직하게는 7 내지 15nm 범위의 두께를 갖는 것이 바람직하다. 좁은 홀들의 관점에서, 50nm를 초과하는 측벽 두께는 몇 가지 어플리케이션들에 최적이 아닐 수 있다. 막의 품질은 페데스털 온도를 0℃ 미만, 바람직하게는 -40℃ 미만으로 감소시킴으로써 몇 가지 어플리케이션들에서 개선될 수 있다. 그러한 어플리케이션들에서, 신속한 SIP 증착은 장점이다.One embodiment of a structure that may be formed by the chamber of FIG. 4 and the chamber of FIG. 10 is a via shown in cross-section in FIG. 14A. The seed copper layer 492 is deposited into the chamber of FIG. 10 in the via hole 494 over the liner layers formed in the chamber of FIG. ) And one or more barrier and liner layers, such as Ta liner layers 371 and 374. SIP copper layer 492 may be deposited, for example, with a blanket thickness of 50 to 300 nm, preferably 80 to 200 nm. SIP copper seed layer 492 preferably has a thickness in the range of 2-20 nm, more preferably 7-15 nm, on the via sidewalls. In view of narrow holes, sidewall thicknesses greater than 50 nm may not be optimal for some applications. The quality of the film can be improved in some applications by reducing the pedestal temperature below 0 ° C, preferably below -40 ° C. In such applications, rapid SIP deposition is an advantage.

만약 예를 들어, 스퍼터링 챔버(410)가 구리층들의 증착을 위해 구성되면, 구리 타겟(416)이 사용된다. 동작시, 챔버 배기 출구와 결합되어 동작되는 스로틀 밸브는 챔버로 처리 가스(들)의 유입 이전에, 약 1×10-8torr의 원하는 낮은 진공 레벨로 증착 챔버(410)를 유지하기 위해 중간-위치에 배치된다. 스퍼터링 챔버(410)내에 처리를 개시하기 위해, 아르곤 가스가 가스 입구(428)를 통해 스퍼터링 챔버(410)로 유입된다. 롱 쓰로우 SIP 챔버에 구리 시드의 증착을 위해, 0-2mTorr와 같은 매우 저압이 바람직하다. 도시된 실시예에서, 0.2mTorr의 압력이 적합하다. DC 전력은 DC 전력 공급기(434)를 통해 구리 타겟(416)에 인가된다(가스 혼합물은 가스 입구(360)를 통해 스퍼터링 챔버(410)로 계속 유입되고 적정 펌프를 통해 이로부터 펌프되면서). 타겟(416)에 인가되는 전력은 200mm 웨이퍼, 구리 타겟에 대해 20-60kW 범위일 수 있다. 일 예로서, 전력 공급기(434)는 -600V DC 전압에서 구리 타겟(416)에 38kW를 인가할 수 있다. 300mm 웨이퍼들과 같은 대형 웨이퍼에 대해, 56kW와 같은 더 큰 값들이 적합할 수 있음을 예상해야 한다. 특정 어플리케이션에 따라 다른 값들이 사용될 수도 있다.For example, if the sputtering chamber 410 is configured for deposition of copper layers, a copper target 416 is used. In operation, the throttle valve, which is operated in conjunction with the chamber exhaust outlet, is adapted to maintain the deposition chamber 410 at a desired low vacuum level of about 1 × 10 −8 torr, prior to the inlet of the processing gas (s) into the chamber. Is placed in position. Argon gas is introduced into the sputtering chamber 410 through the gas inlet 428 to start processing in the sputtering chamber 410. For the deposition of copper seeds in long throw SIP chambers, very low pressures such as 0-2 mTorr are preferred. In the embodiment shown, a pressure of 0.2 mTorr is suitable. DC power is applied to the copper target 416 through the DC power supply 434 (gas mixture continues to enter the sputtering chamber 410 through the gas inlet 360 and pumped therefrom through a titration pump). The power applied to the target 416 may range from 20-60 kW for a 200 mm wafer, copper target. As an example, the power supply 434 may apply 38 kW to the copper target 416 at a −600 V DC voltage. For large wafers, such as 300 mm wafers, it should be expected that larger values, such as 56 kW, may be suitable. Other values may be used depending on the particular application.

타겟(416)에 인가되는 DC 전력은 아르곤이 SIP 플라즈마를 형성하도록 하고 부착되는 아르곤 이온들을 생성하며, 타겟 물질(예, 구리)이 배출되도록 타겟(416)과 충돌한다. 배출되는 타겟 물질은 이동하여 페데스털(422)에 의해 지지되는 웨이퍼(424)상에 증착된다. SIP 처리에 따라, 불균형 마그네트론에 의해 생성되는 플라즈마는 스퍼터링되는 구리의 일부를 이온화한다. 기판 지지 페데스털(422)에 인가되는 RF 전력 신호를 조정함으로써, 네거티브 바이어스가 기판 지지 페이스털(422)과 플라즈마 사이에 생성될 수 있다.The DC power applied to the target 416 causes argon to form a SIP plasma, generates attached argon ions, and collides with the target 416 such that the target material (eg, copper) is discharged. The ejected target material moves and is deposited on the wafer 424 supported by the pedestal 422. Following SIP treatment, the plasma generated by the unbalanced magnetron ionizes a portion of the sputtered copper. By adjusting the RF power signal applied to the substrate support pedestal 422, a negative bias can be generated between the substrate support pedestal 422 and the plasma.

페데스털(422)에 인가되는 전력은 구리 시드 증착에 대해 0-1200W 범위일 수 있다. 일 예로서, RF 전력 공급기(454)는 200mm 웨이퍼에 대해 300W를 페데스털(422)로 인가할 수 있다. 300mm 웨이퍼들과 같은 대형 웨이퍼들을 위해, 더 큰 값들이 적합할 수 있음을 예상해야 한다. 특정 어플리케이션에 따라, 다른 값들도 사용될 수 있다.Power applied to pedestal 422 may range from 0-1200 W for copper seed deposition. As an example, the RF power supply 454 may apply 300 W to the pedestal 422 for a 200 mm wafer. For larger wafers, such as 300 mm wafers, it should be expected that larger values may be suitable. Depending on the particular application, other values may be used.

기판 지지 페데스털(422)과 플라즈마 사이의 네거티브 바이어스는 구리 이온들과 아르곤 이온들이 페데스털(422)과 그 상부에 지지되는 웨이퍼를 향해 가속되도록 한다. 따라서, 중성 및 이온화된 구리는 웨이퍼상에 증착될 수 있고, SIP 스퍼터링에 따라 양호한 저면, 측벽 및 구리 측벽 커버리지를 제공할 수 있다. 또한, 웨이퍼는 타겟(416)으로부터 구리 물질이 웨이퍼상에 증착되는 동시에, 아르곤 이온들에 의해 스퍼터링-에칭될 수 있다(즉, 동시적인 증착/스퍼터링-에칭).A negative bias between the substrate support pedestal 422 and the plasma causes copper ions and argon ions to be accelerated toward the pedestal 422 and the wafer supported thereon. Thus, neutral and ionized copper can be deposited on the wafer and can provide good bottom, sidewall and copper sidewall coverage upon SIP sputtering. In addition, the wafer may be sputter-etched by argon ions (ie, simultaneous deposition / sputtering-etch) while copper material is deposited on the wafer from the target 416.

시드층(492)의 증착 이후 또는 증착 동안, 비아(494)의 저면(496)에서 시드층(492)의 부분은 저면의 재분배를 원한다면, 도 14b에 도시된 것처럼, 아르곤 플라즈마를 통해 스퍼터링-에칭되거나 재-스퍼터링될 수 있다. 저면(496)은 도 14b에 도시된 것처럼, 구리 시드층의 저면 코너 영역들(498)의 커버리지 두께를 증가시키도록 재분배될 수 있다. 많은 어플리케이션들에서, 구리 시드층 저면(496)은 비아를 통해 적정한 시드층 커버리지를 제공하기 위해 완전히 제거되지 않는 것이 바람직하다.After or during the deposition of the seed layer 492, the portion of the seed layer 492 at the bottom 496 of the via 494 may be sputter-etched through the argon plasma, as shown in FIG. 14B, if desired for redistribution of the bottom. Or re-sputtered. Bottom 496 may be redistributed to increase the coverage thickness of bottom corner regions 498 of the copper seed layer, as shown in FIG. 14B. In many applications, the copper seed layer bottom 496 is preferably not completely removed to provide proper seed layer coverage through the vias.

아르곤 플라즈마는 전력을 타겟 및 페데스털에 인가함으로써 SIP 플라즈마로서 이러한 재-스퍼터링 단계에서 생성되는 것이 바람직하다. SIP 아르곤 이온들은 전기장(예, 페데스털 상에 네거티브 자기 바이어스를 형성하도록 하는, 도 10의 제 2 RF 전력 공급기(454)를 통해 기판 지지 페데스털(422)로 인가되는 RF 신호)에 의해 시드층(492)을 향해 가속되고, 시드층(492)에 충돌하며, 운동량 전이로 인해, 비아 개구의 베이스로부터 시드층 물질을 스퍼터링하고 이를 비아(349)의 저면 코너들을 코팅하는 시드층(492)의 부분(498)을 따라 재분배한다.The argon plasma is preferably generated in this re-sputtering step as a SIP plasma by applying power to the target and pedestal. SIP argon ions are driven by an electric field (eg, an RF signal applied to the substrate support pedestal 422 through the second RF power supply 454 of FIG. 10 to form a negative self bias on the pedestal). Seed layer 492 accelerated toward seed layer 492, impinging on seed layer 492, and due to momentum transition, sputtering the seed layer material from the base of the via opening and coating it to the bottom corners of via 349. Redistribute along portion 498.

아르곤 이온들은 이와 거의 수직 방향으로 기판에 부착된다. 따라서, 비아 측벽의 스퍼터링은 거의 없지만, 실질적으로 비아 베이스의 스퍼터링이 발생한다. 이러한 실시예에서 스퍼터링 챔버(410)내에 구리 시드층의 스퍼터링동안(도 10), 페데스털(422)에 인가되는 전력은 예를 들어 600-1200W, 또는 900W와 같이, 더 높은 값으로 증가되어, 구리 시드층 저면의 재분배를 용이하게 할 수 있다. 따라서, 본 예에서, 페데스털 전력은 재-스퍼터링의 재분배 효과를 향상시키기 위해 600W 이하의 레벨(예, 300W)에서 600W 보다 더 큰 레벨(예, 900W)로 상승된다.Argon ions are attached to the substrate in a direction substantially perpendicular thereto. Thus, there is little sputtering of the via sidewalls, but substantially sputtering of the via base occurs. In this embodiment, during the sputtering of the copper seed layer in the sputtering chamber 410 (FIG. 10), the power applied to the pedestal 422 is increased to a higher value, such as 600-1200 W, or 900 W, for example. , Redistribution of the bottom of a copper seed layer can be made easy. Thus, in this example, the pedestal power is raised from a level below 600W (eg 300W) to a level greater than 600W (eg 900W) to enhance the redistribution effect of re-sputtering.

다른 예로서, 타겟(416)에 인가되는 전력은 예를 들어, 30kW 내지 28kW와 같이, 더 낮은 값으로 감소되어, 구리 시드층 저면의 재분배를 용이하게 하도록 타겟(416)으로부터 증착을 중지시킬 수 있다. 타겟 전력이 없는 것을 제외하고, 낮은 타겟 전력 레벨은 더 균일한 플라즈마를 제공할 수 있고, 현재 타겟 전력이 시드층 저면 재분배를 위해 감소되는 상기한 실시예들에서 바람직하다. 따라서, 이러한 예에서, 타겟 전력은 30000 이상의 레벨(예, 39kW)에서 30000 이하의 레벨(예 28kW)로 하강되어 재-스퍼터링을 향상시킨다.As another example, the power applied to the target 416 may be reduced to a lower value, such as, for example, 30 kW to 28 kW, to stop deposition from the target 416 to facilitate redistribution of the bottom of the copper seed layer. have. Except for the absence of target power, a lower target power level can provide a more uniform plasma, which is desirable in the embodiments described above where current target power is reduced for seed layer bottom redistribution. Thus, in this example, the target power is lowered from a level above 30000 (eg 39 kW) to a level below 30000 (eg 28 kW) to improve re-sputtering.

또 다른 예로서, 구리 시드층 저면의 재-스퍼터링은 타겟 및 페데스털 전력 레벨들이 시드층 증착시 상대적으로 일정하게(각각 38kW 및 300W와 같이) 유지될 수 있도록 구리 시드층 증착을 통해 동시에 수행될 수 있다. 다른 실시예들에서, 타겟 전력 감소는 선택적일 수 있거나 시드층 저면 재분배를 용이하게 하기 위해 페데스털 전력과 결합되어 증가될 수 있다.As another example, re-sputtering of the bottom of the copper seed layer is performed simultaneously through copper seed layer deposition such that the target and pedestal power levels can be maintained relatively constant (such as 38 kW and 300 W, respectively) during seed layer deposition. Can be. In other embodiments, target power reduction may be optional or may be increased in combination with pedestal power to facilitate seed layer bottom redistribution.

재-스퍼터링 처리 파라미터들의 특정 값들은 특정 어플리케이션에 따라 변할 수 있다. 공동출원 또는 발행된 출원들 08/768,058; 09/126,890; 09/449,202; 09/846,581; 09/490,026; 및 09/740,161은 재-스퍼터링 처리들을 개시하고 있고, 그 전체가 본 발명에 참조로 포함된다.Certain values of the re-sputtering processing parameters may vary depending on the particular application. Co-filed or issued applications 08 / 768,058; 09 / 126,890; 09 / 449,202; 09 / 846,581; 09 / 490,026; And 09 / 740,161 disclose re-sputtering processes, the entirety of which is incorporated herein by reference.

SIP 구리 시드층(492)은 양호한 저면 및 측벽 커버리지를 갖고 향상된 저면 코너 커버리지를 갖는다. 구리 시드층(492)이 증착된 후, 전기도금 전극들 중 하나로서 시드층(492)을 이용하여 바람직하게 전기화학적 도금에 의해 도 1에서처럼, 홀은 구리층(18)으로 충진된다. 선택적으로, SIP 구리 시드층(492)의 부드러운 구조는 또한 표준 스퍼터링 또는 물리적 기상 증착(PVD)에 의해 구리의 리플로우 또는 고온 증착을 촉진시킨다.SIP copper seed layer 492 has good bottom and sidewall coverage and has improved bottom corner coverage. After the copper seed layer 492 is deposited, the hole is filled into the copper layer 18, as in FIG. 1, preferably by electrochemical plating, using the seed layer 492 as one of the electroplating electrodes. Optionally, the smooth structure of the SIP copper seed layer 492 also promotes reflow or high temperature deposition of copper by standard sputtering or physical vapor deposition (PVD).

도 4 및 도 10의 챔버들은 이온화 및 중성 원자 플럭스를 이용한다. 본 발명에 그 전체가 참조로 포함되는 미국특허 6,398,929(attorney docket No. 3920)에 개시된 것처럼, DC 마그네트론 스퍼터링 반응기에서 이온화 및 중성 원자 플럭스 사이의 분재는 유전체층의 홀 내에 바람직한 층을 생성하도록 조정될 수 있다. 그러한 층은 스퍼터링되는 구리 중성자 층 상부에 화학적 기상 증착(CVD)에 의해 증착되는 구리 시드층과 결합되거나 그 자체로 사용될 수 있다. 구리 라이너층은 특히 전기도금된 구리를 위한 얇은 시드층으로서 유용하다.The chambers of FIGS. 4 and 10 utilize ionization and neutral atomic flux. As disclosed in US Pat. No. 6,398,929 (attorney docket No. 3920), which is hereby incorporated by reference in its entirety, the bonsai between ionization and neutral atomic flux in a DC magnetron sputtering reactor can be adjusted to produce the desired layer in the hole of the dielectric layer. . Such layers may be used in combination with or as a copper seed layer deposited by chemical vapor deposition (CVD) on top of the sputtered copper neutron layer. The copper liner layer is particularly useful as a thin seed layer for electroplated copper.

종래기술의 DC 마그네트론 스퍼터링 반응기들은 종래의 작업 가스 스퍼터링 또는 자체 유지 스퍼터링에 관한 것이었다. 상기 두 가지 방법들은 상이한 형태의 스퍼터링을 강조한다. 한편, 구리 라이너를 위한 반응기는 이온화된 구리 원자들과 중성자들 사이의 분배를 조절하기 위한 다양한 종래기술의 특징들을 결합하는 것이 바람직하다. 일 예로서 반응기(550)는 도 15의 개념적 횡단면도에 도시된다. 도 4, 10 및 13의 반응기들은 캘리포니아 산타클라라의 어플라이드 머티어리얼스사에서 이용가능한 Endura PVD 반응기의 변형을 기반으로 하는 도 15의 반응기의 이러한 특징들을 이용할 수 있다. 반응기(550)는 일반적으로 금속으로 이루어지고 전기적으로 접지되며, 타겟 절연기(554)를 통해 웨이퍼(558)상에 스퍼터링 증착되는 구리 또는 구리 합금으로 구성되는 적어도 표면부를 갖는 PVD 타겟(556)으로 밀봉되는 진공 챔버(552)를 포함한다. 합금 엘리먼트는 통상 5 wt% 미만이고, 특히 적정한 배리어들이 형성되지 않는다면 순수 구리가 사용될 수 있다. 웨이퍼 클램프(560)는 페데스털 전극(562) 상에 웨이퍼(558)를 유지시킨다. 도시되지 않은 저항성 히터들, 냉매 채널들, 및 페데스털(562)의 열 전달 가스 캐비티는 페데스털의 온도가 -40℃ 미만의 온도로 제어될 수 있도록 하므로, 웨이퍼 온도를 유사하게 제어할 수 있다.Prior art DC magnetron sputtering reactors have been directed to conventional working gas sputtering or self-maintaining sputtering. The two methods emphasize different forms of sputtering. On the other hand, the reactor for the copper liner preferably combines various prior art features for controlling the distribution between ionized copper atoms and neutrons. As an example the reactor 550 is shown in the conceptual cross sectional view of FIG. 15. The reactors of FIGS. 4, 10 and 13 may utilize these features of the reactor of FIG. 15 based on a modification of the Endura PVD reactor available from Applied Materials, Inc. of Santa Clara, California. The reactor 550 is generally made of metal and electrically grounded to a PVD target 556 having at least a surface portion comprised of copper or a copper alloy which is sputter deposited onto the wafer 558 via the target insulator 554. A vacuum chamber 552 that is sealed. The alloying element is usually less than 5 wt% and pure copper can be used, especially if no suitable barriers are formed. Wafer clamp 560 holds wafer 558 on pedestal electrode 562. Resistive heaters, refrigerant channels, and heat transfer gas cavity of pedestal 562, not shown, allow the temperature of the pedestal to be controlled to a temperature below −40 ° C., thus controlling wafer temperature similarly. Can be.

제 2 유전체 차폐부 절연기(568)와 분리된 플로팅 차폐부(564) 및 접지된 차폐부(566)는 스퍼터링되는 물질로부터 챔버 벽(552)을 보호하도록 챔버(552)내에 유지된다. 접지된 차폐부(566)는 또한 캐소드 타겟(556)과 반대로 애노드 접지면으로서 작용하여, 용량적으로 플라즈마를 지원한다. 소정의 전자들은 음전하를 만들기 위해서 플로팅 차폐부(564) 상에 증착한다. 음 전위는 부가의 전자들이 증착되는 것을 밀어낼 뿐만 아니라 메인 플라즈마 영역에 전자들을 제한하고, 전자 손실을 감소시키고, 저압 스퍼터링을 유지하고, 플라즈마 밀도를 증가시킨다.Floating shield 564 and grounded shield 566 separated from second dielectric shield insulator 568 are retained in chamber 552 to protect chamber wall 552 from sputtered material. The grounded shield 566 also acts as an anode ground plane as opposed to the cathode target 556 to support the plasma capacitively. Certain electrons are deposited on the floating shield 564 to create a negative charge. The negative potential not only pushes additional electrons from being deposited but also limits the electrons in the main plasma region, reduces electron loss, maintains low pressure sputtering, and increases plasma density.

타겟 및 차폐부의 세부사항은 도16에 확대 단면도로 도시된다. 타겟(556)은 알루미늄 또는 티타늄 후방 플레이트(570)를 포함하고, 거기에 구리 타겟부(572)가 납땜 또는 확산 결합된다. 후방 플레이트(570)의 플랜지(573)는 타겟 절연체(554)에 중합 타겟 O-링(574)을 통해서 밀폐된 진공상태에 의존하며 진공상태이고, 이는 바람직하게 알루미나와 같은 세라믹으로 구성된다. 타겟 절연체(554)는 챔버(552)에 어댑터 O-링(575)을 통해서 밀폐된 진공상태에 의존하며 진공상태이고, 실제로 메인 챔버 바디부에 밀폐된 알루미늄 어댑터이다. 금속 클램프 링(576)은 내부의 방사면 상에서 위쪽으로 연장되는 환형 림(577)을 가진다. 도시되지 않은 볼트들이 금속 클램프 링(576)을 챔버(552)의 안쪽으로 연장한 선반(578)에 고정하고, 접지 차폐부(566)의 플랜지(579)를 포획한다. 따라서, 접지 차폐부(566)는 기계 및 전기적으로 접지 챔버(552)에 연결된다. Details of the target and shield are shown in enlarged cross sectional view in FIG. The target 556 includes an aluminum or titanium back plate 570, to which a copper target portion 572 is soldered or diffusion bonded. The flange 573 of the back plate 570 is vacuum and dependent on the vacuum sealed to the target insulator 554 through the polymerization target O-ring 574, which is preferably composed of a ceramic such as alumina. The target insulator 554 relies on a vacuum that is sealed through the adapter O-ring 575 to the chamber 552 and is vacuum and is actually an aluminum adapter sealed to the main chamber body. The metal clamp ring 576 has an annular rim 577 extending upwards on the inner radial surface. Bolts, not shown, secure the metal clamp ring 576 to the shelf 578 extending inwardly of the chamber 552 and capture the flange 579 of the ground shield 566. Thus, ground shield 566 is mechanically and electrically coupled to ground chamber 552.

차폐 절연체(568)는 자유롭게 클램프 링(576)에 의존하고, 알루미나와 같은 세라믹 재료로부터 기계가공된다. 이는 컴팩트하지만, 반응기의 온도 순환 동안에 강도를 제공하기 위해서 더 작은 폭과 비교해서 대략 165㎜의 비교적 큰 높이를 가진다. 차폐 절연체(568)의 더 낮은 부분은 클램프 링(576)의 림(577)의 외부를 고정하는 내부 환형 리세스를 가진다. 림(577)은 클램프 링(576)에 대해서 차폐 절연체(568)의 중심 내부 직경으로 역할할 뿐만 아니라 세라믹 차폐 절연체(568) 및 메인 프로세싱 영역에 도달하는 것으로부터 금속 링 클램프(576) 사이의 슬라이딩 표면(580)에서 생성된 먼지들에 대한 장벽으로 역할한다. The shield insulator 568 is freely dependent on the clamp ring 576 and is machined from a ceramic material such as alumina. It is compact but has a relatively large height of approximately 165 mm compared to the smaller width to provide strength during the temperature cycling of the reactor. The lower portion of the shield insulator 568 has an inner annular recess that secures the outside of the rim 577 of the clamp ring 576. The rim 577 serves as the central inner diameter of the shield insulator 568 relative to the clamp ring 576 as well as sliding between the metal shield clamp 576 from reaching the ceramic shield insulator 568 and the main processing area. It serves as a barrier to the dust created at the surface 580.

플로팅 차폐부(564)의 플랜지(581)는 자유롭게 차폐 절연체(568)에 장착되고, 플랜지(581) 외부에는 차폐 절연체(568)의 위쪽 외부 코너에서 형성된 환형 리세스로 하향 연장하는 탭 또는 림(582)을 가진다. 따라서, 탭(582)은 차폐 절연체(568)의 외부 직경에서 타겟(556)을 기준으로 플로팅 차폐부(564)와 중심설정된다. 차폐 탭(582)은 차폐 절연체(568)로부터 플라즈마 다크 스페이스를 정렬시키기에 충분히 작고, 차폐 절연체(568)의 충돌을 방지하기에 충분히 큰 좁은 갭 만큼 분리되어 있고, 플로팅 차폐부(581)는 탭(582)의 내부 및 위에 슬라이딩 접촉 영역(583)의 차폐 절연체(568)에 의존한다. The flange 581 of the floating shield 564 is freely mounted to the shield insulator 568, and outside the flange 581, a tab or rim extending downward into an annular recess formed at an upper outer corner of the shield insulator 568 ( 582). Accordingly, tab 582 is centered with floating shield 564 relative to target 556 at the outer diameter of shield insulator 568. The shielding tab 582 is small enough to align the plasma dark space from the shielding insulator 568 and is separated by a narrow gap large enough to prevent collision of the shielding insulator 568, and the floating shield 581 is tab Depends on shielded insulator 568 of sliding contact area 583 inside and above 582.

좁은 채널(584)은 플로팅 차폐부(564)의 헤드(585) 및 타겟(556) 사이에 형성된다. 이는 플라즈마 다크 스페이스로 역할하기 위해서 대략 2mm의 폭을 가진다. 좁은 채널(584)은 차폐 헤드(585) 및 타겟 절연체(554) 사이의 상부 후방 갭(584a)에 후방 플레이트 플랜지(574)의 아래쪽 돌출 리지(586)를 통과하는 것으로 예시된 것보다 훨씬 방사상 안쪽으로 연장한 경로에서 연속한다. 상기 엘리먼트들의 구조 및 성질들은 Tang 등에 의해서 개시되고, 1998년 10월30일에 제출된 미국 특허출원 09/191,253호의 구조 및 성질들과 유사하다. 상부 후방 갭(584a)은 실온에서 대략 1.5mm의 폭을 가진다. 차폐 엘리먼트들이 온도 순환될 때, 변형되기 쉽다. 타겟(556)의 근방에 좁은 채널(584)보다 더 작은 폭을 가지는 상부 후방 갭(584a)은 좁은 채널(584)에서 플라즈마 다크 스페이스를 유지하기에 충분하다. 후방 갭(584a)은 차폐 절연체(568) 및 링 클램프(576) 사이의 하부 후방 갭(584b)으로 내부로 및 외부의 챔버 바디부(552)로 아래쪽으로 연속한다. 하부 후방 갭(584b)은 세라믹 차폐 절연체(568) 및 클램프 링(576) 및 플로팅 차폐부(564) 사이의 슬라이딩 표면들(580,583)에서 생성된 세라믹 입자들을 모으기 위해서 공동(cavity) 역할을 한다. 차폐 절연체(568)는 또한 방사상 안쪽면 위에 슬라이딩 표면(583)으로부터 세라믹 입자들을 모으기 위해서 상부 안쪽 코너에 좁은 리세스(583a)를 포함한다.Narrow channel 584 is formed between head 585 and target 556 of floating shield 564. It has a width of approximately 2 mm to serve as plasma dark space. Narrow channel 584 is far radially inward than illustrated as passing through lower protruding ridge 586 of rear plate flange 574 in upper rear gap 584a between shield head 585 and target insulator 554. Continue in a path that extends. The structure and properties of the elements are similar to the structure and properties of US Patent Application 09 / 191,253, disclosed by Tang et al., Filed October 30, 1998. The upper rear gap 584a has a width of approximately 1.5 mm at room temperature. When the shielding elements are temperature cycled, they are susceptible to deformation. The upper rear gap 584a having a smaller width than the narrow channel 584 near the target 556 is sufficient to maintain the plasma dark space in the narrow channel 584. The rear gap 584a extends inwardly and downwardly into the outer chamber body portion 552 with the lower rear gap 584b between the shield insulator 568 and the ring clamp 576. The lower rear gap 584b serves as a cavity to collect ceramic particles produced at the sliding surfaces 580 and 583 between the ceramic shield insulator 568 and the clamp ring 576 and the floating shield 564. The shield insulator 568 also includes a narrow recess 583a in the upper inner corner to collect ceramic particles from the sliding surface 583 over the radial inner surface.

플로팅 차폐부(564)는 아래쪽으로 연장하고, 플랜지(581)로부터 아래로 연장한 넓은 상부 원통부(588)를 포함하고, 하부단에서 전이부(592)를 통해서 더 좁은 원통부(590)에 연결된다. 유사하게, 접지 차폐부(566)는 외부에 더 넓은 상부 원통부(594)를 가지고, 플로팅 차폐부(564)의 상부 원통부(588)보다 더 넓다. 접지 상부 원통부(594)는 상부단에서 접지 차폐 플랜지(580)에 연결되고, 하부단에서 대략 챔버의 방사상으로 연장한 전이부(598)를 통해서 좁은 하부 원통부(596)에 연결된다. 접지 하부 원통부(596)는 외부를 고정하고, 플로팅 하부 원통부(590)보다 더 넓다; 하지만, 플로팅 상부 원통부(590)보다는 대략 3mm의 방사상 분리만큼 더 작다. 2개의 전이부들(592,598)은 둘 다 수직 및 수평으로 오프셋된다. 따라서 복잡한 좁은 채널(600)은 플로팅 및 접지 차폐부들(564,566) 사이에 형성되고, 접지 하부 원통부(576) 및 2개의 수직 채널부 사이의 시야의 직선을 보증하지 않는 플로팅 상부 원통부(564) 사이의 오프셋을 가진다. 채널(600)의 목적은 구리 증착으로부터 클램프 링(576) 및 차폐 절연체(568)를 보호하면서 2개의 차폐부들(564,566)을 전기적으로 절연시키는 것이다. Floating shield 564 extends downward and includes a wide top cylindrical portion 588 extending downward from flange 581, and at the lower end through a transition portion 592 to a narrower cylindrical portion 590. Connected. Similarly, ground shield 566 has a wider upper cylinder 594 on the outside, and is wider than upper cylinder 588 of floating shield 564. Ground upper cylindrical portion 594 is connected to ground shield flange 580 at the upper end and to narrow lower cylindrical portion 596 through a radially extending transition portion 598 of the chamber at the lower end. Ground lower cylinder 596 fixes the outside and is wider than floating lower cylinder 590; However, it is smaller by approximately 3 mm radial separation than the floating top cylinder 590. The two transitions 592, 598 are both offset vertically and horizontally. Thus, a complex narrow channel 600 is formed between the floating and ground shields 564, 566, and the floating upper cylinder 564 does not guarantee a straight line of sight between the ground lower cylinder 576 and the two vertical channel portions. Has an offset between. The purpose of the channel 600 is to electrically insulate the two shields 564, 566 while protecting the clamp ring 576 and the shield insulator 568 from copper deposition.

차폐부들(564,566)의 하부 원통부들(590,596) 사이의 채널(600)의 하부는 4:1 이상, 바람직하게는 8:1 이상의 종횡비를 가진다. 채널(600)의 하부는 예시적으로 0.25cm의 폭 및 2.5cm의 길이를 가지고, 바람직한 범위는 0.25 내지 0.3cm 및 2 내지 3cm를 가진다. 따라서, 구리 이온들 및 채널(600)을 침투하는 산란된 구리 원자들은 클램프 링(576) 및 차폐 절연체(568)를 향해서 자신들의 길을 찾기 전에, 차폐부들로부터 여러 번 바운스하고, 접지 원통부(594)에서 정지한다. 한 번의 바운스는 차폐부에 의해서 흡수된 이온을 만든다. 2개의 전이부들(592,598) 사이의 채널(600)에서의 2개의 인접한 90도 턴 및 구부림은 또한 구리 플라즈마로부터 차폐 절연체(568)를 절연시킨다. 유사하고 감소된 효과는 60도 굽힘 또는 45도 굽힘으로 달성되지만, 더 효과적인 90도 굽힘이 차폐 재료를 형성하기에 더 쉽다. 90도 턴은 어떠한 방향에서 들어오는 구리 입자들이 적어도 하나의 높은 각도의 충돌을 가지고, 따라서 상부 접지 원통부(594)에서 정지되어 에너지를 가장 많이 잃을 가능성이 증가하기 때문에 훨씬 더 효과적이다. 90도 턴은 구리 입자들에 의해서 직접 방사되는 것으로부터 클램프 링(576)과 차폐 절연체(568)를 가린다. 구리는 바람직하게 플로팅 전이부(592)의 바닥 수평 표면상 및 수직 상부 접지 원통부(594) 상에서 90도 턴의 하나의 양쪽 끝에서 증착한다. 또한, 컨볼루트 채널(600)은 접지 차폐부(566)의 수평 전이부(598) 상에서의 프로세싱 동안에, 차폐 절연체(568)로부터 생성된 세라믹 입자들을 모은다. 상기 모아진 입자들은 또한 모아진 구리에 의해서 붙여진다. The lower portion of the channel 600 between the lower cylinder portions 590, 596 of the shields 564, 566 has an aspect ratio of at least 4: 1, preferably at least 8: 1. The lower portion of the channel 600 illustratively has a width of 0.25 cm and a length of 2.5 cm, with preferred ranges of 0.25 to 0.3 cm and 2-3 cm. Thus, scattered copper atoms penetrating the copper ions and channel 600 bounce from the shields several times before finding their way towards the clamp ring 576 and the shield insulator 568, and the ground cylinder ( 594). One bounce creates ions absorbed by the shield. Two adjacent 90 degree turns and bends in the channel 600 between the two transitions 592, 598 also insulate the shield insulator 568 from the copper plasma. Similar and reduced effects are achieved with 60 degree bending or 45 degree bending, but more effective 90 degree bending is easier to form the shielding material. A 90 degree turn is even more effective because the incoming copper particles from any direction have at least one high angle impact, thus increasing the likelihood of stopping at the upper ground cylinder 594 to lose the most energy. The 90 degree turn covers the clamp ring 576 and shielding insulator 568 from being directly radiated by the copper particles. Copper is preferably deposited at either end of one 90 degree turn on the bottom horizontal surface of the floating transition 592 and on the vertical top ground cylinder 594. In addition, the convolut channel 600 collects ceramic particles generated from the shield insulator 568 during processing on the horizontal transition 598 of the ground shield 566. The collected particles are also attached by the collected copper.

도15의 확대도로 돌아가서, 접지 차폐부(566)의 하부 원통부(596)는 웨이퍼(558)를 지지하는 페데스털(562)의 상부 후방의 웰에 아래쪽으로 연속한다. 접지 차폐부(562)는 보울부(602)의 방사상 아래쪽으로 및 가장 안쪽 원통부(604)의 수직 위쪽으로 연속하고, 웨이퍼(558)는 대략 상승하지만, 페데스털(562)의 방사상 바깥으로 떨어져 있다. Returning to the enlarged view of FIG. 15, the lower cylindrical portion 596 of the ground shield 566 continues downward to the well behind the top of the pedestal 562 supporting the wafer 558. Ground shield 562 extends radially downward of bowl 602 and vertically upward of innermost cylinder 604, while wafer 558 rises approximately, but radially outward of pedestal 562. Away.

차폐부들(564,566)은 일반적으로 스테인레스강으로 구성되고, 내부면들은 비드 블레이스트되거나 또는 그렇지 않으면 증착된 구리 스퍼터의 접착을 증가하기 위해서 거칠어진다. 그러나 연장된 스퍼터링 동안에 몇몇 포인트에서, 구리는 플레이크하는 두께까지 만들어져서, 해로운 입자들을 만든다. 상기 포인트에 도달하기 전에, 차폐부들은 클린되거나 또는 신선한 차폐부들로 대체되어야만 한다. 그러나 더 고가의 절연체(554, 568)는 대부분 유지 순환에서 대체될 필요가 없다. 또한, 유지 순환은 절연체들의 전기 쇼트에 의해서가 아니라 차폐부의 플레이킹에 의해서 결정된다.Shields 564 and 566 are generally made of stainless steel and the inner surfaces are roughened to increase the adhesion of bead blasted or otherwise deposited copper sputters. At some point during extended sputtering, however, copper is made to the flake thickness, producing harmful particles. Before reaching this point, the shields must be replaced with clean or fresh shields. However, more expensive insulators 554 and 568 do not need to be replaced in most maintenance cycles. In addition, the maintenance circulation is determined by the flaking of the shield, not by the electrical short of the insulators.

언급된 것처럼, 플로팅 차폐부(564)는 소정의 원자 전하들을 축적하고, 음전위를 만든다. 따라서, 플로팅 차폐부(564)에 부가의 원자 손실을 밀어내고, 타겟(556)에 더 가까운 플라즈마를 제한한다. Ding등은 미국 특허 5,736,021호와 다소 유사한 구조를 가진 유사한 효과를 개시했다. 그러나 도 16의 플로팅 차폐부(564)는 Ding등의 상응하는 부분에서 했던 것보다 훨씬 더 멀리 연장하는 하부 원통부(590)를 가지고, 따라서 큰 부피에 대해서 플라즈마를 제한한다. 그러나 플로팅 차폐부(564)는 타겟(556)으로부터 접지 차폐부(566)를 차폐하여 타겟(556)으로부터 너무 많이 연장하지 못하게 한다. 너무 길다면, 플라즈마를 때리기가 어렵고; 너무 짧다면, 원자 손실이 증가되어 플라즈마가 저압에서 유지될 수 없고, 플라즈마 밀도가 떨어진다. 최적의 길이는 도16에 도시된 것처럼, 플로팅 차폐부(564)의 하부 팁(606)이 7.6cm인 플로팅 차폐부(564)의 전체 축 길이를 가진 타겟(556)의 면으로부터 6cm분리된 곳에서 발견된다. 3개의 서로 다른 플로팅 차폐부(564)가 구리 스퍼터링이 유지되는 최소 압력에 대해서 실험되었다. 결과들은 타겟 전력의 1kW 및 18kW로 도17에 도시된다. 가로축은 전체 차폐 길이로 표현되고, 차폐 팁(606) 및 타겟(556) 사이의 분리는 1.6cm이하이다. 분리의 바람직한 범위는 5 내지 7cm이고, 길이는 6.6 내지 8.6cm이다. 차폐 길이를 10cm로 연장하는 것은 최소 압력을 다소 감소시키지만, 플라즈마를 충동시키는 어려움을 증가시킨다. As mentioned, floating shield 564 accumulates certain atomic charges and creates a negative potential. Thus, it pushes additional atomic losses to the floating shield 564 and limits the plasma closer to the target 556. Ding et al. Disclosed a similar effect with a structure somewhat similar to US Pat. No. 5,736,021. However, the floating shield 564 of FIG. 16 has a lower cylindrical portion 590 that extends farther than it did in the corresponding portion of Ding et al., Thus limiting the plasma to large volumes. However, floating shield 564 shields ground shield 566 from target 556 so that it does not extend too far from target 556. If it is too long, it is difficult to hit the plasma; If it is too short, atomic loss is increased so that the plasma cannot be maintained at low pressure, and the plasma density drops. The optimal length is as shown in Figure 16, where the lower tip 606 of the floating shield 564 is 6 cm apart from the face of the target 556 with the full axial length of the floating shield 564, which is 7.6 cm. Found in Three different floating shields 564 were tested for the minimum pressure at which copper sputtering was maintained. The results are shown in FIG. 17 at 1 kW and 18 kW of target power. The horizontal axis is expressed as the total shield length, and the separation between the shield tip 606 and the target 556 is no greater than 1.6 cm. The preferred range of separation is 5 to 7 cm and the length is 6.6 to 8.6 cm. Extending the shield length to 10 cm somewhat reduces the minimum pressure, but increases the difficulty of impulsating the plasma.

도15를 다시 참조하여, 선택 DC 전원장치(610)는 플라즈마를 점화하고 유지하기 위해서, 접지 차폐부(566)에 대해서 대략 -400 내지 -600VDC로 타겟(556)을 네거티브로 바이어스한다. 1 및 5kW 사이의 타겟 전력은 일반적으로 플라즈마를 점화하기 위해서 사용되고, 10kW 이상의 전력은 여기에 설명된 SIP 스퍼터링에 대해서 바람직하다. 일반적으로, 페데스털(562) 및 웨이퍼(558)는 전기적으로 플로팅하게 남겨지지만, 그럼에도 불구하고, 네거티브 DC 자기-바이어스는 웨이퍼 위에서 전개된다. 다른 한 편으로, 소정의 설계들은 전개되는 네거티브 DC 바이어스를 제어하기 위해서 페데스털(562)에 DC 또는 RF 바이어스를 인가하는 제어가능한 전원장치(612)를 사용한다. 테스트한 구성에서, 바이어스 전원장치(612)는 13.56㎒에서 동작하는 RF 전원장치이다. 6000W의 RF 전력까지 공급되고, 바람직한 범위는 200mm 웨이퍼에 대해서 350 내지 550W이다.Referring back to FIG. 15, the selective DC power supply 610 negatively biases the target 556 to approximately -400 to -600 VDC with respect to the ground shield 566 to ignite and maintain the plasma. Target power between 1 and 5 kW is generally used to ignite the plasma, and power above 10 kW is preferred for the SIP sputtering described herein. Generally, pedestal 562 and wafer 558 are left electrically floating, but nevertheless, negative DC self-bias develops on the wafer. On the other hand, certain designs use a controllable power supply 612 that applies a DC or RF bias to the pedestal 562 to control the negative DC bias that is deployed. In the tested configuration, the bias power supply 612 is an RF power supply operating at 13.56 MHz. RF power of 6000 W is supplied and the preferred range is 350-550 W for 200 mm wafers.

가스 소스(614)는 질량 유량 제어계(616)를 통해서 챔버(552)에 스퍼터링 동작가스, 일반적으로 화학적 비활성 희가스 아르곤을 공급한다. 동작가스는 도시된 것처럼, 챔버 상부 또는 하부에 수용되고, 접지 차폐부(566)의 하부 또는 접지 차폐부(566) 및 웨이퍼 클램프(560) 및 페데스털(562) 사이의 갭(618)을 통해서 개구들을 침투하는 하나 이상의 인입 파이프들을 가진다. 와이드 펌핑 포트(622)를 통해서 챔버(522)에 연결된 진공 펌프 시스템(620)은 저압으로 챔버를 유지한다. 기저 압력이 대략 10-7 토르(Torr) 또는 그 이하로 유지될 수 있지만, 종래의 스퍼터링에서 동작가스의 압력은 일반적으로 대략 1 내지 1000 밀리토르 사이에서 유지되고, SIP 스퍼터링에서 대략 5 밀리토르 이하이다. 컴퓨터-기초 제어기(624)는 DC 타겟 전원장치, 바이어스 전원장치 및 질량 유량 제어기(616)를 포함한 반응기를 제어한다.Gas source 614 supplies sputtering working gas, generally chemically inert rare gas argon, to chamber 552 via mass flow control system 616. The working gas is received at the top or bottom of the chamber, as shown, and closes the gap 618 between the bottom or ground shield 566 of the ground shield 566 and the wafer clamp 560 and the pedestal 562. One or more inlet pipes penetrating through the openings. The vacuum pump system 620 connected to the chamber 522 via the wide pumping port 622 maintains the chamber at low pressure. While the base pressure can be maintained at approximately 10 −7 Torr or less, the pressure of the working gas in conventional sputtering is generally maintained between approximately 1 to 1000 millitorr and less than approximately 5 millitorr in SIP sputtering. to be. Computer-based controller 624 controls the reactor including DC target power supply, bias power supply and mass flow controller 616.

효과적인 스퍼터링을 제공하기 위해서, 마그네트론(630)은 타겟(556)의 후방에 위치한다. 상기 마그네트론은 자기 요크(636)에 의해서 연결되고 지지되는 반대 자석들(632,634)을 가진다. 자석들은 챔버(552)내에서 마그네트론(630)에 인접한 자기장을 만든다. 자기장은 전자들을 트랩하고, 중성 전하에 대해서, 이온 밀도는 고-밀도 플라즈마 영역(638)을 형성하기 위해서 또한 증가한다. 마그네트론(630)은 일반적으로 타겟(556)의 스퍼터링의 완전한 커버리지를 달성하기 위해서 모터-구동축(642)에 의해서 타겟(556)의 중심(640)에 대해서 회전된다. 구리의 자체 유지 스퍼터링을 유지하게 하는 충분한 이온화 밀도의 고-밀도 플라즈마(638)를 달성하기 위해서, 마그네트론(630)에 인접한 영역에 전달되는 전력 밀도는 높아야만 한다. 이는 예를 들어 삼각형 또는 레이스트랙 형상으로, 마그네트론(630)의 영역을 감소시킴으로써 그리고 DC 전원장치(610)로부터 전달된 전력 레벨을 증가시킴으로써, 앞서 인용된 Fu에 의한 특허에 개시된 것처럼 달성될 수 있다. 타겟 중심(640)과 대략 일치하는 팁을 가지고 회전되는 삼각 마그네트론(601)은 항상 타겟의 1/6 만을 커버한다. 1/4의 커버리지는 SIP 스퍼터링이 가능한 상업용 반응기에서의 바람직한 최대치이다. To provide effective sputtering, the magnetron 630 is located behind the target 556. The magnetron has opposing magnets 632, 634 connected and supported by magnetic yoke 636. The magnets create a magnetic field adjacent to the magnetron 630 in the chamber 552. The magnetic field traps electrons, and for neutral charge, the ion density also increases to form the high-density plasma region 638. The magnetron 630 is generally rotated about the center 640 of the target 556 by the motor-drive shaft 642 to achieve complete coverage of the sputtering of the target 556. In order to achieve high-density plasma 638 of sufficient ionization density to maintain self-maintaining sputtering of copper, the power density delivered to the region adjacent to magnetron 630 must be high. This may be achieved as disclosed in the patent by Fu cited above, for example by reducing the area of the magnetron 630 and increasing the power level delivered from the DC power supply 610 in a triangular or racetrack shape. . The triangular magnetron 601, which is rotated with the tip approximately coincident with the target center 640, always covers only one sixth of the target. A quarter of coverage is the desired maximum in commercial reactors capable of SIP sputtering.

전자 손실을 줄이기 위해서, 내부 자석(632)에 의해서 나타나는 내부 자기폴 및 도시되지 않은 자기폴 면을 뚜렷한 개구를 가지지 않고, 외부 자기폴(634)에 의해서 나타나는 연속적인 외부 자기폴 및 도시되지 않은 폴 페이스에 의해서 감싸진다. 또한, 웨이퍼(558)에 이온 스퍼터 입자들을 가이드하기 위해서, 외부 폴들은 내부 폴보다 더 높은 자기 플럭스를 만들어야 한다. 연장하는 자기장 라인들은 전자들을 트랩하고, 플라즈마를 웨이퍼(558)에 더 가깝게 연장한다. 자기 플럭스의 비는 적어도 150%이고, 바람직하게 200%보다 더 커야한다. Fu의 삼각 마그네트론의 2개의 실시예들은 동일한 크기지만 극성이 다른 25 외부 자기들 및 6 또는 10 내부 자기들을 가진다.In order to reduce the electron loss, the continuous magnetic pole and the unshown pole shown by the outer magnetic pole 634 do not have distinct openings in the inner magnetic pole and the unshown magnetic pole face shown by the inner magnet 632. It is wrapped by face. In addition, in order to guide the ion sputter particles to the wafer 558, the outer poles must produce a higher magnetic flux than the inner pole. The extending magnetic field lines trap electrons and extend the plasma closer to the wafer 558. The ratio of magnetic flux should be at least 150%, preferably greater than 200%. Two embodiments of the triangular magnetron of Fu have 25 external magnets and 6 or 10 internal magnets of the same size but different polarities.

아르곤이 챔버로 수용될 때, 타겟(556)과 접지 차폐부(566) 사이의 DC 전압차는 아르곤을 플라즈마로 점화하고, 포지티브로 대전된 아르곤 이온은 네거티브로 대전된 타겟(556)으로 끌린다. 이온은 실질적인 에너지로 타겟(556)과 충돌하고, 타겟(556)으로부터 스퍼터링되는 타겟 원자 또는 원자 클러스터를 유도한다. 소정의 타겟 입자들은 웨이퍼(558)와 충돌하고, 따라서 그 위에 증착되고, 따라서 타겟 재료막을 형성한다. 금속 질화물의 반응성 스퍼터링에서, 부가적으로 질소가 챔버로 수용되고, 웨이퍼(558) 상에서 금속 질화물을 형성하기 위해서 스퍼터링된 금속 원자들과 반응한다. When argon is received into the chamber, the DC voltage difference between the target 556 and the ground shield 566 ignites the argon with plasma, and positively charged argon ions are attracted to the negatively charged target 556. The ions collide with the target 556 with substantial energy and induce a target atom or atom cluster that is sputtered from the target 556. Certain target particles impinge upon the wafer 558 and are thus deposited thereon, thus forming a target material film. In reactive sputtering of metal nitride, additionally nitrogen is received into the chamber and reacts with the sputtered metal atoms to form metal nitride on the wafer 558.

예시된 챔버는 자체 유지 스퍼터링을 포함하는 구리의 자기 이온 스퍼터링을 할 수 있다. 상기 경우에, 플라즈마가 점화되고 난 후, 아르곤의 공급이 SSS의 경우에 중단되고, 구리 이온들은 1 보다 더 큰 수율을 가지고 구리 타겟을 재 스퍼터링하기 위해서 충분히 높은 밀도를 가진다. 선택적으로, 감소된 유량 속도, 챔버 압력에서 불충분한 타겟 전력 밀도를 가지고, 순수한 자체 유지 스퍼터링을 지지하기 위해서 소정의 아르곤 공급이 계속되지만, 그럼에도 불구하고 뚜렷하게 자기 스퍼터링의 비율은 감소한다. 아르곤 압력이 뚜렷하게 5 밀리토르 이상으로 증가되면, 아르곤은 구리 이온들로부터 에너지를 제거하고, 자기 스퍼터링을 감소시킨다. 웨이퍼 바이어스는 구리 입자를 홀로 깊게 끌어당긴다.The illustrated chamber can be magnetic ion sputtered of copper, including self-maintained sputtering. In this case, after the plasma is ignited, the supply of argon is stopped in the case of SSS, and the copper ions have a high enough density to resputter the copper target with a yield greater than one. Optionally, with a reduced flow rate, insufficient target power density at chamber pressure, a predetermined argon supply is continued to support pure self-maintaining sputtering, but nevertheless the rate of magnetic sputtering is clearly reduced. If the argon pressure is markedly increased above 5 millitorr, argon removes energy from the copper ions and reduces magnetic sputtering. Wafer bias draws copper particles deeply into the hole.

그러나 부분적으로 중성 플럭스를 가진 더 깊은 홀 코팅을 달성하기 위해서, 타겟(556)과 웨이퍼(558) 사이의 거리를 증가시키는 것이 바람직하고, 즉 롱-쓰로우(long-throw) 모드로 동작하는 것이다. 롱-쓰로우에서, 타겟-대-기판 공간은 일반적으로 기판 직경의 절반보다 더 크다. 사용될 때, 90%의 웨이퍼 직경보다 더 큰 것이 바람직하지만, 그러나 기판 직경의 100% 및 140%를 포함하는 80%보다 더 큰 공간은 적당하다. 실시예에서 언급된 쓰로우는 200mm 웨이퍼로 언급된다. 종래의 스퍼터링에서 롱-쓰로우는 스퍼터링 증착 속도를 감소시키지만, 이온 스퍼터링 입자들은 그러한 큰 감소에 영향받지 않는다. However, in order to achieve a deeper hole coating with partially neutral flux, it is desirable to increase the distance between the target 556 and the wafer 558, ie to operate in a long-throw mode. . In long-throw, the target-to-substrate space is generally greater than half the substrate diameter. When used, larger than 90% wafer diameter is preferred, but spaces larger than 80%, including 100% and 140% of the substrate diameter, are suitable. The throw mentioned in the examples is referred to as a 200 mm wafer. Long-throw in conventional sputtering reduces the sputtering deposition rate, but ion sputtered particles are not affected by such a large reduction.

종래의(아르곤-기초) 스퍼터링 및 자체 유지 스퍼터링(SSS) 사이의 조정된 디비전은 중성 및 이온 스퍼터 입자들 사이의 분배의 제어를 가능하게 한다. 상기 제어는 높은 종횡비의 비아(via) 홀에서 구리 시드층의 스퍼터 증착에 대해서 특히 유리하다. 스퍼터링된 원자들의 이온 수의 제어는 자기 이온 플라즈마(SIP) 스퍼터링으로 언급된다. The coordinated division between conventional (argon-based) sputtering and self-maintaining sputtering (SSS) allows for control of the distribution between neutral and ion sputter particles. The control is particularly advantageous for sputter deposition of copper seed layers in high aspect ratio via holes. Control of the number of ions of sputtered atoms is referred to as magnetic ion plasma (SIP) sputtering.

본 발명에 의해서 만들어진 일 실시예는 도18에 단면도로 도시된 비아이다. 시드 구리층(650)은, 예를 들어, 도 15의 롱-쓰로우 스퍼터 반응기를 사용하고 SIP를 활성화시키는 조건하에서 비아홀(22)에서 배리어층(24)상에 증착된다. SIP 구리층(65)은, 예를 들어, 50 내지 300㎚ 또는 보다 바람직하게는 80 내지 200㎚의 블랭킷 두께(blanket thickness)까지 증착될 수 있다. SIP 구리 시드층(650)은 측벽들 상에서 2 내지 20㎚ 범위의, 보다 바람직하게는 7 내지 15㎚ 범위의 두께를 갖는다. 좁은 홀의 견지에서, 측벽 두께는 50㎚를 초과해서는 안된다. 박막의 특질은 페데스털(pedestal) 온도를 0℃ 이하까지, 바람직하게는 -40℃ 이하까지 내림으로써 향상되므로 신속한 SIP 증착에 의하여 제공되는 냉각이 중요하게 된다. One embodiment made by the present invention is a via shown in cross section in FIG. Seed copper layer 650 is deposited on barrier layer 24 in via hole 22, for example, using the long-throw sputter reactor of FIG. 15 and under conditions that activate SIP. SIP copper layer 65 may be deposited, for example, to a blanket thickness of 50 to 300 nm or more preferably 80 to 200 nm. SIP copper seed layer 650 has a thickness on the sidewalls in the range of 2-20 nm, more preferably in the range of 7-15 nm. In terms of narrow holes, the sidewall thickness should not exceed 50 nm. The properties of the thin film are enhanced by lowering the pedestal temperature to below 0 ° C, preferably below -40 ° C, so that the cooling provided by rapid SIP deposition becomes important.

SIP 구리 시드층(650)은 양호한 바닥 커버리지(coverage)와 향상된 측벽 커버리지를 갖는다. 실험적으로 배리어층(24) 상에 직접 증착된 IMP 또는 CVD 구리보다 훨씬 더 평탄화하는 것이 관찰되었다. 구리 시드층(650)이 증착된 후에, 홀은 바람직하게는 상기 시드층(650)을 전기도금(electroplanting) 전극들 중 하나로서 사용하는 전기-화학 도금에 의하여, 도 1에서와 같이 구리층(118)으로 충진된다. 그러나 SIP 구리 시드층(650)의 평탄 구조는 또한 리플로우(reflow) 또는 표준 스퍼터링 또는 물리적 기상 증착(PVD)에 의한 구리의 고온 증착을 활성화시킨다. SIP copper seed layer 650 has good bottom coverage and improved sidewall coverage. Experimentally it has been observed to planarize much more than IMP or CVD copper deposited directly on barrier layer 24. After the copper seed layer 650 is deposited, the hole is preferably formed by a copper layer (as shown in FIG. 1) by electro-chemical plating using the seed layer 650 as one of the electroplanting electrodes. 118). However, the planar structure of the SIP copper seed layer 650 also activates high temperature deposition of copper by reflow or standard sputtering or physical vapor deposition (PVD).

SIP에서 1.2㎛의 산화물 내의 0.12㎛-너비의 비아홀 내부로 상기 시드층을 증착하는 몇몇 실험들이 수행되었다. 290㎜의 타겟-투-기판 간격, 0.1 milliTorr이하의 챔버 압력(SSS 모드를 나타낸다) 및 601 트라이앵글 마그네트론으로 타겟에 인가되는 14㎸의 DC 전력에 대하여, 산화물 상부 위에 0.2㎛ 블랭킷 두께의 구리를 형성시키는 증착으로 비아 바닥 상에 18㎚, 그리고 비아 측벽들 상에 약 12㎚가 형성된다. 30s 및 그 이하의 증착 시간이 통상적이다. 타겟 전력이 18㎸까지 증가될 때, 바닥 커버리지는 측벽 두께의 큰 변화없이 37㎚까지 증가된다. 보다 높은 전력에서의 보다 높은 바닥 커버리지는 보다 높은 이온화율(ionization fraction)을 나타낸다. 두 경우에 대하여, 증착된 구리 박막은 IMP 또는 CVD 구리에 대하여 보여지는 것보다 훨씬 평탄함이 관찰된다. Several experiments were performed in SIP to deposit the seed layer into 0.12 μm-wide via holes in 1.2 μm oxide. 0.2 μm blanket thickness copper on top of oxide for 290 mm target-to-substrate spacing, chamber pressure below 0.1 milliTorr (indicative of SSS mode) and DC power of 14 kW applied to the target with 601 triangle magnetron Vapor deposition forms 18 nm on the via bottom and about 12 nm on the via sidewalls. Deposition times of 30 s and below are typical. When the target power is increased to 18 kW, the bottom coverage is increased to 37 nm without significant change in sidewall thickness. Higher bottom coverage at higher power results in higher ionization fraction. For both cases, the deposited copper thin film is observed to be much flatter than seen for IMP or CVD copper.

단지 0.2㎛/min인 IMP 증착률과 비교하여 볼 때 SIP 증착은 0.5와 1.0㎛/min사이로 상대적으로 빠르다. 빠른 증착률은 짧은 증착 주기를 야기하며, 아르곤 이온 가열의 부재(absenece)와 결합하여 열적 부담(thermal budget)을 현저히 감소시킨다. 저온 SIP 증착이 매우 평탄한 구리 시드층을 야기한다고 믿어진다. SIP deposition is relatively fast between 0.5 and 1.0 μm / min compared to an IMP deposition rate of only 0.2 μm / min. Fast deposition rates lead to short deposition cycles and, in combination with the absence of argon ion heating, significantly reduce the thermal budget. It is believed that low temperature SIP deposition results in a very flat copper seed layer.

10개 내부 자석들과 25개 외부 자석들을 이용하는 Fu의 표준 트라이앵글 마그네트론에 대하여 290㎚ 쓰로우가 사용되었다. 여러 조건들에서 이온 전류 플럭스(ion current flux)가 타겟 중앙으로부터의 반경에 대한 함수로 측정되었다. 결과가 도 19의 그래프에서 도시된다. 곡선(660)은 16㎸의 타겟 전력과 0 milliTorr의 챔버 압력에 대하여 측정되었다. 곡선들(662, 664, 664)은 18㎸의 타겟 전력과 0, 0.2, 그리고 1 milliTorr의 챔버 압력에 대하여 각각 측정되었다. 이러한 전류들은 1011과 1012-3 사이의 이온 밀도에 대응되는데, 이는 통상적인 마그네트론과 스퍼터 반응기에 있어서의 109-3 이하와 비교된다. 0 압력 조건들이 구리 이온화율을 측정하는데 사용되었다. 공간 종속성(spatial dependence)은 10%와 20% 사이에서 변화하는 이온화율에 대하여 DC 타겟 전력에 대한 직접적인 종속성과 대략적으로 동일하다. 상대적으로 낮은 이온화율은 롱 쓰로우가 없는 SIP는 통상적인 PVD의 불리한 깊은 충진(deep filling) 특성을 가지는 중성 구리 플럭스의 많은 부분을 가질 것임을 설명한다. 결과들은 증가된 이온화 때문에 보다 높은 전력에서의 작동이 보다 양호한 스텝 커버리지를 위해 바람직함을 나타낸다. A 290 nm throw was used for Fu's standard triangle magnetron using 10 internal magnets and 25 external magnets. Under various conditions the ion current flux was measured as a function of the radius from the target center. The results are shown in the graph of FIG. 19. Curve 660 was measured for a target power of 16 mA and chamber pressure of 0 milliTorr. Curves 662, 664, 664 were measured for a target power of 18 kW and chamber pressures of 0, 0.2, and 1 milliTorr, respectively. These currents correspond to ion densities between 10 11 and 10 12 cm -3 , compared to 10 9 cm -3 or less in conventional magnetron and sputter reactors. Zero pressure conditions were used to measure the copper ionization rate. Spatial dependence is approximately equal to the direct dependence on the DC target power for ionization rates that vary between 10% and 20%. The relatively low ionization rate demonstrates that SIP without long throw will have much of the neutral copper flux, which has the disadvantageous deep filling properties of conventional PVD. The results indicate that operation at higher power is desirable for better step coverage because of increased ionization.

그 후, Fu 마그네트론 내의 내부 자석들의 개수가 6개까지 감소시키면서 테스트들이 반복된다. 즉, 제 2 마그네트론은 자기 플럭스에 있어서의 일정성을 향상시켰으며, 이는 웨이퍼를 향하는 스퍼터링된 이온 플럭스의 일정성을 증대시킨다. 그 결과들이 도 20에서 도시된다. 곡선(668)은 12㎸의 타겟 전력과 0 milliTorr의 압력에 대한 이온 전류 플럭스를 도시한다; 곡선(679)은 18㎸에 대하여. 14㎸와 16㎸에 대한 곡선들은 중간에 있다. 따라서, 수정된 마그네트론은 웨이퍼를 향하는 보다 일정한 이온 전류를 형성하는데, 이는 다시 타겟 전력에 의존하며 보다 높은 전력이 바람직하다. Thereafter, the tests are repeated while reducing the number of internal magnets in the Fu magnetron to six. That is, the second magnetron improves the constant in magnetic flux, which increases the constant of the sputtered ion flux toward the wafer. The results are shown in FIG. 20. Curve 668 shows the ion current flux for a target power of 12 mA and a pressure of 0 milliTorr; Curve 679 is about 18 Hz. The curves for 14 'and 16' are in the middle. Thus, the modified magnetron forms a more constant ion current towards the wafer, which in turn depends on the target power and higher power is desirable.

10% 내지 20%의 상대적으로 낮은 이온화율은 IMP의 90% 내지 100% 분율과 비교할 때 실질적인 중성 구리의 플럭스를 나타낸다. 웨이퍼 바이어스가 구리 이온을 홀 깊숙히 인도할 수 있는 반면, 롱 쓰로우는 구리 중성들을 위해 매우 동일한 것을 성취한다. Relatively low ionization rates of 10% to 20% represent a substantial flux of neutral copper as compared to 90% to 100% fraction of IMP. While wafer bias can lead copper ions deep into holes, long throws achieve the very same for copper neutrals.

일련의 테스트들은 스퍼터 입자들의 분포에 대한 쓰로우와 챔버 압력의 결합된 효과를 결정하는데 사용되었다. 0 챔버 압력에서, 140㎚의 쓰로우는 약 45도의 분포를 형성한다; 190㎚의 쓰로우는 약 35도; 그리고, 290㎚의 쓰로우는 약 25도이다. 압력은 190㎚의 쓰로우에 대하여 변화되었다. 중앙 분포는 1, 0.5 및 1 milliTorr에 대하여 대략 동일하게 유지된다. 그러나 낮은-레벨 꼬리들은 가장 높은 압력에 대하여 약 101 밀려나가며, 소정의 입자들의 스캐터링을 나타낸다. 이러한 결과는 만족스러운 결과들은 5 milliTorr이하에서 얻어지며, 그러나 바람직한 범위는 2 milliTorr이하이며, 보다 바람직한 범위는 1 milliTorr이하이며, 가장 바람직한 범위는 0.2 milliTorr와 그 이하임을 나타낸다. 또한, 기대대로, 롱 쓰로우들에 대하여 분포가 가장 좋다. A series of tests were used to determine the combined effects of throw and chamber pressure on the distribution of sputter particles. At zero chamber pressure, a throw of 140 nm forms a distribution of about 45 degrees; Throw at 190 nm is about 35 degrees; And the throw of 290 nm is about 25 degrees. The pressure was changed for a throw of 190 nm. The median distribution remains about the same for 1, 0.5 and 1 milliTorr. The low-level tails, however, are pushed back about 101 for the highest pressure, indicating scattering of certain particles. These results indicate that satisfactory results are obtained below 5 milliTorr, but the preferred range is below 2 milliTorr, the more preferred range is below 1 milliTorr, and the most preferred range is 0.2 milliTorr and below. Also, as expected, the distribution is best for long throws.

고 종횡비(high-aspect ratio)의 홀 내부로 증착된 SIP 박막은 유리한 상부 측벽 커버리지를 가지며 오버행(overhang)들을 형성하지 않는 경향이 있다. 다른 측면에서, 상기 홀 내부로 증착된 IMP 박막은 보다 양호한 바닥 및 바닥 코너 커버리지를 가지며, 그러나 측벽 박막은 불충분한 커버리지를 가지며 거친 경향이 있다. 스퍼터링의 양 유형의 장점들은 2단계의 구리 시드 스퍼터 증착을 사용함으로써 결합될 수 있다. 제 1 단계에서, 예를 들어 RF 유도 소스 파워의 사용에 의하여 고밀도 플라즈마를 형성시키는 IMP 반응기에서 증착된다. 예시적인 증착 조건들은 20 내지 60 milliTorr의 압력, 1 내지 30㎾의 DC 타겟 전력, 및 150W의 바이어스 전력이다. 제 1 단계는 양호하지만 거친 바닥 및 바닥 측벽 커버리지를 제공한다. 제 2 그리고 바람직한 후속 단계에서, 구리가 위에서 설명된 종류의 SIP 반응기에서 증착되어 보다 작은 정도의 구리 이온화를 형성시킨다. 예시적인 증착 조건들은 1Torr 압력, 18 내지 24㎾의 DC 타겟 전력 그리고 500W의 바이어스 전력이다. 제 2 단계는 양호한 평탄한 상부 측벽 커버리지를 제공하며 나아가 이미 증착된 IMP층을 평탄화시킨다. 2개의 단계들에 대한 블랭킷 증착 두께는 바람직하게는 IMP 증착에 대해서는 50에서 100㎚의 범위이며 SIP층에 대해서는 100 내지 200㎚의 범위이다. 블랭킷 두께들은 30:70 내지 70:30의 비율일 수 있다. 또는, SIP층이 IMP층보다 먼저 증착될 수 있다. 구리 시드층이 2단계의 공정에 의하여 스퍼터 증착된 후, 홀의 잔류부는 예를 들어 전기도금에 의하여 충진된다. SIP thin films deposited into high-aspect ratio holes have advantageous upper sidewall coverage and do not tend to form overhangs. In another aspect, the IMP thin film deposited into the hole has better bottom and bottom corner coverage, but the sidewall thin film has insufficient coverage and tends to be rough. The advantages of both types of sputtering can be combined by using two steps of copper seed sputter deposition. In a first step, deposition is carried out in an IMP reactor which forms a high density plasma, for example by use of RF induced source power. Exemplary deposition conditions are a pressure of 20-60 milliTorr, a DC target power of 1-30 kW, and a bias power of 150W. The first step provides good but rough bottom and bottom sidewall coverage. In a second and preferred subsequent step, copper is deposited in a SIP reactor of the kind described above to form a smaller degree of copper ionization. Exemplary deposition conditions are 1 Torr pressure, DC target power of 18-24 kV and bias power of 500W. The second step provides good flat top sidewall coverage and further flattens the already deposited IMP layer. The blanket deposition thickness for the two steps is preferably in the range of 50 to 100 nm for IMP deposition and in the range of 100 to 200 nm for the SIP layer. The blanket thicknesses may be in a ratio of 30:70 to 70:30. Alternatively, the SIP layer may be deposited before the IMP layer. After the copper seed layer is sputter deposited by a two step process, the remainder of the hole is filled by, for example, electroplating.

SIP 측벽 커버리지는 매우 좁고 고-종횡비 비아에 대하여 문제가 될 수 있다. 0.13㎛ 비아 및 그보다 작은 비아를 위한 기술이 발전되고 있다. 약 100㎚의 블랭킷 두께 이하에서, 측벽 커버리지는 불연속적일 수 있다. 도 21의 단면도에서 도시되는 바와 같이, 불리한 구조가 SIP 구리 박막(680)이 비아 측벽(30) 상에서 보이드(void)들 또는 다른 결함(682)을 포함하는 불연속적인 박막들로 형성될 수 있게 한다. 결함(682)은 구리의 결핍이거나 또는 국소적으로 전기도금 캐소드로서 작용할 수 없는 구리의 얇은 층일 수 있다. 그럼에도 불구하고, SIP 구리 박막(680)은 결함들(682)을 제외하고는 평탄하며 양호하게 핵형성(nucleate)된다. 이러한 도전적인 구조들에서, 구리 CVD 시드층(684)을 SIP 구리 핵형성막(nucleation film)(680) 상에 증착하는 것이 유리하다. 이는 화학 기상 증착에 의하여 증착되므로, 통상적으로 컨포멀(conformal)하고 SIP 구리 막(680)에 의하여 양호하게 응결된다. CVD 시드층(684)은 결함들(682)을 채우고, 홀(22)의 충진을 완료하기 위한 이후의 구리 전기도금을 위하여 연속적이고 거칠지 않은(non-rough) 시드층을 제공한다. CVD층은 어플라이드 머티리얼즈가 시판하는 CuxZ 챔버와 같은 구리 증착을 위하여 고안된 CVD 챔버내에서 증착될 수 있다. SIP sidewall coverage is very narrow and can be problematic for high-aspect ratio vias. Techniques for 0.13 μm vias and smaller vias are being developed. Below a blanket thickness of about 100 nm, sidewall coverage may be discontinuous. As shown in the cross-sectional view of FIG. 21, the disadvantageous structure allows the SIP copper thin film 680 to be formed of discontinuous thin films containing voids or other defects 682 on the via sidewall 30. . Defect 682 may be a lack of copper or a thin layer of copper that cannot act locally as an electroplating cathode. Nevertheless, the SIP copper thin film 680 is flat and well nucleated except for the defects 682. In these challenging structures, it is advantageous to deposit copper CVD seed layer 684 onto SIP copper nucleation film 680. As it is deposited by chemical vapor deposition, it is typically conformal and well condensed by the SIP copper film 680. The CVD seed layer 684 fills the defects 682 and provides a continuous, non-rough seed layer for subsequent copper electroplating to complete the filling of the holes 22. The CVD layer can be deposited in a CVD chamber designed for copper deposition, such as the CuxZ chamber commercially available from Applied Materials.

20㎚의 CVD 구리가 SIP 구리 핵형성층과 IMP 핵형성층 상에 택일적으로 증착되는 실험들이 수행되었다. SIP와의 결합은 상대적으로 평탄한 CVD 시드층을 형성시키는 반면, IMP와의 결합은 불연속이라고 해도 좋을 정도로 CVD층에서 매우 거친 표면을 생성시킨다.Experiments were performed in which 20 nm of CVD copper was alternatively deposited on the SIP copper nucleation layer and the IMP nucleation layer. Bonding with SIP forms a relatively flat CVD seed layer, while bonding with IMP produces a very rough surface in the CVD layer that may be discontinuous.

CVD층(684)은, 예를 들어 5 내지 20㎚의 범위의 두께까지 증착될 수 있다. 그 후, 홀의 잔류부분들은 다른 방법들에 의하여 구리로 충진될 수 있다. SIP 구리의 핵형성층의 상부 위에 CVD에 의하여 형성된 매우 평탄한 시드층은 나타난 좁은 비아에 전기도금 또는 통상적인 PVD 기술들에 의해 구리로 홀이 효율적으로 충진되어지게 한다. 특히 전기도금에 대하여, 평탄한 구리 핵형성층과 시드층은 전기도금 공정에 전원을 공급하기 위한 연속적이며 거의 일정한 전극을 제공한다. CVD layer 684 may be deposited, for example, to a thickness in the range of 5-20 nm. Thereafter, the remaining portions of the holes can be filled with copper by other methods. The very flat seed layer formed by CVD on top of the nucleation layer of SIP copper allows holes to be efficiently filled with copper by electroplating or conventional PVD techniques in the narrow vias shown. Particularly for electroplating, the flat copper nucleation and seed layers provide a continuous, nearly constant electrode for powering the electroplating process.

초 고-종횡비를 갖는 비아 또는 다른 홀을 충진시킴에 있어서, 전기도금을 불필요하게 하거나 대신, 비아를 완전히 충진시키기 위하여 도 22의 단면도에서 도시된 바와 같이 충분히 두꺼운 CVD 구리층(688)을 SIP 구리 핵결정층(680)위에 증착하는 것이 유리할 수 있다. CVD 충진의 장점은 별도의 전기도금 단계의 필요성을 제거한다는 점이다. 또한, 전기도금은 0.13㎛ 이하의 너비를 갖는 홀에서 제어하기 힘들 수 있는 유체 플럭스를 요구한다.In filling vias or other holes with ultra-high aspect ratios, a sufficiently thick CVD copper layer 688 as shown in the cross-sectional view of FIG. It may be advantageous to deposit on nucleation layer 680. The advantage of CVD filling is that it eliminates the need for a separate electroplating step. In addition, electroplating requires fluid fluxes that can be difficult to control in holes having a width of 0.13 μm or less.

본 발명의 이러한 실시예의 구리 이중층의 장점은 그 이중충은 구리 증착이 상대적으로 낮은 열적 부담으로 수행될 수 있게 한다는 점이다. 탄탈은 보다 높은 열적 부담에서 산화물로부터 디웨트(dewet)하는 경향이 있다. IMP는 깊은 홀 충진을 위한 다수의 동일한 커버리지 장점들을 가지며, 그러나 IMP는 그것이 증착되는 층에서 에너지를 발산하는 활성화된 아르곤 이온들의 높은 플럭스를 생성시키기 때문에 훨씬 높은 온도에서 작동하는 경향이 있다. 나아가, IMP는 변함없이 소정의 아르곤을 증착된 막 내부로 주입한다. 대조적으로 상대적으로 얇은 SIP층은 상대적으로 고율로 증착되고 SIP 공정은 아르곤의 부재로 인해 본질적으로 고온이 아니다. 또한, SIP 증착률은 IMP보다 훨씬 빠르며 따라서 어떠한 고온 증착도 1/2 계수까지로, 훨씬 더 짧다. An advantage of the copper bilayer of this embodiment of the present invention is that the double layer allows the copper deposition to be performed with a relatively low thermal burden. Tantalum tends to dewet from oxides at higher thermal burdens. IMP has many of the same coverage advantages for deep hole filling, but IMP tends to operate at much higher temperatures because it creates a high flux of activated argon ions that dissipate energy in the layer in which it is deposited. Furthermore, IMP invariably injects certain argon into the deposited film. In contrast, relatively thin SIP layers are deposited at relatively high rates and the SIP process is not inherently hot due to the absence of argon. In addition, the SIP deposition rate is much faster than IMP and therefore any high temperature deposition is much shorter, with a factor of 1/2.

또한, 열적 부담은 SIP 플라즈마의 저온 점화에 의하여 감소된다. 저온 플라즈마 점화와 프로세싱 시퀀스는 도 23의 흐름도에서 도시된다. 웨이퍼가 로드 락 밸브(load lock valve)를 통해 스퍼터 반응기 내부로 삽입되었을 때, 로드 락 밸브는 닫히고, 단계(690)에서 기체 압력이 평행을 이루게 된다. 아르곤 챔버 압력은 점화를 위해 사용되는 압력, 통상적으로는 2와 약 5 내지 10 milliTorr사이의 압력으로 상승되며, 아르곤 백사이드(backside) 냉각 기체에는 약 5 내지 10 Torr의 백사이드 압력으로 웨이퍼의 후면에 제공된다. 단계(692)에서, 아르곤은 낮은 레벨, 통상적으로 10 내지 5㎾의 범위의 타겟 전력에서 점화된다. 플라즈마가 검출되어 점화한 후에는, 단계(694)에서 타겟 전력은 낮은 레벨에 유지된 채로 챔버 압력이 빠르게, 예를 들어 3s동안 감소된다. 만일 자체 유지 스퍼터링(sustained self-sputtering)이 계획된다면, 챔버 아르곤 공급은 정지되며, 그러나 플라즈마는 SSS모드에서 계속된다. 자기-이온화 플라즈마 스퍼터링에 대하여, 아르곤 공급은 감소된다. 백사이드 냉각 기체는 계속해서 공급된다. 일단 아르곤 압력이 감소되었다면, 단계(696)에서 타겟 전력은 의도된 스퍼터링 레벨까지, 예를 들어 10 내지 24㎾ 또는 200㎚ 웨이퍼에 대해서는 그 이상까지 신속하게 상승되는데, 상기 레벨은 SIP 또는 SSS 스퍼터링을 위하여 선택된다. 동시에 압력을 감소시키고 전력을 상승시킴으로써 단계들(694 및 696)을 결합시킬 수 있다. 단계(698)에서는, 선택된 레벨에서 재료를 선택된 두께로 스퍼터 증착하는데 필요한 시간동안 타겟에 계속해서 전원이 공급된다. 이러한 점화 시퀀스는 점화를 위하여 의도된 스퍼터링 전력 레벨을 사용하는 경우보다 저온이다. 보다 높은 아르곤 압력은 점화를 용이하게 하지만 스퍼터 증착을 위해 의도된 보다 높은 전력 레벨에서 계속된다면 스퍼터링된 핵들에 나쁜 영향을 미칠 것이다. 보다 낮은 점화 전력에서는, 감소된 전력에서 낮은 증착률 때문에 매우 적은 구리가 증착된다. 또한, 페데스털 냉각은 점화 공정으로 통해 웨이퍼가 낮은 온도로 유지되게 한다. In addition, the thermal burden is reduced by low temperature ignition of the SIP plasma. The cold plasma ignition and processing sequence is shown in the flowchart of FIG. When the wafer is inserted into the sputter reactor through a load lock valve, the load lock valve is closed and the gas pressure is paralleled in step 690. The argon chamber pressure is raised to the pressure used for ignition, typically between 2 and about 5 to 10 milliTorr, provided to the backside of the wafer at an argon backside cooling gas at a backside pressure of about 5 to 10 Torr. do. In step 692, argon is ignited at a low level, typically at a target power in the range of 10-5 mA. After the plasma has been detected and ignited, in step 694 the chamber pressure is reduced rapidly, for example 3s, while the target power is maintained at a low level. If sustained self-sputtering is planned, the chamber argon supply is stopped, but the plasma continues in SSS mode. For self-ionizing plasma sputtering, the argon supply is reduced. The backside cooling gas is continuously supplied. Once the argon pressure has been reduced, in step 696 the target power rises rapidly up to the intended sputtering level, for example above 10 to 24 kW or 200 nm wafer, which level can be reduced to SIP or SSS sputtering. Is chosen. At the same time, steps 694 and 696 can be combined by reducing pressure and increasing power. In step 698, power is continuously supplied to the target for the time required to sputter deposit the material at the selected level to the selected thickness. This ignition sequence is colder than when using the intended sputtering power level for ignition. Higher argon pressure facilitates ignition but will adversely affect the sputtered nuclei if continued at the higher power level intended for sputter deposition. At lower ignition power, very little copper is deposited because of the low deposition rate at reduced power. In addition, pedestal cooling allows the wafer to be kept at a low temperature through an ignition process.

본 발명의 장치 및 공정에 대한 많은 특징들이 롱 쓰로우를 포함하지 않는 스퍼터링에 적용될 수 있다. Many features of the apparatus and process of the present invention can be applied to sputtering that does not include long throws.

본 발명이 현재 구리 레벨 간 금속배선 및 배리어(barrier)와 라이너(liner) 증착에 특히 유용함에도 불구하고, 본 발명의 다른 측면들이 다른 목적들을 위한 다른 재료들의 스퍼터링에 적용될 수 있다. Although the present invention is currently particularly useful for copper-to-copper metallization and barrier and liner deposition, other aspects of the present invention may be applied to sputtering of other materials for other purposes.

본 명세서에서 그 전체가 참조로 통합된, 2002년 7월 25일에 출원되어 계류중인 출원 번호 제10/202,778호에서 설명된 바와 같이, 소자 간 연결층 또는 층들이 또한 SIP와 ICP 플라즈마를 생성시키는 챔버(152)(도 4)와 유사한 스퍼터 챔버에서 증착될 수 있다. 예를 들어, 챔버(152)와 같은 챔버에서 증착된다면, 타겟(156)은 구리와 같은 증착 재료로 형성될 것이다. 또한, ICP 코일(151) 역시 동일한 증착 재료로 형성될 수 있으며, 특히 코일 스퍼터링이 소자 간 연결 금속 증착의 일부 또는 전부를 위하여 의도되는 경우 그러하다. As described in pending application number 10 / 202,778, filed on July 25, 2002, which is hereby incorporated by reference in its entirety, the inter-device interconnection layers or layers also generate SIP and ICP plasma. It may be deposited in a sputter chamber similar to chamber 152 (FIG. 4). For example, if deposited in a chamber such as chamber 152, target 156 would be formed of a deposition material, such as copper. In addition, the ICP coil 151 may also be formed of the same deposition material, especially when coil sputtering is intended for some or all of the intermetallic deposition of the device.

위에서 언급한 바와 같이, 도시된 챔버(152)는 자체 유지 스퍼터링을 포함하면서 구리에 대한 자기-이온화 스퍼터링이 가능하다. 이 경우, 플라즈마가 점화된 후에, SSS의 경우 아르곤의 공급은 중단될 수 있으며, 구리 이온들이 1보다 큰 수율로 구리 타겟을 재스퍼터하기에(resputter) 충분히 높은 밀도를 갖는다. 또는, 감소된 플로우율과 챔버 압력에서 그리고 아마도 순수 자체 유지 스퍼터링을 지원하기에 불충분한 타겟 전력 밀도에 대하여, 그러나 그럼에도 불구하고 현저히 감소된 자기-스퍼터링 부분에 대하여, 어느 정도의 아르곤이 계속하여 공급될 수 있다. 아르곤 압력이 5 milliTorr 이상으로 현저히 증가된다면, 아르곤은 구리 이론들로부터 에너지를 제거하여 자기-스퍼터링을 감소시킬 것이다. 웨이퍼 바이어스는 구리 입자의 이온화된 부분을 홀 깊숙히 끌어당긴다. As mentioned above, the illustrated chamber 152 is capable of self-ionizing sputtering on copper while including self-maintaining sputtering. In this case, after the plasma is ignited, the supply of argon in the case of SSS can be stopped, and the copper ions have a density high enough to resputter the copper target in a yield greater than one. Alternatively, some amount of argon continues to be supplied at reduced flow rates and chamber pressures and perhaps for insufficient target power density to support pure self-maintained sputtering, but nevertheless significantly reduced self-sputtering portions. Can be. If the argon pressure is increased significantly above 5 milliTorr, argon will remove energy from copper theories and reduce self-sputtering. Wafer bias draws ionized portions of the copper particles deep into the holes.

그러나 부분적으로 중성의 플럭스로 보다 깊은 홀 코팅을 성취하기 위하여, 타겟(156)과 웨이퍼(158) 사이의 거리를 증가시키는 것, 즉 위에서 논의된 바와 같이 롱-쓰로우 모드에서 작동하는 것이 바람직하다. 자기-이온화 플라즈마(SIP) 스퍼터링, 유도 결합 플라즈마(ICP) 스퍼터링 그리고 자체 유지 스퍼터링(SSS) 사이의 제어된 디비전은 중성입자와 이온화된 입자 사이의 분포에 대한 제어를 가능하게 한다. 그러한 제어는 고 종횡비 비아홀에 구리 시드층의 스퍼터 증착을 위하여 특히 유리하다. 스퍼터링된 이온화율의 제어는 자기-이온화 플라즈마(SIP) 스퍼터링과 유도 결합 플라즈마(ICP) 스퍼터링의 혼합에 의하여 달성된다. However, in order to achieve deeper hole coating with partially neutral flux, it is desirable to increase the distance between target 156 and wafer 158, ie operate in long-throw mode as discussed above. . The controlled division between self-ionizing plasma (SIP) sputtering, inductively coupled plasma (ICP) sputtering and self-maintaining sputtering (SSS) allows control of the distribution between neutral and ionized particles. Such control is particularly advantageous for sputter deposition of copper seed layers in high aspect ratio via holes. Control of the sputtered ionization rate is achieved by mixing self-ionizing plasma (SIP) sputtering and inductively coupled plasma (ICP) sputtering.

본 발명에 따른 구조의 하나의 실시예가 도 24의 단면도에서 도시된 비아이다. 구리 시드층(700)은 라이너층(704)(위에서 언급된 TaN 배리어와 Ta 라이너층들과 같은 2 이상의 배리어와 라이너층들을 포함할 수 있음) 위에 비아홀(702) 내에 증착되는데, 이는 예를 들어 도 4에서 도시된 유형의 롱-쓰로우 스퍼터 반응기를 사용하여, SIP와 ICP의 결합 및/또는 SIP와 ICP의 교대를 촉진하는 조건하에서 이루어진다. 여기서, 반응기는 구리 또는 다른 시드층 증착 재료를 포함하는 타겟을 가질 것이다. SIP-ICP 구리층(700)은, 예를 들어, 50 내지 300㎚ 또는 보다 바람직하게는 80 내지 200㎚의 블랭킷 두께까지 증착될 수 있다. 바람직하게는, SIP-ICP 구리 시드층(700)은 비아 측벽들 상에서 2 내지 20㎚ 범위의 두께를 갖는다. 좁은 홀의 견지에서, 측벽 두께는 50㎚를 초과해서는 안된다. 막의 특질은 페데스털 온도를 0℃ 이하로, 바람직하게는 -40℃ 이하로 하강시킴으로써 향상되므로 신속한 SIP 증착에 의하여 제공되는 냉각이 중요하게 된다.One embodiment of the structure according to the invention is the via shown in the cross sectional view of FIG. 24. Copper seed layer 700 is deposited in via hole 702 over liner layer 704 (which may include two or more barriers and liner layers, such as the TaN barrier and Ta liner layers mentioned above), for example Using a long-throw sputter reactor of the type shown in FIG. 4, it is made under conditions that promote coupling of SIP and ICP and / or alternation of SIP and ICP. Here, the reactor will have a target comprising copper or other seed layer deposition material. SIP-ICP copper layer 700 may be deposited, for example, to a blanket thickness of 50-300 nm or more preferably 80-200 nm. Preferably, the SIP-ICP copper seed layer 700 has a thickness in the range of 2-20 nm on the via sidewalls. In terms of narrow holes, the sidewall thickness should not exceed 50 nm. The properties of the film are enhanced by lowering the pedestal temperature below 0 ° C, preferably below -40 ° C, so that the cooling provided by rapid SIP deposition becomes important.

SIP-ICP 구리 시드층(700)이 양호한 바닥 커버리지와 향상된 측벽 커버리지를 가질 것으로 믿어진다. 이하에서 더 상세히 설명되는 바와 같이, 구리 시드층(copper seed layer)(700)은 보통 비아 바닥의 중심부에 더 얇은 커버리지를 남겨두면서 비아의 내부 바닥 코너들에서의 커버리지를 증가시키기 위하여 구리 증착 재료를 재분포시키도록 별개의 단계에서 또는 초기 증착 동안 재스퍼터링될 수 있다. 구리 시드층(700)이 증착된 이후(바람직하다면, 증착되고 재분포된 이후), 홀은 바람직하게 전기도금 전극들 중 하나로서 시드층(700)을 사용하는 전기화학 도금에 의하여 도 14b의 구리 층(347b')과 유사한 구리 층으로 충진될 수 있다. 그러나 SIP-ICP 구리 시드층(700)의 평탄한 구조는 또한 표준 스퍼터링 또는 물리적 기상 증착(PVD)에 의하여 리플로우(reflow) 또는 구리의 고온 증착을 촉진한다. It is believed that the SIP-ICP copper seed layer 700 will have good bottom coverage and improved sidewall coverage. As described in more detail below, copper seed layer 700 typically employs a copper deposition material to increase coverage at the inner bottom corners of the via, leaving thinner coverage at the center of the via bottom. It can be resputtered in a separate step or during initial deposition to redistribute. After the copper seed layer 700 is deposited (preferably, after being deposited and redistributed), the hole is preferably copper of FIG. 14B by electrochemical plating using the seed layer 700 as one of the electroplating electrodes. It may be filled with a copper layer similar to layer 347b '. However, the flat structure of the SIP-ICP copper seed layer 700 also promotes reflow or high temperature deposition of copper by standard sputtering or physical vapor deposition (PVD).

일 실시예에서, SIP-ICP 층은 하나의 단계에서 SIP 증착 기술과 ICP 증착 기술 모두의 선택된 특징들을 결합하는 프로세스에서 형성될 수 있고, 상기 단계는 본 명세서에서 일반적으로 SIP-ICP 단계로서 언급된다. 부가하여, 대안적인 실시예에 따른 반응기(715)는 도 25에 도시된 코일(151)에 부가하여 제 2 코일(716)을 구비한다. 코일(151)과 동일한 방식으로, 코일(716)의 한 단부는 증폭기 및 매칭 네트워크(matching network)(717)의 출력에 피드스루 스탠드오프(182)에 의하여 다크스페이스 차폐부(darkspace shield)(164')를 통해 절연성(insulatively) 결합된다. 매칭 네트워크(717)의 입력은 RF 발생기(718)에 결합된다. 코일(716)의 다른 단부는 코일(716) 상에 DC 바이어스를 제공하기 위하여 차단 커패시터(blocking capacitor)(719)를 경유하여 피드스루 스탠드오프(182)에 의해 접지에 차폐부(164')를 통해 절연성 결합된다. DC 바이어스는 별개의 DC 소스(721)에 의해 제어될 수 있다.In one embodiment, the SIP-ICP layer may be formed in a process that combines selected features of both the SIP deposition technique and the ICP deposition technique in one step, which step is generally referred to herein as the SIP-ICP step. . In addition, the reactor 715 according to an alternative embodiment has a second coil 716 in addition to the coil 151 shown in FIG. 25. In the same way as coil 151, one end of coil 716 is darkspace shield 164 by feedthrough standoff 182 at the output of amplifier and matching network 717. ') Is insulatively coupled. An input of the matching network 717 is coupled to the RF generator 718. The other end of coil 716 connects shield 164 'to ground by feedthrough standoff 182 via blocking capacitor 719 to provide a DC bias on coil 716. Is coupled through insulating. DC bias can be controlled by a separate DC source 721.

ICP 또는 결합된 SIP-ICP 단계에서, RF 에너지는 예를 들어, 1-3 kW 및 2Mhz의 주파수에서 RF 코일들(151 및 716) 중 하나 또는 둘 다에 인가된다. 전력이 공급될 때 코일들(151 및 716)은 반응기의 내부로 RF 에너지를 유도성 결합시킨다. 코일들에 의해 제공된 RF 에너지는 스퍼터링된 증착 재료를 이온화하기 위해 플라즈마를 유지하기 위하여 아르곤과 같은 선구 가스를 이온화한다. 그러나 고밀도 IMP 프로세스에 대해 전형적인 20-60 mTorr와 같은 비교적 높은 압력에서 플라즈마를 유지하기보다 바람직하게 압력은 예를 들어, 2mTorr와 같은 상당히 더 낮은 압력에서 유지된다. 결과적으로, 반응기(150) 내 이온화율(ionization rate)은 전형적인 고밀도 IMP 프로세스보다 상당히 더 낮을 것이다.In the ICP or combined SIP-ICP stage, RF energy is applied to one or both of the RF coils 151 and 716 at frequencies of 1-3 kW and 2Mhz, for example. When powered, the coils 151 and 716 inductively couple the RF energy into the reactor. The RF energy provided by the coils ionizes a precursor gas such as argon to maintain the plasma to ionize the sputtered deposition material. However, rather than maintaining the plasma at a relatively high pressure, such as 20-60 mTorr, which is typical for high density IMP processes, the pressure is preferably maintained at significantly lower pressures, for example 2 mTorr. As a result, the ionization rate in reactor 150 will be significantly lower than typical high density IMP processes.

앞서 설명한 것에 부가하여, 도시된 반응기(150)는 또한 롱-쓰로우 모드(long-throw mode)에서 자기 이온화 스퍼터링(self-ionized sputtering)이 가능하다. 결과적으로, 증착 재료는 RF 코일 또는 코일들에 의해 유지된 저압 플라즈마의 결과에 의해서뿐만 아니라, 타겟의 DC 마그네트론 스퍼터링에 의해 자기-생성된 플라즈마에 의해 이온화될 것이다. 결합된 SIP와 ICP 이온화 프로세스들은 양호한 바닥 및 바닥 코너 커버리지에 대해 충분히 이온화된 재료를 제공할 수 있다. 그러나 RF 코일들(151 및 716)에 의해 제공된 저압 플라즈마의 더 낮은 이온화율은 충분한 중성 스퍼터링 재료가 반응기의 롱-쓰로우 성능에 의해 상부 측벽들 상에 증착되기 위하여 이온화되지 않은 상태로 남겨지도록 한다. 그리하여, 이온화된 증착 재료의 결합된 SIP와 ICP 소스들은 양호한 바닥 및 바닥 코너 커버리지 및 양호한 상부 측벽 커버리지를 제공할 수 있다. 또 다른 실시예에서, 코일들(151 및 716)로의 전력은 하나의 단계에서 하부 코일(151)에 인가된 전력에 비례하여 상부 코일(726)로의 전력이 제거되거나 감소되도록 교번(alternate)될 수 있다. 이러한 단계에서, 유도성 결합된 플라즈마의 중심은 타겟으로부터 기판에 더 근접하여 이동된다. 상기와 같은 구성은 타겟에 인접하여 생성된 자기 이온화된 플라즈마와 하나 이상의 코일들에 의하여 유지되는 유도성 결합 플라즈마 사이의 상호작용을 감소시킬 수 있다. 결과적으로, 중성 스퍼터링 재료의 더 높은 비율이 유지될 수 있을 것이다.In addition to the foregoing, the reactor 150 shown is also capable of self-ionized sputtering in long-throw mode. As a result, the deposition material will be ionized by the self-generated plasma not only by the result of the low pressure plasma held by the RF coil or coils, but also by the DC magnetron sputtering of the target. Combined SIP and ICP ionization processes can provide sufficiently ionized material for good floor and bottom corner coverage. However, the lower ionization rate of the low pressure plasma provided by the RF coils 151 and 716 allows sufficient neutral sputtering material to remain unionized for deposition on the upper sidewalls by the long-throw performance of the reactor. . Thus, the combined SIP and ICP sources of ionized deposition material can provide good bottom and bottom corner coverage and good top sidewall coverage. In yet another embodiment, the power to the coils 151 and 716 can be alternated such that power to the upper coil 726 is removed or reduced in proportion to the power applied to the lower coil 151 in one step. have. In this step, the center of the inductively coupled plasma is moved closer to the substrate from the target. Such a configuration can reduce the interaction between the self-ionized plasma generated near the target and the inductively coupled plasma maintained by the one or more coils. As a result, higher proportions of neutral sputtering material may be maintained.

제 2 단계에서, 하부 코일(151)로의 전력은 상부 코일(716)에 인가된 전력에 비례하여 제거되거나 감소되도록 역전될 수 있다. 이러한 단계에서, 유도성 결합 플라즈마의 중심은 기판으로부터 타겟쪽으로 이동될 수 있다. 상기 구성은 이온화된 스퍼터 재료의 비율을 증가시킬 수 있다.In a second step, power to the lower coil 151 may be reversed to be removed or reduced in proportion to the power applied to the upper coil 716. In this step, the center of the inductively coupled plasma can be moved from the substrate toward the target. This configuration can increase the proportion of ionized sputter material.

또 다른 실시예에서, 층은 2 이상의 단계들로 형성될 수 있고, 본 명세서에서 일반적으로 SIP 단계로 언급되는 하나의 단계에서 RF 전력이 어느 한쪽 코일에 거의 인가되지 않거나 또는 전혀 인가되지 않는다. 부가하여, 압력은 비교적 낮은 레벨에서, 바람직하게 5mTorr 이하에서, 보다 바람직하게는 2mTorr 이하에서, 예를 들어, 1mTorr에서 유지될 것이다. 부가하여, 타겟에 인가되는 전력은 예를 들어, 18-24 kW DC의 범위와 같이 상대적으로 높을 것이다. 바이어스는 또한 예를 들어, 500 watt의 전력 레벨에서 기판 지지부에 인가될 수 있다. 이러한 조건들 하에서, 증착 재료의 이온화는 주로 자기-이온화 플라즈마(SIP)의 결과로서 발생한다. 반응기의 롱-쓰로우 모드 구성과 결합되어, 양호한 상부 측벽 커버리지는 낮은 오버행(overhang)으로 달성될 수 있다. 이러한 초기 단계에서 증착된 층의 부분은 예를 들어, 1000-2000 angstrom의 범위에 있을 수 있다.In another embodiment, the layer may be formed in two or more steps, with little or no RF power applied to either coil in one step, generally referred to herein as the SIP step. In addition, the pressure will be maintained at a relatively low level, preferably at 5 mTorr or less, more preferably at 2 mTorr or less, for example at 1 mTorr. In addition, the power applied to the target will be relatively high, for example in the range of 18-24 kW DC. The bias can also be applied to the substrate support at, for example, a power level of 500 watts. Under these conditions, ionization of the deposition material occurs primarily as a result of self-ionizing plasma (SIP). Combined with the long-throw mode configuration of the reactor, good top sidewall coverage can be achieved with a low overhang. The portion of the layer deposited in this initial step may be in the range of 1000-2000 angstrom, for example.

본 명세서에서 일반적으로 ICP 단계로 언급되는 제 2 단계에서, 바람직하게 동일한 챔버 내에서, RF 전력은 코일들(151 및 716) 중 하나 또는 둘 다에 인가될 수 있다. 부가하여, 일 실시예에서, 압력은 고밀도 플라즈마가 유지될 수 있도록 상당히 상승될 수 있다. 예를 들어, 압력은 20-60mTorr로 상승될 수 있고, 코일로의 RF 전력은 1-3kW의 범위로 상승될 수 있으며, 타겟으로의 DC 전력은 1-2kW로 감소되고, 기판 지지부로의 바이어스는 150watt로 감소된다. 이러한 조건들 하에서, 증착 재료의 이온화는 주로 고밀도 ICP의 결과로서 발생할 것이다. 결과적으로, 양호한 바닥 및 바닥 코너 커버리지가 제 2 단계에서 달성될 수 있다. 전력은 전술한 바와 같이 양 코일들이 동시에 또는 교대로 인가될 수 있다.In the second stage, generally referred to herein as the ICP stage, preferably within the same chamber, RF power may be applied to one or both of the coils 151 and 716. In addition, in one embodiment, the pressure can be raised considerably so that a high density plasma can be maintained. For example, the pressure may rise to 20-60 mTorr, the RF power to the coil may rise to a range of 1-3 kW, the DC power to the target is reduced to 1-2 kW, and the bias to the substrate support Is reduced to 150 watts. Under these conditions, ionization of the deposition material will occur primarily as a result of high density ICP. As a result, good floor and bottom corner coverage can be achieved in the second step. Power may be applied simultaneously or alternately, as described above.

구리 시드층이 SIP와 ICP를 결합하는 프로세스에 의해 스퍼터 증착된 이후, 홀의 나머지는 동일한 또는 다른 프로세스에 의해 충진될 수 있다. 예를 들어, 홀의 나머지는 전기도금 또는 CVD에 의해 충진될 수 있다.After the copper seed layer is sputter deposited by the process of combining the SIP and the ICP, the rest of the holes can be filled by the same or different process. For example, the rest of the holes can be filled by electroplating or CVD.

SIP 단계와 ICP 단계의 순서는 역전될 수 있고, 소정의 RF 전력은 SIP 단계에서 하나 이상의 코일들에 인가될 수 있으며, 소정의 자기 이온화는 ICP 단계에서 유도될 수 있다. 부가하여, 자체 유지 스퍼터링(sustained self sputtering; SSS)은 하나 이상의 단계에서 유도될 수 있다. 그러므로 압력, 전력 및 타겟-기판 거리를 포함하는 프로세스 매개변수들은 목적하는 결과를 달성하기 위하여 특정 응용예에 의존하여 가변될 수 있다.The order of the SIP step and the ICP step may be reversed, some RF power may be applied to one or more coils in the SIP step, and certain self ionization may be induced in the ICP step. In addition, sustained self sputtering (SSS) may be induced in one or more stages. Therefore, process parameters, including pressure, power, and target-substrate distance, can be varied depending on the particular application to achieve the desired result.

이전에 언급한 바와 같이, 코일들(151 및 516)은 독립적으로 동작될 수 있거나 또는 함께 동작될 수 있다. 일 실시예에서, 코일들은 함께 동작될 수 있고, 여기서, 하나의 코일에 인가된 RF 신호는 헬리콘 파동(helicon wave)을 생성하기 위하여 다른 코일에 인가되는 다른 RF 신호에 대하여 위상 이동된다. 예를 들어, RF 신호들은 미국 특허 제 6,264,812호에 기재된 파장의 부분만큼 위상 이동될 수 있다.As mentioned previously, the coils 151 and 516 may be operated independently or may be operated together. In one embodiment, the coils can be operated together, where the RF signal applied to one coil is phase shifted relative to another RF signal applied to the other coil to produce a helicon wave. For example, RF signals may be phase shifted by a portion of the wavelength described in US Pat. No. 6,264,812.

본 발명의 일 실시예는 바람직하게 도 27의 평면도에서 개략적으로 도시된 엔듀라(Endura)(5500) 플랫폼과 같은 일체형 다중 챔버 툴 상에서 수행되는 통합된 프로세스를 포함한다. 상기 플랫폼은 Tepman 등에 의한 미국 특허 제 5,186,718호에서 기능적으로 기술되었다.One embodiment of the present invention preferably includes an integrated process performed on an integrated multi-chamber tool, such as the Endura 5500 platform schematically shown in the plan view of FIG. The platform has been described functionally in US Pat. No. 5,186,718 to Tepman et al.

절연층의 비아 홀들 또는 다른 구조로 이미 에칭되었던 기판들은, 각각의 로드 락 챔버(load lock chamber)들 안으로 로딩된 기판 카세트들로부터 기판들을 상기 시스템 안으로 그리고 상기 시스템 밖으로 기판들을 전달하도록 구성된 2개의 독립적으로 동작되는 로드 락 챔버들(732, 734)을 통해 상기 시스템 안으로 그리고 상기 시스템 밖으로 로딩된다. 기판 카세트가 로드 락 챔버(732, 734)로 로딩된 이후, 챔버는 예를 들어, 10-3 내지 10-4 Torr 범위의 적절히 낮은 압력으로 펌핑되고, 로드 락 챔버와 제 1 기판 전달 챔버(736) 사이의 슬릿 밸브는 개방된다. 이후, 제 1 기판 전달 챔버(736)의 압력은 그러한 낮은 압력에서 유지된다.
Substrates that have already been etched into the via holes or other structure of the insulating layer are two independent configured to transfer substrates into and out of the system from substrate cassettes loaded into respective load lock chambers. Are loaded into and out of the system through load lock chambers 732, 734 operated. After the substrate cassette has been loaded into the load lock chambers 732, 734, the chamber is pumped to an appropriately low pressure, for example in the range of 10 −3 to 10 −4 Torr, and the load lock chamber and the first substrate transfer chamber 736 The slit valve between) is opened. Thereafter, the pressure of the first substrate transfer chamber 736 is maintained at such low pressure.

제 1 전달 챔버(736)에 위치한 제 1 로봇(738)은 기판을 카세트로부터 2개의 가스제거(degassing)/지향(orienting) 챔버들(740, 742) 중 하나로 전달하고, 그 다음에 제 1 플라즈마 예비 세정 챔버(744)로 전달하고, 상기 제 1 플라즈마 예비 세정 챔버(744)에서 수소 또는 아르곤 플라즈마는 기판의 표면을 세정한다. CVD 장벽층이 증착된다면, 그 다음 제 1 로봇(738)은 기판을 CVD 장벽 챔버(746)에 전달한다. CVD 장벽층이 증착된 이후, 로봇(738)은 기판을 통과 챔버(pass through chamber)(748)로 전달하고, 상기 통과 챔버(748)로부터 제 2 로봇(750)은 기판을 제 2 전달 챔버(752)로 전달한다. 슬릿 밸브들은 프로세싱 및 압력 레벨들을 격리하기 위하여 제 1 전달 챔버(736)로부터 상기 챔버들(744, 746, 748)을 분리시킨다. A first robot 738 located in the first transfer chamber 736 transfers the substrate from the cassette to one of the two degassing / orienting chambers 740, 742 and then the first plasma. It is delivered to a pre-clean chamber 744, where hydrogen or argon plasma cleans the surface of the substrate. If a CVD barrier layer is deposited, first robot 738 then transfers the substrate to CVD barrier chamber 746. After the CVD barrier layer is deposited, the robot 738 transfers the substrate to a pass through chamber 748, from which the second robot 750 transfers the substrate to a second transfer chamber. 752). Slit valves separate the chambers 744, 746, 748 from the first transfer chamber 736 to isolate processing and pressure levels.

제 2 로봇(750)은 그 주변에 배치된 반응 챔버들로 그리고 반응 챔버들로부터 선택적으로 기판들을 전달한다. 제 1 IMP 스퍼터 챔버(754)는 구리 증착 전용일 수 있다. 전술한 챔버(410)와 유사한 SIP 스퍼터 챔버(756)는 SIP 구리 시드층 또는 핵형성 층의 증착 전용이다. 이러한 챔버는 전술한 바와 같이 하나의 단계 또는 다수의 단계로 하부 및 측벽 커버리지를 위한 SIP와 개선된 바닥 코너 커버리지를 위한 재스퍼터링을 결합한다. 또한, 예를 들어, Ta/TaN의 적어도 장벽층 일부는 SIP 스퍼터링과 코일 스퍼터링 및 ICP 재스퍼터링에 의해 증착되고, 따라서, SIP-ICP 스퍼터 챔버(760)는 아마도 반응성 질소 플라즈마에서 내화성 금속을 스퍼터링하는데 전용이다. 동일한 SIP-ICP 챔버(760)는 내화성 금속 및 그 질화물을 증착하는데 사용될 수 있다. CVD 챔버(758)는 구리 핵형성, 시드 또는 라이너층의 증착에, 또는 홀의 충진 완성에, 또는 둘 다에 적용된다. 각각의 챔버(754, 756, 758, 760)는 슬릿 밸브들에 의하여 제 2 전달 챔버들(752)에 선택적으로 개방된다. 상이한 구성을 사용하는 것이 가능하다. 예를 들어, 특히, CVD가 홀 충진을 완성하기 위해 사용된다면, IMP 챔버(754)는 제 2 CVD 구리 챔버에 의해 대체될 수 있다. The second robot 750 selectively transfers the substrates to and from the reaction chambers disposed therein. The first IMP sputter chamber 754 may be dedicated to copper deposition. Similar to the chamber 410 described above, a SIP sputter chamber 756 is dedicated to the deposition of a SIP copper seed layer or nucleation layer. This chamber combines SIP for lower and sidewall coverage with resputtering for improved bottom corner coverage in one or more steps as described above. Also, for example, at least a portion of the barrier layer of Ta / TaN is deposited by SIP sputtering and coil sputtering and ICP resputtering, so SIP-ICP sputter chamber 760 is probably used to sputter refractory metal in reactive nitrogen plasmas. Only. The same SIP-ICP chamber 760 may be used to deposit refractory metals and nitrides thereof. CVD chamber 758 is applied to copper nucleation, deposition of seed or liner layers, or to complete filling of holes, or both. Each chamber 754, 756, 758, 760 is selectively opened to the second transfer chambers 752 by slit valves. It is possible to use different configurations. For example, especially if CVD is used to complete hole filling, IMP chamber 754 may be replaced by a second CVD copper chamber.

저압 프로세싱 이후, 제 2 로봇(750)은 기판을 중간에 배치된 열 챔버(intermediately placed thermal chamber)(762)로 전달하고, 상기 열 챔버(762)는 선행 프로세싱이 고온이었다면 냉각 챔버일 수 있거나 또는 금속배선의 어닐링이 요구되는 급속 열 프로세싱(RTP) 챔버일 수 있다. 열 처리 이후에, 제 1 로봇(738)은 기판을 인출하여 기판을 로드 락 챔버들(732, 734) 중 하나의 카세트로 재전달한다. 물론, 본 발명이 통합된 프로세스의 단계들에 의존하여 수행될 수 있는 다른 구성들이 가능하다.After the low pressure processing, the second robot 750 transfers the substrate to an intermediately placed thermal chamber 762, which may be a cooling chamber if the preceding processing was a high temperature, or It may be a rapid thermal processing (RTP) chamber where annealing of the metallization is desired. After the heat treatment, the first robot 738 draws out the substrate and re-delivers the substrate to one of the load lock chambers 732, 734. Of course, other configurations are possible in which the present invention may be performed depending on the steps of the integrated process.

전체 시스템은 각각의 챔버와 관련된 서브-제어기들과 통신하는 제어 버스(772) 상에서 동작하는 컴퓨터 기반 제어기(770)에 의해 제어된다. 프로세스 내역(process recipes)은 제어기(770) 내로 삽입가능한, 자기 플로피 디스크 또는 CD-ROM와 같은 리코딩 가능한 매체(774)에 의해, 또는 통신 링크(776) 상에서 제어기 내로 판독된다. The entire system is controlled by a computer based controller 770 operating on a control bus 772 that communicates with the sub-controllers associated with each chamber. Process recipes are read into the controller by a recordable medium 774, such as a magnetic floppy disk or CD-ROM, insertable into the controller 770, or on the communication link 776.

본 발명의 장치 및 프로세스의 다수의 특징들은 롱 쓰로우에 관련되지 않은 스퍼터링에 적용될 수 있다. 비록 본 발명은 현 시점에서 탄탈 및 탄탈 질화물 라이너층 증착 및 구리 인터-레벨 금속배선에 특히 유용하지만, 본 발명의 다른 태양들이 다른 재료들을 스퍼터링하는데에 그리고 다른 목적을 위해 적용될 수 있다. 2001년 8월 30일자로 출원된 미국 가출원 제 60/316,137호는 스퍼터링 및 재스퍼터링 기술에 관한 것이고, 이것은 본 명세서에 참조로서 결합된다.Many features of the apparatus and process of the present invention can be applied to sputtering that is not related to long throw. Although the present invention is particularly useful at this time for tantalum and tantalum nitride liner layer deposition and copper inter-level metallization, other aspects of the present invention may be applied to sputtering other materials and for other purposes. US Provisional Application No. 60 / 316,137, filed August 30, 2001, relates to sputtering and resputtering techniques, which are incorporated herein by reference.

물론, 여러 가지 태양의 본 발명의 변형예들이 당업자에게 자명하고, 소정의 변형예들은 단지 본 명세서를 숙지함으로부터 자명하고, 다른 변형예들은 통상적인 물리적 설계 및 프로세스 설계의 사항이다. 다른 실시예들이 또한 가능하고, 다른 실시예의 특정 설계는 특정 응용예에 의존한다. 이와 같이, 본 발명의 범위는 본 명세서에 기재된 특정 실시예들에 의해 제한되는 것이 아니라 첨부된 청구범위와 그 균등물에 의해 정해진다.Of course, variations of the invention in various aspects will be apparent to those skilled in the art, certain modifications will be apparent from reading this specification, and other variations are matters of conventional physical design and process design. Other embodiments are also possible, and the specific design of the other embodiments depends on the particular application. As such, the scope of the invention is defined not by the specific embodiments described herein, but by the appended claims and their equivalents.

150: 반응기 152: 진공 챔버
156: 타겟 158: 웨이퍼
204: 매칭 네트워크 206: RF 발생기
330: 마그네트론 332, 334: 자석
336: 자기 요크 338: 고밀도 플라즈마 영역
349: 비아 352: 배출구
360: 가스 입구 416: 타겟
418: 전기적 절연체 424: 기판
434: DC 전원 444: 요크
446: 회전 암 462: 영구 자석
476: 클램프 부재 550: 반응기
554: 타겟 절연기 558: 웨이퍼
564: 플로팅 차폐부 576: 링
577: 림 581: 플랜지
600: 채널 610: DC 전원 장치
616: 질량 유량 제어계 630: 마그네트론
650: SIP 구리 시드층 732, 734: 로드 락 챔버
744: 예비 세정 챔버 770: 제어기
150: reactor 152: vacuum chamber
156: target 158: wafer
204: matching network 206: RF generator
330: magnetron 332, 334: magnet
336: magnetic yoke 338: high density plasma region
349: Via 352: Outlet
360: gas inlet 416: target
418: electrical insulator 424: substrate
434: DC power 444: yoke
446: rotary arm 462: permanent magnet
476: clamp member 550: reactor
554: target isolator 558: wafer
564: floating shield 576: ring
577: rim 581: flange
600: channel 610: DC power supply
616: mass flow control system 630: magnetron
650: SIP copper seed layer 732, 734: load lock chamber
744: pre-clean chamber 770: controller

Claims (35)

기판의 유전층에 형성된 적어도 4:1의 종횡비를 가지는 홀 속에 금속을 증착하는 방법으로서,
챔버내의 유도 결합된 플라즈마에서 상기 홀에 금속을 포함하는 증착 물질을 증착하는 스퍼터 증착 단계; 및
챔버내의 자기-이온화된(self-ionized) 플라즈마에서 상기 홀에 금속을 포함하는 증착 물질을 증착하는 스퍼터 증착 단계를 포함하고,
상기 자기-이온화된 플라즈마에서의 스퍼터 증착 단계와 상기 유도 결합된 플라즈마에서의 스퍼터 증착 단계는 동일한 챔버에서 수행되는 것을 특징으로 하는 금속 증착 방법.
A method of depositing metal into a hole having an aspect ratio of at least 4: 1 formed in a dielectric layer of a substrate, the method comprising:
A sputter deposition step of depositing a deposition material comprising a metal in the hole in an inductively coupled plasma in a chamber; And
A sputter deposition step of depositing a deposition material comprising a metal in said hole in a self-ionized plasma in a chamber,
Sputter deposition in the self-ionized plasma and sputter deposition in the inductively coupled plasma are performed in the same chamber.
제 1 항에 있어서,
상기 홀을 금속으로 충진하는 단계를 더 포함하는 것을 특징으로 하는 금속 증착 방법.
The method of claim 1,
And filling the hole with a metal.
제 2 항에 있어서,
상기 충진 단계는 전기도금 단계를 포함하는 것을 특징으로 하는 금속 증착 방법.
The method of claim 2,
The filling step is a metal deposition method comprising the electroplating step.
제 1 항에 있어서,
상기 자기-이온화된 플라즈마에서의 스퍼터 증착 단계는 상기 유도 결합된 플라즈마에서의 스퍼터 증착 단계를 선행하는 것을 특징으로 하는 금속 증착 방법.
The method of claim 1,
Sputter deposition in the self-ionized plasma precedes sputter deposition in the inductively coupled plasma.
삭제delete 제 4 항에 있어서,
상기 유도 결합된 플라즈마에서의 스퍼터 증착 단계는 상기 자기-이온화된 플라즈마에서 증착된 증착 물질을 상기 유도 결합된 플라즈마에서 재스퍼터링하는 재스퍼터링 단계를 더 포함하는 것을 특징으로 하는 금속 증착 방법.
The method of claim 4, wherein
Sputter deposition in the inductively coupled plasma further comprises a resputtering step of resputtering the deposition material deposited in the self-ionized plasma in the inductively coupled plasma.
제 6 항에 있어서,
상기 재스퍼터링 단계는 상기 홀의 하부상에 증착된 증착 물질을 제거하는 단계를 포함하는 것을 특징으로 하는 금속 증착 방법.
The method according to claim 6,
And said resputtering comprises removing a deposited material deposited on the bottom of said hole.
제 1 항에 있어서,
상기 자기-이온화된 플라즈마에서의 스퍼터 증착 단계는 탄탈 및 탄탈 질화물 중 적어도 하나를 포함하는 증착 물질을 증착하는 것을 특징으로 하는 금속 증착 방법.
The method of claim 1,
Sputter deposition in the self-ionized plasma deposits a deposition material comprising at least one of tantalum and tantalum nitride.
제 1 항에 있어서,
상기 자기-이온화된 플라즈마에서의 스퍼터 증착 단계는 구리를 포함하는 증착 물질을 증착하는 것을 특징으로 하는 금속 증착 방법.
The method of claim 1,
Sputter deposition in the self-ionized plasma deposits a deposition material comprising copper.
제 1 항에 있어서,
상기 유도 결합된 플라즈마에서의 스퍼터 증착 단계는 탄탈 및 탄탈 질화물 중 적어도 하나를 포함하는 증착 물질을 증착하는 것을 특징으로 하는 금속 증착 방법.
The method of claim 1,
Sputter deposition in the inductively coupled plasma deposits a deposition material comprising at least one of tantalum and tantalum nitride.
제 1 항에 있어서,
상기 유도 결합된 플라즈마에서의 스퍼터 증착 단계는 구리를 포함하는 증착 물질을 증착하는 것을 특징으로 하는 금속 증착 방법.
The method of claim 1,
Sputter deposition in the inductively coupled plasma deposits a deposition material comprising copper.
제 1 항에 있어서,
상기 유도 결합된 플라즈마에서의 스퍼터 증착 단계는 상기 유도 결합된 플라즈마를 형성하기 위해 상기 유도 결합된 플라즈마를 함유하는 챔버 내부의 코일과의 RF 유도 결합을 적어도 부분적으로 사용하는 것을 특징으로 하는 금속 증착 방법.
The method of claim 1,
Sputter deposition in the inductively coupled plasma uses at least partially RF inductive coupling with a coil in the chamber containing the inductively coupled plasma to form the inductively coupled plasma. .
기판의 유전층에 형성된 적어도 4:1의 종횡비를 가지는 홀에 상호접속부를 형성하는 방법으로서,
챔버내의 자기-이온화된 플라즈마에서 상기 홀에 배리어층을 스퍼터 증착하는 단계;
상기 배리어층 하부 부분의 적어도 일부를 제거하기 위해 챔버내의 유도 결합된 플라즈마에서 상기 배리어층의 하부 부분을 재스퍼터링하는 단계;
챔버내의 자기-이온화된 플라즈마에서 상기 홀 내의 상기 배리어층 상에 라이너층(liner layer)을 스퍼터 증착하는 단계;
상기 라이너층 하부 부분의 적어도 일부를 제거하기 위해 챔버내의 유도 결합된 플라즈마에서 상기 라이너층의 하부 부분을 재스퍼터링하는 단계;
챔버내의 자기-이온화된 플라즈마에서 상기 홀에 시드층을 스퍼터 증착하는 단계; 및
상기 시드층 하부 부분의 적어도 일부를 재분포시키기 위해 챔버내의 자기-이온화된 플라즈마에서 상기 시드층의 하부 부분을 재스퍼터링하는 단계
를 포함하는 것을 특징으로 하는 상호접속부 형성 방법.
A method of forming interconnects in holes having an aspect ratio of at least 4: 1 formed in a dielectric layer of a substrate, the method comprising:
Sputter depositing a barrier layer in said hole in a self-ionized plasma in a chamber;
Resputtering the lower portion of the barrier layer in an inductively coupled plasma in the chamber to remove at least a portion of the lower portion of the barrier layer;
Sputter depositing a liner layer on the barrier layer in the hole in a self-ionized plasma in the chamber;
Resputtering the lower portion of the liner layer in an inductively coupled plasma in the chamber to remove at least a portion of the lower portion of the liner layer;
Sputter depositing a seed layer in said hole in a self-ionized plasma in a chamber; And
Resputtering the lower portion of the seed layer in a self-ionized plasma in the chamber to redistribute at least a portion of the lower portion of the seed layer.
Interconnect forming method comprising a.
제 13 항에 있어서,
상기 시드층의 재스퍼터링 이후에 상기 홀 속에 도체 금속을 충진하는 단계를 더 포함하는 것을 특징으로 하는 상호접속부 형성 방법.
The method of claim 13,
And filling a conductive metal into said hole after resputtering said seed layer.
제 14 항에 있어서,
상기 충진 단계는 전기도금 단계를 포함하는 것을 특징으로 하는 상호접속부 형성 방법.
15. The method of claim 14,
Wherein said filling step comprises an electroplating step.
제 13 항에 있어서,
상기 자기-이온화된 플라즈마에서 배리어층 및 라이너층의 스퍼터 증착 및 상기 유도 결합된 플라즈마에서 라이너층 및 배리어층의 하부 부분의 재스퍼터링 단계는 동일한 챔버에서 수행되는 것을 특징으로 하는 상호접속부 형성 방법.
The method of claim 13,
Sputter deposition of barrier layer and liner layer in the self-ionized plasma and resputtering of the lower portion of the liner layer and barrier layer in the inductively coupled plasma are performed in the same chamber.
제 13 항에 있어서,
상기 자기-이온화된 플라즈마에서 시드층의 스퍼터 증착 및 상기 자기-이온화된 플라즈마에서 시드층 하부 부분의 재스퍼터링 단계는 동일한 챔버에서 수행되는 것을 특징으로 하는 상호접속부 형성 방법.
The method of claim 13,
Sputter deposition of a seed layer in the self-ionized plasma and resputtering of a seed layer lower portion in the self-ionized plasma are performed in the same chamber.
제 13 항에 있어서,
상기 자기-이온화된 플라즈마에서 배리어층의 스퍼터 증착 단계는 탄탈 질화물을 포함하는 증착 물질을 증착하는 것을 특징으로 하는 상호접속부 형성 방법.
The method of claim 13,
Sputter deposition of the barrier layer in the self-ionized plasma deposits a deposition material comprising tantalum nitride.
제 13 항에 있어서,
상기 자기-이온화된 플라즈마에서 시드층의 스퍼터 증착 단계는 구리를 포함하는 증착 물질을 증착하는 것을 특징으로 하는 상호접속부 형성 방법.
The method of claim 13,
Sputter deposition of the seed layer in the self-ionized plasma deposits a deposition material comprising copper.
제 13 항에 있어서,
상기 유도 결합된 플라즈마에서의 재스퍼터링 단계는 상기 유도 결합된 플라즈마를 형성하기 위해 상기 유도 결합된 플라즈마를 함유하는 챔버 내부의 코일과의 RF 유도 결합을 적어도 부분적으로 사용하는 것을 특징으로 하는 상호접속부 형성 방법.
The method of claim 13,
The resputtering step in the inductively coupled plasma uses at least partially RF inductive coupling with a coil inside the chamber containing the inductively coupled plasma to form the inductively coupled plasma. Way.
제 13 항에 있어서,
적어도 부분적으로 상기 자기-이온화된 플라즈마에서 재스퍼터링 단계는 중심축을 갖는 챔버내의 타겟과 기판 지지 페데스털 사이의 프로세싱 공간 부근에 적어도 부분적으로 배치된 보조 자석들을 사용하며, 상기 자석들은 상기 중심축을 따라 제 1 자기 극성을 갖는 것을 특징으로 하는 상호접속부 형성 방법.
The method of claim 13,
The resputtering step at least in part in the self-ionized plasma uses auxiliary magnets at least partially disposed in the vicinity of the processing space between the substrate support pedestal and the target in the chamber having a central axis, the magnets along the central axis. And having a first magnetic polarity.
제 17 항에 있어서,
상기 자기-이온화된 플라즈마에서의 시드층 스퍼터 증착 단계는 상기 기판을 제 1 레벨로 바이어싱하는 단계를 포함하며 상기 자기-이온화된 플라즈마에서의 시드층 하부 부분의 재스퍼터링 단계는 상기 제 1 레벨 보다 높은 제 2 레벨로 상기 기판을 바이어싱하는 단계를 포함하는 것을 특징으로 하는 상호접속부 형성 방법.
The method of claim 17,
The seed layer sputter deposition in the self-ionized plasma includes biasing the substrate to a first level and the resputtering of the lower portion of the seed layer in the self-ionized plasma is greater than the first level. Biasing the substrate to a high second level.
제 17 항에 있어서,
상기 자기-이온화된 플라즈마에서의 시드층 스퍼터 증착 단계는 타겟에 제 1 레벨의 전력을 인가하는 단계를 포함하며 상기 자기-이온화된 플라즈마에서의 시드층 하부 부분의 재스퍼터링 단계는 타겟에 상기 제 1 레벨 보다 낮은 제 2 레벨의 전력을 인가하는 단계를 포함하는 것을 특징으로 하는 상호접속부 형성 방법.
The method of claim 17,
The seed layer sputter deposition in the self-ionized plasma may include applying a first level of power to the target and the resputtering of the lower portion of the seed layer in the self-ionized plasma may include: Applying a second level of power lower than the level.
기판의 유전층에 형성된 적어도 4:1의 종횡비를 가지는 홀 속에 금속을 증착하는 방법으로서,
챔버내의 유도 결합된 플라즈마에서 상기 홀에 금속을 포함하는 증착 물질을 증착하는 스퍼터 증착 단계; 및
챔버내의 자기-이온화된(self-ionized) 플라즈마에서 상기 홀에 금속을 포함하는 증착 물질을 증착하는 스퍼터 증착 단계;를 포함하고,
상기 스퍼터 증착 단계들 중 하나 이상이 상기 홀의 하부에 증착된 증착 물질을 제거하기 위하여 상기 홀의 증착 물질을 재스퍼터링하는 재스퍼터링 단계를 구비하며,
상기 자기-이온화된 플라즈마에서의 스퍼터 증착 단계는 상기 유도 결합된 플라즈마에서의 스퍼터 증착 단계를 선행하고,
상기 유도 결합된 플라즈마에서의 스퍼터 증착 단계는 상기 자기-이온화된 플라즈마에서 증착된 증착 물질을 상기 유도 결합된 플라즈마에서 재스퍼터링하는 재스퍼터링 단계를 더 포함하며, 상기 유도 결합된 플라즈마에서의 재스퍼터링 단계는, 상기 홀의 측벽 상에 물질을 증착하면서 상기 홀의 하부상에 증착된 증착 물질을 제거하는 단계를 포함하는 것을 특징으로 하는 금속 증착 방법.
A method of depositing metal into a hole having an aspect ratio of at least 4: 1 formed in a dielectric layer of a substrate, the method comprising:
A sputter deposition step of depositing a deposition material comprising a metal in the hole in an inductively coupled plasma in a chamber; And
A sputter deposition step of depositing a deposition material comprising a metal in the hole in a self-ionized plasma in the chamber;
One or more of the sputter deposition steps includes a resputtering step of resputtering the deposition material of the hole to remove the deposition material deposited below the hole,
Sputter deposition in the self-ionized plasma precedes sputter deposition in the inductively coupled plasma,
The sputter deposition in the inductively coupled plasma further includes a resputtering step of resputtering the deposition material deposited in the self-ionized plasma in the inductively coupled plasma, the resputtering step in the inductively coupled plasma. And removing the deposited material deposited on the bottom of the hole while depositing the material on the sidewall of the hole.
제 24 항에 있어서,
상기 홀을 금속으로 충진하는 단계를 더 포함하는 것을 특징으로 하는 금속 증착 방법.
25. The method of claim 24,
And filling the hole with a metal.
제 25 항에 있어서,
상기 충진 단계는 전기도금 단계를 포함하는 것을 특징으로 하는 금속 증착 방법.
The method of claim 25,
The filling step is a metal deposition method comprising the electroplating step.
삭제delete 제 24 항에 있어서,
상기 자기-이온화된 플라즈마에서의 스퍼터 증착 단계와 상기 유도 결합된 플라즈마에서의 스퍼터 증착 단계는 동일한 챔버에서 수행되는 것을 특징으로 하는 금속 증착 방법.
25. The method of claim 24,
Sputter deposition in the self-ionized plasma and sputter deposition in the inductively coupled plasma are performed in the same chamber.
삭제delete 삭제delete 제 24 항에 있어서,
상기 자기-이온화된 플라즈마에서의 스퍼터 증착 단계는 탄탈 및 탄탈 질화물 중 적어도 하나를 포함하는 증착 물질을 증착하는 것을 특징으로 하는 금속 증착 방법.
25. The method of claim 24,
Sputter deposition in the self-ionized plasma deposits a deposition material comprising at least one of tantalum and tantalum nitride.
제 24 항에 있어서,
상기 자기-이온화된 플라즈마에서의 스퍼터 증착 단계는 구리를 포함하는 증착 물질을 증착하는 것을 특징으로 하는 금속 증착 방법.
25. The method of claim 24,
Sputter deposition in the self-ionized plasma deposits a deposition material comprising copper.
제 24 항에 있어서,
상기 유도 결합된 플라즈마에서의 스퍼터 증착 단계는 탄탈 및 탄탈 질화물 중 적어도 하나를 포함하는 증착 물질을 증착하는 것을 특징으로 하는 금속 증착 방법.
25. The method of claim 24,
Sputter deposition in the inductively coupled plasma deposits a deposition material comprising at least one of tantalum and tantalum nitride.
제 24 항에 있어서,
상기 유도 결합된 플라즈마에서의 스퍼터 증착 단계는 구리를 포함하는 증착 물질을 증착하는 것을 특징으로 하는 금속 증착 방법.
25. The method of claim 24,
Sputter deposition in the inductively coupled plasma deposits a deposition material comprising copper.
제 24 항에 있어서,
상기 유도 결합된 플라즈마에서의 스퍼터 증착 단계는 상기 유도 결합된 플라즈마를 형성하기 위해 상기 유도 결합된 플라즈마를 함유하는 챔버 내부의 코일과의 RF 유도 결합을 적어도 부분적으로 사용하는 것을 특징으로 하는 금속 증착 방법.
25. The method of claim 24,
Sputter deposition in the inductively coupled plasma uses at least partially RF inductive coupling with a coil in the chamber containing the inductively coupled plasma to form the inductively coupled plasma. .
KR1020107009485A 2001-11-14 2002-11-14 Self-ionized and inductively-coupled plasma for sputtering and resputtering KR101179726B1 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US09/993,543 2001-11-14
US09/993,543 US6610184B2 (en) 2001-11-14 2001-11-14 Magnet array in conjunction with rotating magnetron for plasma sputtering
US34260801P 2001-12-21 2001-12-21
US60/342,608 2001-12-21
US10/202,778 US20030116427A1 (en) 2001-08-30 2002-07-25 Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10/202,778 2002-07-25
PCT/US2002/036940 WO2003042424A1 (en) 2000-10-10 2002-11-14 Self-ionized and inductively-coupled plasma for sputtering and resputtering

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020047007317A Division KR100993046B1 (en) 2001-11-14 2002-11-14 Self-ionized and inductively-coupled plasma for sputtering and resputtering

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020127010137A Division KR101312690B1 (en) 2001-11-14 2002-11-14 Self-ionized and inductively-coupled plasma for sputtering and resputtering

Publications (2)

Publication Number Publication Date
KR20100051882A KR20100051882A (en) 2010-05-18
KR101179726B1 true KR101179726B1 (en) 2012-09-04

Family

ID=34468346

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020047007317A KR100993046B1 (en) 2001-11-14 2002-11-14 Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR1020127010137A KR101312690B1 (en) 2001-11-14 2002-11-14 Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR1020107009487A KR101179727B1 (en) 2001-11-14 2002-11-14 Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR1020107009485A KR101179726B1 (en) 2001-11-14 2002-11-14 Self-ionized and inductively-coupled plasma for sputtering and resputtering

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020047007317A KR100993046B1 (en) 2001-11-14 2002-11-14 Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR1020127010137A KR101312690B1 (en) 2001-11-14 2002-11-14 Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR1020107009487A KR101179727B1 (en) 2001-11-14 2002-11-14 Self-ionized and inductively-coupled plasma for sputtering and resputtering

Country Status (3)

Country Link
JP (4) JP5296956B2 (en)
KR (4) KR100993046B1 (en)
CN (2) CN101847598B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014051872A1 (en) * 2012-09-26 2014-04-03 Front Edge Technology, Inc. Plasma deposition on a partially formed battery through a mesh screen
US9905895B2 (en) 2012-09-25 2018-02-27 Front Edge Technology, Inc. Pulsed mode apparatus with mismatched battery
US10008739B2 (en) 2015-02-23 2018-06-26 Front Edge Technology, Inc. Solid-state lithium battery with electrolyte

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
CN101847598B (en) * 2001-11-14 2012-06-20 应用材料有限公司 Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7901545B2 (en) * 2004-03-26 2011-03-08 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
US7244344B2 (en) * 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
JP4967354B2 (en) * 2006-01-31 2012-07-04 東京エレクトロン株式会社 Seed film formation method, plasma film formation apparatus, and storage medium
US8936702B2 (en) * 2006-03-07 2015-01-20 Micron Technology, Inc. System and method for sputtering a tensile silicon nitride film
JP2008010532A (en) * 2006-06-28 2008-01-17 Sony Corp Manufacturing method of semiconductor device
JP4336739B2 (en) * 2007-06-04 2009-09-30 キヤノンアネルバ株式会社 Deposition equipment
CN101447274B (en) * 2008-09-26 2011-05-11 东莞宏威数码机械有限公司 Magnetic circuit mechanism, magnetron sputtering cathode therewith and production method thereof
US9752228B2 (en) * 2009-04-03 2017-09-05 Applied Materials, Inc. Sputtering target for PVD chamber
US8795487B2 (en) * 2010-03-31 2014-08-05 Applied Materials, Inc. Physical vapor deposition chamber with rotating magnet assembly and centrally fed RF power
KR101903199B1 (en) * 2010-12-08 2018-10-01 에바텍 아크티엔게젤샤프트 Apparatus and method for depositing a layer onto a substrate
CN102820255A (en) * 2011-06-08 2012-12-12 无锡华润上华半导体有限公司 Method for physics vapor deposition (PVD) film
US9580795B2 (en) * 2013-03-05 2017-02-28 Applied Materials, Inc. Sputter source for use in a semiconductor process chamber
TW201503286A (en) * 2013-05-23 2015-01-16 Oerlikon Advanced Technologies Ag Method for filling vias and substrate-via filling vacuum processing system
US9779920B2 (en) * 2013-08-14 2017-10-03 Applied Materials, Inc. Sputtering target with backside cooling grooves
CN104593735B (en) * 2013-11-01 2017-10-13 北京北方华创微电子装备有限公司 A kind of shielding construction for reaction chamber
CN103572239B (en) * 2013-11-15 2015-10-28 清华大学 A kind of collimation method improving eccentric rotary magnetic control sputtering system
CN105810545B (en) * 2014-12-30 2017-09-29 中微半导体设备(上海)有限公司 A kind of inductively coupled plasma reactor
GB201505578D0 (en) 2015-03-31 2015-05-13 Spts Technologies Ltd Method and apparatus for depositing a material
WO2017120003A1 (en) * 2016-01-06 2017-07-13 Applied Materials, Inc. Systems and methods for shielding features of a workpiece during electrochemical deposition
GB201706284D0 (en) * 2017-04-20 2017-06-07 Spts Technologies Ltd A method and apparatus for controlling stress variation in a material layer formed via pulsed DC physical vapour deposition
KR101985830B1 (en) * 2017-05-23 2019-06-05 (주)울텍 physical vapor deposition apparatus
CN110112094B (en) * 2018-02-01 2021-06-04 长鑫存储技术有限公司 Aluminum film low-temperature sputtering method, aluminum conductor layer manufacturing method and structure with aluminum conductor layer
CN111417741B (en) * 2018-06-28 2022-05-10 株式会社爱发科 Sputtering film forming apparatus
US11257677B2 (en) 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
CN115699268A (en) 2020-06-04 2023-02-03 国立大学法人东北大学 Semiconductor device with a plurality of transistors
FR3130851A1 (en) * 2021-12-21 2023-06-23 Societe Des Ceramiques Techniques Process for producing a sub-stoichiometric oxygen layer of an oxide of titanium, vanadium, tungsten or molybdenum
CN114686831B (en) * 2022-03-11 2023-11-07 中国电子科技集团公司第四十八研究所 Metal self-ionization device for deep hole PVD and film plating method

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001226767A (en) 1999-10-08 2001-08-21 Applied Materials Inc Self-ionized plasma for copper sputtering

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5178739A (en) 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JPH04297575A (en) * 1991-03-25 1992-10-21 Bridgestone Corp Thin-film coating method
JP2973058B2 (en) * 1992-07-27 1999-11-08 日本真空技術株式会社 High vacuum / high speed ion processing equipment
JPH06158299A (en) * 1992-11-19 1994-06-07 Hitachi Ltd Method and device for forming thin film and integrated circuit device
US5496455A (en) * 1993-09-16 1996-03-05 Applied Material Sputtering using a plasma-shaping magnet ring
KR970002891A (en) * 1995-06-28 1997-01-28 배순훈 Sputtering device for thin film deposition
US5907220A (en) * 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
JP3847866B2 (en) * 1996-11-21 2006-11-22 株式会社アルバック Sputtering equipment
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
JPH111770A (en) * 1997-06-06 1999-01-06 Anelva Corp Sputtering apparatus and sputtering method
WO1999027579A1 (en) * 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
JP3500564B2 (en) * 1997-12-19 2004-02-23 富士通株式会社 Method for manufacturing semiconductor device
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
JP3448227B2 (en) * 1998-10-30 2003-09-22 アプライド マテリアルズ インコーポレイテッド Self-sputtering method
US6254745B1 (en) * 1999-02-19 2001-07-03 Tokyo Electron Limited Ionized physical vapor deposition method and apparatus with magnetic bucket and concentric plasma and material source
JP2000332106A (en) * 1999-05-19 2000-11-30 Sony Corp Semiconductor device for its manufacture
SG87187A1 (en) * 1999-10-18 2002-03-19 Applied Materials Inc Pvd-imp tungsten and tungsten nitride as a liner, barrier and/or seed layer for tungsten, aluminium and copper applications
US6228236B1 (en) * 1999-10-22 2001-05-08 Applied Materials, Inc. Sputter magnetron having two rotation diameters
US6350353B2 (en) * 1999-11-24 2002-02-26 Applied Materials, Inc. Alternate steps of IMP and sputtering process to improve sidewall coverage
KR100365643B1 (en) * 2000-10-09 2002-12-26 삼성전자 주식회사 Method for forming damascene interconnection of semiconductor device and damascene interconnection fabricated thereby
CN101847598B (en) * 2001-11-14 2012-06-20 应用材料有限公司 Self-ionized and inductively-coupled plasma for sputtering and resputtering
JP2010153219A (en) * 2008-12-25 2010-07-08 Toyota Motor Corp Fuel cell system

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001226767A (en) 1999-10-08 2001-08-21 Applied Materials Inc Self-ionized plasma for copper sputtering

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9905895B2 (en) 2012-09-25 2018-02-27 Front Edge Technology, Inc. Pulsed mode apparatus with mismatched battery
WO2014051872A1 (en) * 2012-09-26 2014-04-03 Front Edge Technology, Inc. Plasma deposition on a partially formed battery through a mesh screen
US10008739B2 (en) 2015-02-23 2018-06-26 Front Edge Technology, Inc. Solid-state lithium battery with electrolyte

Also Published As

Publication number Publication date
KR101312690B1 (en) 2013-09-27
JP2013189711A (en) 2013-09-26
JP2010283360A (en) 2010-12-16
KR20040065222A (en) 2004-07-21
JP2005510045A (en) 2005-04-14
KR20100051882A (en) 2010-05-18
JP2015201662A (en) 2015-11-12
KR101179727B1 (en) 2012-09-04
CN1656243B (en) 2010-06-16
CN101847598B (en) 2012-06-20
KR20100049710A (en) 2010-05-12
KR100993046B1 (en) 2010-11-08
JP5296956B2 (en) 2013-09-25
JP5876213B2 (en) 2016-03-02
CN1656243A (en) 2005-08-17
JP5960087B2 (en) 2016-08-02
KR20120043163A (en) 2012-05-03
CN101847598A (en) 2010-09-29
JP6336945B2 (en) 2018-06-06

Similar Documents

Publication Publication Date Title
KR101179726B1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR100843514B1 (en) Self-ionized plasma for sputtering copper
US7504006B2 (en) Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US7048837B2 (en) End point detection for sputtering and resputtering
US20030116427A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
TWI328258B (en) Aluminum sputtering while biasing wafer
US10047430B2 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
WO2003042424A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 7