CN101847598B - Self-ionized and inductively-coupled plasma for sputtering and resputtering - Google Patents

Self-ionized and inductively-coupled plasma for sputtering and resputtering Download PDF

Info

Publication number
CN101847598B
CN101847598B CN2010101634307A CN201010163430A CN101847598B CN 101847598 B CN101847598 B CN 101847598B CN 2010101634307 A CN2010101634307 A CN 2010101634307A CN 201010163430 A CN201010163430 A CN 201010163430A CN 101847598 B CN101847598 B CN 101847598B
Authority
CN
China
Prior art keywords
target
sputter
layer
power level
under
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2010101634307A
Other languages
Chinese (zh)
Other versions
CN101847598A (en
Inventor
P·丁
R·陶
Z·徐
D·C·吕本
S·伦加拉简
M·A·米勒
A·孙达拉简
X·唐
J·C·福斯特
J·傅
R·C·莫斯利
F·陈
P·戈帕尔拉亚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/993,543 external-priority patent/US6610184B2/en
Priority claimed from US10/202,778 external-priority patent/US20030116427A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101847598A publication Critical patent/CN101847598A/en
Application granted granted Critical
Publication of CN101847598B publication Critical patent/CN101847598B/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3457Sputtering using other particles than noble gas ions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics

Abstract

A magnetron sputter reactor (410) and its method of use, in which SIP sputtering and ICP sputtering are promoted is disclosed. In another chamber (412) an array of auxiliary magnets positioned along sidewalls (414) of a magnetron sputter reactor on a side towards the wafer from the target is disclosed. The magnetron (436) preferably is a small one having a stronger outer pole (442) of a first polarity surrounding a weaker inner pole (440) of a second polarity all on a yoke (444) and rotates about the axis (438) of the chamber using rotation means (446, 448, 450). The auxiliary magnets (462) preferably have the first polarity to draw the unbalanced magnetic field (460) towards the wafer (424), which is on a pedestal (422) supplied with power (454). Argon (426) is supplied through a valve (428). The target (416) is supplied with power (434).

Description

Be used for the sputter and the self-ionized and inductively coupled plasma of sputter again
The application is for dividing an application, and the applying date of original application is that to be 02827101.7 (PCT/US2002/036940), denomination of invention be " be used for sputter and the self-ionized and inductively coupled plasma of sputter " again for November 14, application number in 2002.
Related application
This application is the continuous application of the part application of dividing an application of the application serial No.09/414614 (grant number is U.S. patent No.6398929) that submits in the pending application sequence number No.09/685978 that submitted on October 10th, 2000, on October 8th, 1999; It is the continuous application of the part application of the pending application sequence number No.10/202778 that submitted on July 25th, 2002 (provisional application 60/316137 that this application requires to submit to August 30 calendar year 2001 and 60/342608 the priority of submitting to December 21 calendar year 2001); And be the continuous application of the part application of the pending application sequence number No.09/993543 that submits to November 14 calendar year 2001, the whole of these applications are incorporated into for referencial use.
Technical field
The present invention is generally about sputter and sputter again.More particularly, the sputtering sedimentation of material and the sputter again of deposition materials during the present invention forms about semiconductor integrated circuit.
Background technology
Semiconductor integrated circuit generally includes multiple layer metal (metallization) between a large amount of active semiconductor devices, electrical connection to be provided.Senior integrated circuit especially for microprocessor those, can comprise five layers or more metal levels.In the past, aluminium is welcome metal layer material, but has developed the metal level of copper as senior integrated circuit.
Typical metal level has been shown in the profile of Fig. 1.Lower floor 110 comprises conductive component 112.If lower floor 110 is the lower floor's dielectric layer such as silicon dioxide or other insulating material, conductive component 112 can be lower floor's copper metal layer, and the vertical component of upper metal layers is referred to as path (via), two metal levels because it interconnects.If lower floor 110 is a silicon layer, conductive component 112 can be doped silicon region, and the vertical component that is formed on the upper strata metal in the hole is referred to as contact (contact), because it electrically contacts silicon.Upper strata dielectric layer 114 is deposited on lower floor's dielectric layer 110 and the lower metal layer 112.Can also have and comprise linear and other hole shape groove.Equally, in dual damascene (dual damascene) and similar interconnection structure, be described below, the hole has complicated shape.In some applications, the dielectric layer extension cannot be passed in the hole.Via hole is only mentioned in following discussion, and still, in most applications, this discussion is equally applicable to the hole of other type, wherein has only several modifications well known in the art hole.
Routinely, dielectric is through utilizing the silicon dioxide of tetraethyl orthosilicate salt (TEOS) as the plasma enhanced chemical vapor deposition formation of precursor.Yet, can consider the low-k materials and the deposition technique of other composition.Some low K dielectrics in exploitation can be depicted as silicate, such as fluorinated silicate glass.After this, only directly describe silicate (oxide) dielectric, but it is contemplated that other dielectric composition of use.
In the dielectric situation of silicate, use fluorine-based plasma etch process etch via hole in upper strata dielectric layer 114 usually.In senior integrated circuit, the width of via hole can be 0.18 μ m or littler.The thickness of dielectric layer 114 is at least 0.7 μ m usually, and is its twice sometimes, so that the aspect ratio in hole is 4: 1 or bigger.Advised 6: 1 or bigger aspect ratio.In addition, in most applications, via hole should have vertically profiling (profile).
On the bottom surface in hole and side and dielectric layer 114, deposit backing layer (liner layer) 116.Backing layer 116 can be carried out several functions.It can be as the adhesive layer (adhesion layer) between dielectric and the metal level, because metal film is easy to peel off from oxide.It can also be as the barrier layer that prevents counterdiffusion between oxide-base dielectric and metal.It can also be used as seed crystal and forming core layer, to promote possible low temperature reflux and the even growth forming core that makes the inculating crystal layer that separates evenly bonding and growth and Metal Deposition filler opening.Can deposit one or more layers backing layer, wherein one deck can mainly be used as the barrier layer and main adhesive, seed crystal or the forming core layer of being used as of other layer.
For example, then on backing layer 116 deposition such as the conductive metal interconnect layer 118 of copper with the top of filler opening and dielectric layer 114.Through selecting the planar section of etch metal layers 118, conventional aluminum metal is formed the horizontal interconnect figure.Yet the technology that is used for the copper metal that is called dual damascene makes hole shape become two coupling parts at dielectric layer 114, first for the narrow gap second that passes dielectric bottom in surface portion with the broad groove of via interconnects.After Metal Deposition, carry out chemico-mechanical polishing (CMP), the softer copper that is exposed on the dielectric oxide is removed in this chemico-mechanical polishing, but during to harder oxide, promptly stops.As a result, the groove similar in appearance to a plurality of filling copper on the upper strata of the conductive component 112 of nearest lower floor is isolated from each other.The groove of filling copper is as the horizontal interconnect between the path of filling copper.The needs that combine to have eliminated etch copper of dual damascene process and CMP.Having developed several kinds is used for dual damascene and has the layer structure and the etch sequence of the metal structure of similar manufacturing needs with other.
Such as the lining and filling vias hole and similar high-aspect-ratio structure that appear in the dual damascene, the continuous increase of aspect ratio along with them demonstrates lasting challenge.4: 1 aspect ratio is commonplace, and this value can further increase.Aspect ratio used herein is defined as the ratio of the narrowest place width in the degree of depth and the hole in hole, and the narrowest place in hole is usually near its top surface.0.18 μ m duct width is commonplace, and should value can further reduce.For the senior copper-connection that is formed in the oxide dielectric, the formation on barrier layer is easy to clearly separate with forming core and inculating crystal layer.Diffusion impervious layer can be formed by the bilayer of tantalum/tantalum nitride (Ta/TaN), tungsten/tungsten nitride (W/WN) or titanium/titanium nitride (Ti/TiN) or other structure.Usually the thickness on barrier layer is 10 to 50nm.For copper-connection, one deck or more copper layers have been found to deposit to realize that forming core and seed crystal function are very favourable.
The deposition of backing layer or metal through conventional physical vapor deposition (PVD) also is referred to as sputter, and is very fast.DC magnetron sputtering reactor have form by the metal of wanting sputtering sedimentation and to it target of electric power is provided by the DC power supply.Magnetron projects the reactor part of closing on target by scanning and with its magnetic field near the back side of target, to increase the density of there plasma, increase sputter rate thus.Yet, the main sputtered neutral atom of conventional DC sputter (compare with other type sputter that will introduce it is referred to as PVD).Ion concentration among the general PVD is usually less than 10 9Cm -3PVD is easy to that also atom sputtering is wide angle and distributes (wide angular distribution), and the normal about target is cosine relation usually.This wide distribution (wide distribution) can be unfavorable for filling dark and narrow via hole 122, so that as shown in Fig. 2, and deposited barrier layer 124 wherein.Sputter particles outside a large amount of angles (off-angle sputter particle) can cause layer 126 preferential deposition, and turning (upper corner) on every side and form and to stretch out (overhangs) 128 on hole 122.Big stretching out can be limited the sidewall 130 that gets into hole 122 and cause hole 122 and insufficient covering of bottom 132 further.And, stretch out 128 and in filler opening is built bridge (bridge) hole 122 and the metal in hole 122 before, produce space 134.In case form space 134, be difficult to usually through with METAL HEATING PROCESS near its fusing point with its backflow (reflow).Even little space also can cause integrity problem.If plan is such as carrying out second metal deposition step through plating, the deposition that the stretching out of bridge formation can make subsequently is difficulty more.
The method that problem is stretched out in a kind of improvement is long (long-throw) sputter of throwing, wherein with sputtering target and far away relatively at interval by the wafer of sputter-coated or other substrate.For example, the interval of target to wafer can be at least 50% of a wafer diameter, is preferably greater than 90%, and more preferably greater than 140%.As a result, the outside of angle of sputter profile divides preferential directive locular wall, but angle middle body directive wafer basically still.Rescinded angle distribute (truncated angular distribution) can cause that the more parts sputter particles injects in the hole 122 deeply, and reduce to stretch out 128 degree.Through realizing similar effect locating collimator (collimator) between target and the wafer.Because collimator has the hole of a large amount of high aspect ratios, sputter particles is easy to bombard the sidewall of collimator outside the angle, and the particle of angle central authorities facilitates penetration of.Long throw target and collimator all reduce usually to arrive wafer sputter particles flow and so be easy to reduce sputter deposition rate.Along with throwing lengthening or along with making collimation (collimation) more be strict in adapting to the via hole that increases aspect ratio, thisly reduce to become more remarkable.
And can limit the length that increases long throwing sputter.Under the argon pressure of several millitorrs that in the PVD sputter, use usually, along with the increase at interval of target to wafer, argon disperses the possibility of sputter particles bigger.Therefore, can reduce how much selections (geometric selection) of particle forward.Another problem of long throwing and collimation is the metal flow that reduces, and the metal flow that reduces can cause longer deposition cycle, and this will not only can reduce output, but also can increase the maximum temperature of the wafer experience during the sputter.In addition, long throwing sputter can reduce to stretch out and be provided in the middle of the sidewall and the good covering on top, but lower sidewall and bottom covering maybe be not so satisfactory.
Another technology that is used for deep hole lining and filling is to be referred to as the sputtering technology that ionized metal is electroplated (IMP), utilizes the sputter of high-density plasma (HDP).General high-density plasma is meant that the average plasma density of the whole plasma except that plasma sheath is at least 10 11Cm -3, and preferably be at least 10 12Cm -3In the IMP deposition, for example, through the RF power supply is electrically coupled to plasma, this plasma forms the plasma source region of separating from from the electric coil around the plasma source region that is wrapped between target and the wafer in away from the district of wafer.The plasma that produces by this way be called inductively coupled plasma (inductively coupled plasma, ICP).Have the commercial HDP PVD reative cell of the HDP chamber of this structure from the Applied Materials Inc of the Santa Clara of California, USA.Can utilize other HDP sputter reative cell.Higher power is the ionized argon working gas not only, also significantly increases the ionized fraction (ionization fraction) of sputtered atom, promptly produces metal ion.Wafer or self-charging to negative potential or for RF biasing to control its DC electromotive force.Along with metal ion near the negative bias wafer, metal ion is accelerated and passes plasma sheath (plasma sheath).As a result, their angle be distributed in forwards in the more point that becomes, to such an extent as to they are drawn in the via hole dearly.In the IMP sputter, stretching out almost becomes problem, and the bottom covers and side walls covers higher relatively.
Usually under such as 30 millitorrs or higher elevated pressures, carry out the IMP sputter that utilizes remote plasma source.Higher pressure and high-density plasma can produce very large argon ion quantity, and this argon ion is accelerated and passes plasma sheath to will be by the surface of sputtering sedimentation.In the film that the energy of argon ion will be formed along with the direct entering of heat and lose.Under the high temperature that in IMP, experiences, even under 50 to 75 ℃ of temperature that kind is low, copper also can with tantalum nitride and other barrier material dewetting (dewet).In addition, argon is easy to implant the film in the formation.IMP can deposit as in the cutaway view of Fig. 3 136 shown in copper film, this copper film has coarse or discontinuous configuration of surface.If like this, this film can not promote the hole to fill, particularly when lining is used as the electrode of electroplating.
The another kind of technology of plated metal is that lasting (sustained) is from sputter (SSS); As in the u.s. patent application serial number No.08/854008 that submitted on May 8th, 1997 and by United States Patent(USP) No. 6183614B1, sequence number No.09/373097 that Fu submitted on August 12nd, 1999, describing, incorporate the whole of them here into for referencial use by people such as Fu.For example, under the sufficiently high plasma density of contiguous copper target, produce enough highdensity copper ion so that copper ion to exceed one income (yield over unity) sputter copper target again.The supply of argon working gas can be eliminated or be reduced at least very low pressure and the copper plasma continues then.Aluminium is considered to not allow to be subject to the influence of SSS.Some other materials also can stand SSS such as palladium (Pd), platinum (Pt), silver (Ag) and gold (Au).
Lasting copper or other metal from sputtering sedimentation through copper has dramatic benefit.Sputter rate among the SSS trends towards two-forty.Existence can increase the directivity of sputter stream (sputter flux) like this through plasma sheath and towards the high-copper ionic fraction that biasing wafer is accelerated.Constant pressure can be very low, and it is usually by leakage (leakage) restriction of the refrigerating gas of dorsal part, reduces wafer thus by the argon ion heating and reduce the dispersion of the metallic particles that is caused by argon.
Developed and promoted to continue technology and reaction chamber structure from sputter.Observe, although benefit from these identical technology and structures owing to be lower than one sputter output again, maybe since the part that produces the self-ionized plasma (SIP) of part from sputter, some sputter materials are without undergoing SSS.In addition, even can obtain to have no the SSS of argon working gas, but be favourable with low and limited argon pressure sputter copper usually.Therefore, the SIP sputter be used for much more more comprise reduce or odd-job make the first-selected term of general sputtering technology of gas pressure, so SSS is a kind of of SIP.Described the SIP sputter in United States Patent (USP) of submitting to by people such as Fu on October 8th, 1,999 6290825 and the U.S. Patent application of submitting to by people such as Chiang 09/414614, incorporated the whole of them here into for referencial use.
The various quite high-density plasmas (HDP) of distortion to produce contiguous target of conventional capacitive coupling magnetron sputtering reactor are used in the SIP sputter, plasma is extended and with the metal ion wafer that leads.The DC power of relative a large amount is applied on the target, for example, 20 to 40 kilowatts of chambers that are used to the 200mm chip design.And, magnetron have relative small size so that with target power output concentrate on magnetron than small size, therefore increase the power density that puts on the HDP zone of closing on magnetron.The small size magnetron is arranged on a side of target central authorities and it is rotated about central authorities, so that sputter more uniformly and deposition to be provided.
In a kind of SIP sputter type, magnetron has unbalanced magnetic pole, and the strong external magnetic poles of common a kind of magnetic polarity is surrounded the more weak interior permanent magnet of another kind of magnetic polarity.The magnetic field line that sends from strong magnetic pole can resolve into the conventional levels magnetic field of closing on target surface (target face) and the vertical magnetic field that extends to wafer.The vertical magnetic field line is towards propagating plasma near wafer and with the metal ion wafer that leads.And, the vertical magnetic field line that approaches locular wall in order to stop electronics from plasma to earth-shielded diffusion.The electron loss that reduces increases plasma density especially effectively and passes to handle and propagate plasma at interval.
Under the situation of not using the RF inductance coil, accomplish the SIP sputter.The metal ion of the enough ionization considerable parts in little HDP zone estimates that this is splashed to coating in the deep hole effectively between 10 to 25%.Particularly in the macroion part, Ionized sputter metal atoms is bombarded back target and further sputter metal atoms.As a result, under the situation of the destruction that does not have plasma, can reduce the argon operating pressure.Therefore, the argon heating problems of wafer is less, and the possibility that reduces metal ion and ar atmo collision is arranged, but this will not only reduce ion concentration but also randomization metal ion sputter figure.
Another advantage that is used for the uneven magnetron of SIP sputter is that the magnetic field from stronger outer annular magnetic pole projects in the Cement Composite Treated by Plasma zone towards wafer out and away.This projection field have the Cement Composite Treated by Plasma zone largely on support strong plasma and with the advantage of ionized sputtering particle guiding wafer.The u.s. patent application serial number that Wei Wang submitted on July 10th, 2000 is the use that discloses the major part coaxial solenoids on every side that is wrapped in the Cement Composite Treated by Plasma zone among the No.09/612861, extends the magnetic-field component to wafer to produce from target.Magnetic coil the SIP sputter is combined in long throw in the sputterer very effective, that is, because auxiliary magnetic field supports plasma and further leads the ionized sputtering particle and between target and wafer, have a kind of reactor than large-spacing.Lai in United States Patent (USP) 5593551, disclose a kind of near target than small coil.
Yet the SIP sputter still will improve.Its basic problem is an available a limited number of variable in the optimization magnetic field structure.Magnetron should be little so that the power density of maximization target, and target needs uniformly by sputter.Magnetic field should have the strong horizontal component of closing on target the electron capture (electron trapping) with the maximization there.Some component in magnetic field should throw towards wafer from target, to guide Ionized sputter particles.The coaxial magnetic coil of Wang has only solved wherein subproblem.The effect of disclosed this effect of horizontal arrangement permanent magnet solution is also very poor in the United States Patent (USP) 5593551 by Lai.
Can also come plated metal through the chemical vapor deposition (CVD) that utilizes metal-organic precursor, such as the commercial Cu-HFAC-VTMS metal-organic precursor that can be mixed with the commodity CupraSelect name by name of additional additive from the Schumacher acquisition.Well known to a person skilled in the art that hot CVD technology can use this precursor, but also can use plasma enhanced CVD (PECVD) also passable.Even in the hole of high aspect ratio, CVD handles can deposit approximate conforma film (conformal film).For example, through the thin inculating crystal layer of film conduct of CVD deposition, PVD or other technology are used for last hole filling then.Yet it is coarse to observe the CVD copper seed layer usually.Coarsely can make it can not be used as inculating crystal layer, and especially, can weaken it promotes the low temperature reflux of copper after being deposited in the hole deeply as reflux layer (reflow layer) effect.Equally, coarse showing can need the thicker CVD copper layer of the 50nm order of magnitude to come to apply reliably continuous inculating crystal layer.For the narrower via hole of present consideration, the CVD inculating crystal layer of specific thicknesses can almost fill up this hole.Yet the complete filling of carrying out through CVD can suffer center gap, and this can influence the reliability of device.
Another kind of combination technology uses IMP deposition of thin copper forming core layer, is referred to as to dodge (flash) deposition sometimes, and the CVD copper seed layer after depositing on the IMP layer.Yet shown in Fig. 3, IMP layer 136 maybe be coarse, and the CVD layer is conformally being followed coarse substrate easily.Therefore, the CVD layer on the IMP layer is also coarse easily.
Electrochemistry plating (ECP) is the another kind of copper deposition technique of developing.In the method, wafer is immersed in the copper electrolysis cells.With respect to the groove electrical bias, and in common conformal process, copper electrochemical is deposited on the wafer with wafer.Can also utilize electroless plating techniques.Plating and related process thereof are favourable, because they can under atmospheric pressure be carried out with simple equipment, deposition rate is high, and liquid handling is compatible with chemico-mechanical polishing subsequently.
Yet, electroplate the needs that influence himself.Seed crystal and adhesive layer are arranged on the top, barrier layer such as Ta/TaN usually, are bonded on the barrier material so that the copper forming core of electroplating also will rise.And, the electroplated electrode that need between dielectric layer 114 and via hole 122, form around the general insulation system of via hole 122.Tantalum and other barrier material are generally more weak electric conductor relatively, and in the face of the common nitride subgrade on the barrier layer 124 of via hole 122 (comprising copper electrolytes) for the conductivity in the long transverse current path of needs in plating even more.Therefore, the inculating crystal layer and the adhesive layer that deposit good conductivity are usually electroplated the bottom in filling vias hole effectively with promotion.
The copper seed layer that is deposited on the barrier layer 124 generally is used as electroplated electrode.Yet, preferably continuous, level and smooth and uniform film.Otherwise electroplating current can only flow to by copper region covered or preferred streams to by thicker copper region covered.Copper seed crystal layer shows the difficulty of himself.The inculating crystal layer of IMP deposition provides good bottom to cover (coverage) in the hole of high aspect ratio, but its sidewall covers little so that final film is coarse or discontinuous.The thin seed crystal of CVD deposition is also very coarse.The continuity that thicker CVD inculating crystal layer or the CVD copper on the IMP copper need blocked up inculating crystal layer to need with acquisition.Equally, electroplated electrode is at first operated on the sidewall in whole hole so that obtain the high sidewall of expectation and is covered.The long throwing provides sufficient sidewall to cover, and be abundant inadequately but the bottom covers.
Summary of the invention
One embodiment of the present of invention relate to through in a chamber, combining longly throws sputter, self-ionized plasma (SIP) sputter, inductively coupled plasma (ICP) sputter and coil sputter deposits the lining material such as tantalum/tantalum nitride again.The long distance that is characterized as target to substrate of sputter and the higher rate of substrate diameter of throwing.The long SIP of throwing sputter promotes the deep hole of ionization and neutral deposition materials composition to apply.ICP again sputter can reduce deep hole the thickness of bottom cover layer to reduce contact resistance.Again during the sputter, the sputter of ICP coil can deposit protective layer at ICP, particularly need be through the zone of the hole opening of sputter attenuate again such as closing on.
Another embodiment of the present invention relate to through in a chamber, combine long throw sputter, (SIP) sputter of self-ionized plasma and SIP again sputter come the interconnection material of sputtering sedimentation such as copper.Once more, the long SIP of throwing sputter promotes the deep hole of ionization and neutral copper component to apply.SIP sputter again can redistribute deposition to promote the good bottom corners covering of deep hole.
SIP is easy to through being lower than 5 millitorrs, preferably being lower than 2 millitorrs and promote more preferably less than the low pressure of 1 millitorr.Particularly under these low pressure, SIP is easy to promote through the magnetron with relative small size, increases the power density of target thus, and through having the magnetron of asymmetric magnet, cause magnetic field also to penetrate towards substrate.Can use such technology to deposit inculating crystal layer, to promote forming core or the seeding (seeding) after sedimentary deposit, it is particularly useful to form narrow and dark path that runs through dielectric layer or contact.Deposit another layer through electrochemistry plating (ECP).In another embodiment, deposit another layer through chemical vapor deposition (CVD).
One embodiment comprises around the chamber that is arranged near wafer and have an auxiliary magnet array in the magnetron sputtering reactor of the first vertical pole property.Magnet can be the electromagnet array of permanent magnet or the coil axes with central shaft along the chamber.
In one embodiment, the rotatable magnetron that has a strong external magnetic poles of first magnetic polarity surrounds the weak magnetic pole of opposite polarity.Auxiliary magnet is preferably placed at processing half position at interval near wafer, pulls to wafer with imbalance (unbalanced) part with magnetic field from external magnetic poles.
Can divide multistep to promote the sputter again in the SIP chamber, wherein in one embodiment, between depositional stage, increase wafer is executed bias voltage.The power that selectively, between depositional stage, can be reduced to target is with the deposition of the bottom corners that redistributes path and other hole.
Following discussion others of the present invention.Therefore should be appreciated that the aforementioned brief description that is merely some embodiments of the present invention and aspect.To introduce additional embodiments of the present invention and aspect below.Be also to be understood that and to make a large amount of changes to disclosed embodiment not breaking away under the spirit and scope of the present invention.Therefore aforementioned general introduction does not mean that restriction scope of the present invention.More rightly, scope of the present invention is only decided by appended claims and its equivalent.
Description of drawings
Fig. 1 is the profile of the path of being filled by the metallization at dielectric layer top implemented in the prior art.
Fig. 2 is the profile of the path of metallization during the filling vias, and this metallization is stretched out and sealed this via hole.
Fig. 3 is the profile with path of the coarse inculating crystal layer through the ionized metal electroplating deposition.
Fig. 4 is the sketch map of the sputtering chamber that can use of the embodiment of the invention.
Fig. 5 is the sketch map of electrical interconnection of each several part of the sputtering chamber of Fig. 4
Fig. 6-9B is path lining and metallization according to an embodiment of the invention and is used for the path lining and the cutaway view of metallized formation technology.
Figure 10 is the schematic cross sectional views that comprises the sputterer of auxiliary magnet array of the present invention.
Figure 11 is the upward view of roof magnetron of the sputterer of Figure 10.
Figure 12 is the front view of embodiment that supports the assembly of auxiliary magnet array.
Figure 13 is that auxiliary magnet array comprises the schematic cross sectional views of the sputterer of electromagnet array therein.
Figure 14 A and 14B are the cutaway views of the formation technology of path inculating crystal layer according to an embodiment of the invention and this path inculating crystal layer.
Figure 15 is the sketch map of another sputtering chamber that can use of the present invention.
Figure 16 is the cross-sectional view taken that Figure 15 of target, shielding, insulator and target O-ring is detailed.
Figure 17 is the chart that length and being used to that the shielding of floating is shown supports the relation between the minimum pressure of plasma.
Figure 18 is the cutaway view of via metalization according to another embodiment of the present invention.
Figure 19 and 20 describes to pass the curve chart of the ion flow flow of wafer for two different magnetrons and different operating conditions.
Figure 21 is the cutaway view of via metalization according to another embodiment of the present invention.
Figure 22 is the cutaway view of via metalization according to another embodiment of the present invention.
Figure 23 is the flow chart that reduces the plasma igniting order (plasma ignition sequence) of wafer heating.
Figure 24 is the cutaway view of the via metalization that forms according to technology according to another embodiment of the present invention.
Figure 25 is the sketch map of sputtering chamber according to another embodiment of the present invention.
Figure 26 is the sketch map of electrical interconnection (electrical interconnection) of each several part of the sputtering chamber of Figure 25.
Figure 27 is the sketch map of the integrated handling implement (integrated processing tool) of embodiment of the present invention above that.
Embodiment
The metal level that has the backing layer of expectation appearance profile during the distribution that sidewall in DC magnetron sputtering reactor and bottom cover is suitable for making such as hole in dielectric layer or path.Sputtering sedimentation gets into SIP film in the high aspect ratio path can have good upper side wall and cover and be not easy to produce and stretch out.If desired, ICP that can be through path bottom sputter again comes attenuate or eliminates the bottom to cover.According to an aspect of the present invention, can in reactor, obtain the advantage of two types of sputters, this reactor combine can SIP and ICP plasma generation Technology Selection in separating step aspect, an example of this type of reactor is generically and collectively referred to as 150 in Fig. 4.In addition, top that can protective lining layer sidewall avoid through sputter be positioned at indoor ICP coil 151 again sputter so that coil method is deposited on the substrate.
Reactor 150 can also be used to utilize SIP and ICP, preferably the two combination but either-or, and the sputtering sedimentation metal level of the plasma that alternately produces is such as barrier layer or backing layer.Ionization in DC magnetron sputtering reactor and neutral atom flow can be suitable for producing coating in hole or the path in dielectric layer.As previously mentioned, the SIP film of sputtering sedimentation in the hole of high aspect ratio has favourable upper side wall and covers and be not easy to produce and stretch out.On the other hand, the plasma of ICP generation can increase metal ionization so that sputtering sedimentation can have good bottom and bottom corners covering to the film in this hole.According to a further aspect in the invention, in such as the reactor of reactor 150, can obtain the advantage of two kinds of sputter types, in this reactor, combine aspect the selection of two kinds of deposition techniques.In addition, if desired, coil method also can be made contributions to sedimentary deposit by sputter.
In the unsettled U.S. application serial No.10/202778 (attorney docket No.4044) that submitted on July 25th, 2002, describe reactor 150 and the various technology that is used to form lining, barrier layer and other layer, and all incorporate it into hereinafter with for referencial use.Described like the there, the reactor of the embodiment that illustrates 150 is for based on the DC magnetron-type reactor of transforming (modification) from the available Endura PVD reactor of the Applied Materials Inc of the Santa Clara of California.This reactor comprise be generally metal and electrical ground, through target isolator 154 with have the vacuum chamber 152 that seals by PVD target 156 that will the surface portion that the material of sputtering sedimentation is formed on wafer 158 at least.Though it is smooth that the sputtering surface of target is depicted as in the accompanying drawings, be to be appreciated that the target sputtering surface can have the arcuation of comprising and columned different shape.Wafer can be for comprising 150,200,300 and the different size of 450mm.The reactor 150 that illustrates can be to grow the self-ionized sputter of throwing pattern (SIP).This SIP pattern can be used for an embodiment, covers the sidewall that is primarily aimed at the hole in this embodiment.The SIP pattern can also be used to obtain good bottom and cover.
Reactor 150 also has RF coil 151, and this coil gets into RF energy inductance coupling high the inside of reactor.The RF energy that is provided by coil 151 will cover to keep plasma to utilize Ionized argon that sedimentary deposit is splashed to thin bottom part again such as the precursor gases ionization of argon, or covers to improve the bottom with the deposition materials of ionized sputtering.In one embodiment; Not under elevated pressures, to keep plasma such as the 20-60 millitorr (mTorr) that is generally used for high density IMP technology; This pressure preferably remains under the relatively low pressure, for example, and such as 1 millitorr that is used for deposition of tantalum-nitride or be used for 2.5 millitorrs of tantalum deposition.Yet, depending on application, the pressure in 0.1 to 40 millitorr scope is suitable.As a result, think that the ionization speed in reactor 150 considerably is lower than the ionization speed of general high density IMP technology.This plasma can be used for deposition materials or both of sputtering depositing layer or ionized sputtering again.In addition, can the sputter coil 151 self, with during the sputter again that deposits to the material on the wafer, on wafer, protective finish is provided, or additional deposition materials is provided in addition to those zones of not expecting the attenuate deposition materials.
In one embodiment, think that in multistep technology, can obtain good upper side wall covers and the bottom corners covering, wherein in a step, coil is applied RF power seldom or do not apply.Like this, in a step, the ionization of the deposition materials of sputtering target takes place mainly as the result of self-ionized (self-ionization).As a result, think that can obtain good upper side wall covers.In second step and preferred in identical chamber, can apply RF power and apply low-power or do not apply power to coil 151 to target.In this embodiment, almost seldom or the ionization meeting that does not have material sputter and a precursor gases from the target 156 take place mainly as the result of the RF energy through coil 151 inductance coupling high.The ICP plasma can through etching or again sputter come direct attenuate or eliminate the bottom to cover resistance with the barrier layer that reduces the bottom, hole.In addition, can sputter coil 151 not expect the place deposition protective material of attenuate.In one embodiment, pressure keeps lower so that the density of plasma is low to reduce the ionization from the sputter-deposited materials of coil.As a result, the sputtered lines loop material can mainly remain neutrality so that major sedimentary on upper side wall, is not thinned to protect those parts.
Because the reactor that illustrates 150 can self-ionized sputter, deposition materials can ionization, as the result of the plasma that keeps of the sputter through target 156 self also through RF coil 151 not only.When expectation deposits the layer of good bottom covering, think that the SIP of combination and ICP ionization technology are that good bottom and bottom corners covers the ionized material that provides sufficient.Yet, think that also the lower ionization speed of the low pressure plasma that provided by RF coil 151 makes enough neutral sputter materials can keep non-ionized so that be deposited on upper side wall.Like this, think that the source array of ionized deposition material can provide good upper side wall to cover and good bottom and bottom corners covering, like following more detailed explanation.
In the embodiment that selects, think to obtain in multistep technology that good upper side wall covers, the bottom covers and the bottom corners covering, in this technology, a step, almost seldom or do not have RF power to be applied to coil.Like this, in a step, the ionization meeting of deposition materials takes place mainly as self-ionized result.As a result, think that can obtain good upper side wall covers.In second step and preferred in identical chamber, RF power is applied to coil 151.In addition, in one embodiment, the pressure that fully raises is so that keep high-density plasma.As a result, think that in second step, can obtain good bottom and bottom corners covers.
Wafer chuck 160 is clamped in wafer 158 on the base electrode (pedestal electrode) 162.Can provide impedance heated device, refrigeration groove (refrigerant channel) and the heat transfer gas chamber in pedestal 162 (thermal transfer gas cavity) so that the temperature of pedestal can be controlled in the temperature less than-40 degrees centigrade, make chip temperature thus by similarly control.
For obtain to have the part neutral current than the deep hole coating, can increase the distance between target 156 and the wafer 158 so that operate to grow the throwing pattern.During use, the spacing of target to substrate is half the greater than substrate diameter generally.In the illustrated embodiment; It is preferably greater than wafer diameter 90% (for example; The interval of 190mm is used for the wafer of 200mm, and the interval of 290mm is used for the wafer of 300mm), but think and comprise greater than 100% and also be suitable greater than 140% 80% spacing greater than substrate diameter.For many application, think that 50 to 1000mm target to wafer pitch is suitable.Long throwing the in conventional sputter reduces sputter deposition rate, but Ionized sputter particles does not suffer this a large amount of minimizing.
The dark space shield (darkspace shield) 164 of separating through second dielectric shielding slider 168 remains on the chamber 152 interior influences that do not receive sputter material with protection locular wall 152 with chamber shielding (chamber shield) 166.In the illustrated embodiment, dark space shield 164 shields 166 ground connection with the chamber.Yet in certain embodiments, non-ground connection level can floated or be biased into to shielding.The plus earth plane that chamber shielding 166 is also served as with respect to negative electrode target 156, capacitive character supports plasma thus.If allow the dark space shield electricity to float,, some electronics accumulate (build up) there to such an extent as to can being deposited on the dark space shield 164 negative electrical charge.Think that negative potential not only can repel further electronic deposition, and if desired, electronics is limited to main plasma zone, reduced electron loss like this, keep low voltage sputtering, and increase the density of plasma.
Through a plurality of coil supports (standoff) 180 support coils 151 in shielding 164, coil support is with coil 151 and support shielding 164 electric insulations.In addition; Bearing 180 has the passage of complications (labyrinthine passage); This passage allow electric conducting material from target 110 to coil support repeated deposition electric conducting material on 180; Prevent that simultaneously the whole conductive paths of deposition materials from coil 151 to shielding 164 from forming, this conductive path can be shorted to shielding 164 (its common ground connection) with coil 151.
In order coil to be used as circuit paths, RF power is worn to the end of coil 151 through vacuum-chamber wall and through shielding 164.Vacuum lead-in wire (feedthroughs) (not shown) extends through vacuum-chamber wall so that the RF electric current from generator to be provided, and this generator is preferably placed at the outside of vacuum pressure chamber.Pass shielding 164 through lead-in wire bearing 182 and apply RF power (Fig. 5) to coil 151; Lead-in wire is as coil support 180; Has tortuous passageway, to prevent maybe coil 151 be shorted to the path formation of the deposition materials from coil 151 to shielding 164 of shielding 164.
Plasma dark space shield 164 is generally cylindrical.Plasma chamber shielding 166 is generally bowl-type and comprises general cylindrical, vertical orientated wall 190, and bearing 180 and 182 is connected in this wall 190 with insulation support coils 151.
The electrical connection sketch map of the plasma production device of the embodiment shown in Fig. 5.In order to attract ion, preferably add back bias voltage through for example 200 pairs of targets 156 of variable DC power supply under the DC of 1-40 kilowatt (kw) power by plasma generation.Power supply 200 with target 156 back bias voltages to approximately-400 to-600VDC fighting with point and keep plasma with respect to chamber shielding 166.Usually the target power output between using 1 and 5 kilowatt is lighted a fire plasma and is preferred for SIP sputter described herein greater than 10 kilowatts power.For example, can use 24 kilowatts target power output to come, and can use 20 kilowatts target power output to come through SIP sputtering sedimentation tantalum through SIP sputtering sedimentation tantalum nitride.Again during the sputter, target power output can be decreased to 100-200 watt, for example, is the uniformity that keeps plasma at ICP.Selectively, if be desirably in ICP target sputter during the sputter again, target power output can remain on higher level, perhaps if desired, can it be turned off fully.
Can allow pedestal 162 and wafer 158 electricity to float, but negative DC automatic bias still exist above that.Selectively, can apply back bias voltage, come substrate 158 is applied back bias voltage so that Ionized deposition materials is attracted to substrate through the 202 pairs of pedestals 162 of power supply under-30vDC.Other embodiment can be applied to pedestal 162 with the RF bias voltage, has negative DC bias voltage on it with further control.For example, grid bias power supply 202 can be the RF power supply of work under 13.56MHz.It is provided at 10 watts to the 5 kilowatts RF power in the scope, for example, for the 200mm wafer in SIP deposition more preferably in the scope of 150 to 300 watts (W).
One end of coil 151 passes shielding 166 insulation through lead-in wire bearing 182 and is coupled to the RF power supply such as the output of amplifier and matching network 204.The input of matching network 204 is coupled in RF generator 206, and this generator provides the RF power that is similar at 1 or 1.5 kilowatt for the ICP plasma generation of this embodiment.For example, it is 1 kilowatt with the power that is used for the tantalum deposition that the power that is preferred for deposition of tantalum-nitride is 1.5 kilowatts.Preferable range is 50 watts to 10 kilowatts.Between the SIP depositional stage, if desired, can turn off the RF power of coil.Selectively, if desired, between the SIP depositional stage, can supply with RF power.
The other end of coil 151 also is coupled to ground wire through similar lead-in wire bearing 182 with passing shielding 166 insulation, preferably passes the block-condenser (blocking capacitor) 208 into variable capacitor, so that the DC bias voltage is maintained on the coil 151.Can reach therefore coil sputter rate through the DC bias voltage on DC power supply 209 control coils 151 that are coupled to coil 151, like what in United States Patent(USP) No. 6375810, describe.The DC power bracket that is fit to that is used for ICP plasma generation and coil sputter comprises 50 watts to 10 kilowatts.Preferred value is 500 watts during the coil sputter.If desired, between the SIP depositional stage, can close the DC power that drops to coil 151.
Certainly, above-mentioned power level can change according to certain applications.Can programme computer based controller 224 with power level (power level), voltage, electric current and the frequency of control according to the various power supplys of application-specific.
RF coil 151 can be positioned in the chamber so that sputter has low incidence angle when the material of coil bombards wafer than the lowland.As a result, the coil method preferential deposition at turning on the hole so that when the bottom in hole through ICP plasma those parts in protection hole during sputter again.In the illustrated embodiment, preferred, when the major function of coil provides protective finish for producing plasma with sputter wafer again with during sputter again, with coil location than from palladium more near the position of wafer.For many application, think that the spacing of 0 to 500mm coil to wafer is suitable.Yet recognize that actual position can change according to certain applications.In these were used, wherein the major function of coil was to produce plasma with the ionized deposition material, can coil more be approached the target location.Equally; The exercise question of submitting on July 10th, 1996 be Sputtering Coil for Generating a Plasma's and transfer in the application's assignee's the United States Patent(USP) No. 6368469 (Attorney Docket 1390-CIP/PVD/DV) and set forth in more detail, can also the RF coil location be become to improve the uniformity by the layer of the coil method deposition of sputter.In addition, coil can have the multiturn that forms with spiral or helical mode or have like few number of turn of single turn to reduce complexity and to reduce cost and convenient for cleaning.
Various coil support bearings can be used to the support coils that insulate with the lead-in wire bearing.Because particularly in comprise the sputter high-tension high power levels under relevant with SSS, SIP and ICP, dielectric isolation body (dieelctric islator) separates the different parts that is biased usually.As a result, can expect to protect this slider not by Metal Deposition.
The internal structure of bearing is preferably complications (labyrinth), is description more detailed among the No.09/515880 like the exercise question submitted on February 29th, 2000 for the pending application sequence number that transfers present assignee by the application of " COIL AND COIL SUPPORT FOR GENERATING A PLASMA ".Coil 151 and those parts of directly being exposed to the bearing in the plasma are preferably by processing with the material identical materials that will deposit.Therefore, if the material of deposition is processed by tantalum, then the outside of bearing is preferably also processed by tantalum.In order to promote the bonding of deposition materials, handle the metal surface that exposes through shot-peening (bead blasting), shot-peening will reduce the particle detachment from deposition materials.Except tantalum, coil and target can be processed by the various deposition materials that comprise copper (Cu), aluminium (Al) and tungsten (W).The size degree of tortuous (labyrinth) should be able to stop the formation of the complete conductive path from coil to shielding.Along with the deposition materials that conducts electricity is deposited on coil and the bearing, can form this conductive path.Should be realized that according to certain applications, other size, shape and the quantity of tortuous passageway also allow.The factor of the tortuous design of influence comprises the type of wanting deposition materials and the deposition of before bearing need be cleaned or replace, expecting.The lead-in wire bearing that is fit to can be constructed in a similar manner, except RF power will be applied to the situation of passing bolt that bearing extends or other conductive component.
Coil 151 can have overlapping but isolated end.In this arrangement, the lead-in wire bearing 182 of each end can be stacked on the direction that is parallel to the plasma chamber central shaft between vacuum chamber target 156 and the substrate clamp 162, as shown in Figure 4.As a result, the gap on the wafer can have been avoided in the RF path from coil one end to the coil other end similarly overlapping and therefore.Thinking that this arranged superposed can improve the uniformity of plasma generation, ionization and deposition, is as described in the No.09/039695 like the assignee's who transfers the application that submits on March 16th, 1998 pending application sequence number.
Support carriers 180 be distributed in the coil remainder around so that suitable support to be provided.In the illustrated embodiment, each coil has three and is the boss assembly (hub member) 504 that 90 degree separately distribute in the outside of coil separately.The quantity and spacing that is to be appreciated that bearing can change according to certain applications.
1/4 inch thick tantalum that each free 2 of the coils 151 of the embodiment that illustrates form that high-power (heavy duty) bead of single-turn circular coils crosses or copper strips are processed.Yet, can utilize other high conductive material and shape.For example, the thickness of coil can be decreased to 1/16 inch and width increases to 2 inches.Equally, if particularly expect water cooling, can utilize the pipe of hollow.
The RF generator and the match circuit that are fit to are assembly well known in the art.For example, the RF generator such as ENI Genesis series that has an ability of the optimum frequency that frequency search and match circuit and antenna be complementary is fit to.Be used to produce RF power and be preferably 2MHz, but desired extent can be in other A.C. frequency to the frequency of the generator of coil, such as, for example change under 1MHz to 200MHz and the non-RF frequency.These assemblies can also be through Programmable Logic Controller 224 controls.
With reference to figure 4, the lower column part 296 of chamber shielding 166 continues the trap (well) down to the back, top of the base 162 of supporting wafers 158.Chamber shielding 166 continues radially inwardly to get into bowl-shape part 302 then and gets into innermost column part 151 vertically upward to the height that is similar to wafer 158 but radially spaced apart in base 162 outsides.
Shielding 164,166 generally is made up of stainless steel, and their inboard can be by shot-peening or by roughening, to promote the bonding of sputtering sedimentation material above that.Yet on certain point, during the sputter that prolongs, deposition materials is accumulated to the thickness that might peel off more, produces harmful particle.Arrive should before, should clean or replace this shielding with new shielding more possibly.Yet, in most of maintenance periods (maintenance cycle), need not replace more expensive slider 154,168.And, maintenance period by the shielding peel off but not the electrical short of slider decides.
Gas source 314 is supplied with the sputter working gas through mass flow controller 316 to chamber 152, is generally chemical torpescence inert gas argon.Can make the top of working gas inlet chamber; Or as illustrate; In its bottom, every kind of form all has one or more inlet tubes that pass through the bottom of shielded enclosure shielding 166 or pass through gap 318 penetrating apertures between chamber shielding 166, wafer chuck 160 and the base 162.The vacuum pump system 320 that is connected to chamber 152 through wide pumping hole 322 keeps under low pressure.Though pressure of foundation can remain on about 10 -7Holder or lower, in conventional sputter, the pressure of working gas generally remains between about 1 and 1000 millitorrs, and in the SIP sputter, is lower than about 5 millitorrs.224 controls comprise the reactor of DC target power supply 200, grid bias power supply 202 and mass flow controller 316 based on computer control.
For effective sputter is provided, magnetron 330 is positioned at the back side of target 156.It has the opposite magnet 332,334 that links and support through yoke (magnetic yoke) 336.Magnet produces the magnetic field of the magnetron 330 in the adjacent chambers 152.The magnetic field trapped electron, and, also increase ion concentration to form high density plasma region 338 for electric neutrality.Magnetron 330 is usually through central authorities 340 rotations of motor drive shaft 342 about target 156, in the sputter of target 156, to obtain covering fully.For the high-density plasma 338 that obtains sufficient ionization density with obtain to continue from sputter, can make the power density that is transported to the zone of closing on magnetron 330 high.This can through increase from carrying of DC power supply 200 power level, for example triangle or the area of runway (racetrack) shape through reducing magnetron 330 obtain, like what in the above-mentioned patent of quoting, describe by Fu and Chiang.Whenever 60 degree triangle magnetrons approximate with it and that target central 340 consistent tops (tip) rotate only cover about 1/6 of target.Can carry out in the commercial reactor of SIP sputter, 1/4 is covered as preferred maximum.
In order to reduce electron loss, the interior permanent magnet of representing through internal magnets 332 and magnetic pole strength should not have significant aperture and is surrounded by the continuous external magnetic poles of being represented by external magnets 334 and magnetic pole strength.And for wafer 158 that the ionized sputtering particle is led, external magnetic poles should produce higher magnetic flux than interior permanent magnet.The magnetic field line trapped electron that extends and therefore with the plasma wafer 158 that more furthers.The ratio of magnetic flux should and be preferably greater than 200% at least 150%.Two embodiment of the triangle magnetron of Fu have 25 external magnets and 6 or 10 same intensity but the internal magnets of opposed polarity.Though the target surface in conjunction with smooth is described, should recognize that various unbalanced magnetrons can use to produce self-ionized plasma with various target shapes.Magnet has other shape except that triangle, comprises circular and other shape.
When importing argon in the chamber, the dc voltage difference between target 156 and chamber shielding 166 becomes plasma with the argon igniting, and positively charged argon ion attracted to electronegative target 156.Ion bombards target 156 and produces target atom or the atomic group of wanting sputter from target 156 under enough energy.Some target partickle bombardment wafers 158 and with particle deposition above that form the target material film thus.In the reactive sputtering of metal nitride, also will introduce in the chamber, and the reaction of the metallic atom of nitrogen and sputter is to form metal nitride on wafer 158 from the nitrogen in source 343.
Fig. 6-9b illustrates the continuous cutaway view that backing layer according to a further aspect in the invention forms.With reference to figure 6, interlayer dielectric 345 (for example silicon dioxide) is deposited on the first metal layer (the for example first bronze medal layer 347a) of interconnection 348 (Fig. 9 b).Then in interlayer dielectric 345 etch via 349 to expose the first bronze medal layer 347a.Can utilize CVD, PVD, plating or other similar known metal deposition technique to deposit the first metal layer, and the first metal layer passes dielectric layer via the contact, be connected to the device that is formed on following semiconductor wafer.If the first bronze medal layer 347a is exposed to oxygen; Such as from etching chamber, removing when wafer; In this etching chamber; The oxide that etching covers the first bronze medal layer is used to make the first bronze medal layer and second kind of hole that will be deposited the path between the metal level with generation, can form the copper oxide 347a ' of insulation/high impedance at an easy rate above that.Therefore, in order to reduce the impedance of copper-connection 348, can remove any copper oxide 347a ' and any processing residue in the path 349.
Removing copper oxide 347a ' before, barrier layer 351 can deposit (for example, in the sputtering chamber 152 of Fig. 4) on the interlayer dielectric 345 and on the first bronze medal layer 347a that exposes.The barrier layer 351 that preferably includes tantalum, tantalum nitride, titanium nitride, tungsten or tungsten nitride stops subsequently the copper layer of deposition to sneak in the interlayer dielectric 345 and make (degrade) (as previously mentioned) of interlayer dielectric degradation.
If for example, sputtering chamber 152 is configured for the deposition of tantalum nitride layer, adopt tantalum target 156.Usually, argon gas and nitrogen all pass through gas access 360 (can use a plurality of inlets, inlet of each gas) and flow into sputtering chamber 152, and power signal is applied to target 156 via DC power supply 200 simultaneously.Selectively, power signal can also be applied to coil 151 via a RF power supply 206.During stable state is handled, nitrogen can with 156 reactions of tantalum target on tantalum target 156, forming nitride film, so that sputter tantalum nitride from it.In addition, also can be from sputter on the target not by the tantalum atom of nitrogenize, this tantalum atom can combine to form tantalum nitride awing or on the wafer (not shown) that is supported by base 162 with nitrogen.
In operation, the choke valve that operationally is coupled to exhaust outlet is placed on the centre position so that before will handling gas introducing chamber, settling chamber 152 is remained on about 1 * 10 -8The rough vacuum of the expectation of holder.In order in sputtering chamber 152, to begin to handle, the mixture of argon gas and nitrogen flows in the sputtering chamber 152 via gas access 360.With DC power through DC power supply 200 be applied to tantalum target 156 (simultaneously admixture of gas continue via gas access 360 flow into sputtering chambers 152 and through pump 37 from wherein extracting out).The DC power that is applied to target 156 makes argon/nitrogen gas mixture formation SIP plasma and produces argon and the nitrogen ion, and these particles attracted to target 156 and bombard target 156 and make target material (for example tantalum and tantalum nitride) launch (eject) from it.The target material of launching is transmitted to the wafer 158 that is supported by base 162 and deposits above that.According to SIP technology, the tantalum and the tantalum nitride of the plasma ionization part sputter that produces by unbalanced magnetron.Be applied to the RF power signal of substrate support pedestal 162 through adjusting, can between substrate support pedestal 162 and plasma, produce back bias voltage.Back bias voltage between substrate support pedestal 162 and the plasma makes tantalum ion, tantalum nitride ion and argon ion quicken towards base 162 and support any wafer above that.Therefore, neutral and Ionized tantalum nitride can be deposited on the wafer, and sputter provides good sidewall and upper side wall to cover according to SIP.In addition, if particularly the RF power selection is applied to the ICP coil, can pass through the argon ion sputtering etched wafer, the tantalum-nitride material from target 156 is deposited on (that is deposition/sputter etching simultaneously) on the wafer simultaneously.
After the deposition of barrier layer 351, if expectation attenuate or eliminate the bottom, can be as shown in Figure 7 through argon plasma sputter etching or the barrier layer 351 that sputters at path 349 bottoms again with its under copper oxide 347a ' (and any processing residue).Mainly preferably in this step, produce argon plasma through applying RF power to the ICP coil.It should be noted that during the sputter etching in the sputtering chamber 152 (Fig. 4) in this embodiment that low-level (for example 100 or 200 watts) are preferably removed or be decreased to the power that is applied to target 156 so that suppress or stop the remarkable deposition from target 156.Low target power level, rather than do not have target power output can provide more uniformly plasma and is preferred at present.
The ICP argon ion is through electric field (the RF signal that for example applies to substrate support pedestal 162 via the 2nd RF power supply 41 of Fig. 4; It forms automatic bias on base) 351 acceleration towards the barrier layer; Bombardment barrier layer 351; And depend on that momentum shifts,, and it is distributed again along the part on the barrier layer 351 that applies path 349 sidewalls from the bottom sputter barrier material in path aperture.Argon ion is attracted to substrate being basically perpendicular on the direction of substrate.As a result, almost do not have the sputter of via sidewall to take place, and the sputter of sufficient path bottom takes place.In order to promote sputter again, for example, the bias voltage that is applied to base and wafer can be 400 watts.
The particular value of splash-proofing sputtering process parameter can change according to specific technology again.Sputtering technology has again been described in application 08/768058,09/126890,09/449202,09/846581,09/490026 and 09/704161 unsettled or that announce, incorporates it here into all with for referencial use.
According to a further aspect in the invention, ICP coil 151 can by such as the lining material of tantalum with form with target 156 identical modes and by sputter with the sputter path bottom more simultaneously of cvd nitride tantalum on wafer.Because low relatively pressure during sputtering technology again, the ionization speed of the deposition materials of sputter is relatively low from the coil 151.Therefore, the sputter material that deposits on the wafer is mainly neutral material.In addition, coil 151 is placed in the chamber relatively lowly, surrounds and close on wafer.
As a result, the track (trajectory) of the material of sputter is easy to have less relatively incidence angle from the coil 151.Therefore, the material of sputter is easy to be deposited in the parameatal layer 364 of hole or path on the upper wafer surface and wafer from coil 151, but not is deposited on dearly in the wafer hole.Deposition materials from coil 151 can be used to provide the degree of protection of avoiding sputter again, so that come thinning barrier layer through main sputter again in the bottom, hole, rather than around on the sidewall and aperture, in these zones, possibly not expect thinning barrier layer.
In case from sputter etching barrier layer, path bottom 351; Argon ion bombardment copper oxide 347a '; And this oxide layer of sputter to be distributing from the copper oxide material of path bottom again, some of sputter material or all deposit along barrier layer 351 parts that apply path 349 sidewalls.Copper atom 347a " the also barrier layer 351 and 364 of coating deposition on path 349 sidewalls.Yet, because the barrier layer of primary deposit 351 be distributed to via sidewall again from the path bottom those be copper atom 347a " diffusion impervious layer, copper atom 347a " fixing basically and be prevented from arriving interlevel dielectric layer 345 in barrier layer 351.Therefore the copper atom 347a ' that is deposited on the sidewall can not produce path to path leakage current usually as former, they are distributed to the sidewall that does not have coating again.
After this, identical chamber 152 or have SIP and the similar chamber of ICP ability in, can deposit second backing layer 371 on the barrier layer 351 formerly such as second material of tantalum.The tantalum lining nexine lower floor's tantalum nitride barrier layer and subsequently the deposition the conductor metal interconnection layer such as copper between good bonding is provided.Yet, in some applications, preferred deposited barrier layer or only deposit backing layer only before inculating crystal layer or filler opening.
Can be to deposit second backing layer 371 with first backing layer, 351 identical modes.That is, can be in a SIP step deposition of tantalum backing layer 371, mainly in this step produce plasmas through target magnetron 330.Yet, do not allow nitrogen to get into so that deposition of tantalum but not tantalum nitride.According to the SIP sputter, can obtain good sidewall and upper side wall and cover.If desired, can reduce or need not arrive the RF power of ICP coil 151.
After tantalum lining nexine 371 depositions; Bottom attenuate or elimination if desired; Can be through argon plasma with the mode sputter etching identical or the part (with any processing residue) of the backing layer 371 of path 349 bottoms of sputter under it again, shown in Fig. 9 a with backing layer 351 bottoms.Preferably mainly through applying RF power to the ICP coil in this step, to produce argon plasma.Once more; It should be noted that during the sputter-etching in sputtering chamber 152 (Fig. 4) that the power that preferably will be applied to target 156 is removed or is decreased to low-level (for example 500 watts) so that suppress or stop during the bottom of second backing layer covers attenuate or eliminates the remarkable deposition from target 156.In addition, preferred sputter coil 151 deposition lining materials 374, argon plasma again during the sputter, is not thinned at base section with protective lining sidewall and top this layer of sputter bottom more basically simultaneously.
In the above-described embodiments, the SIP of the target material on via sidewall deposition mainly occurs in the first step, and the ICP of path bottom again the ICP of sputter and coil 151 materials deposit and mainly occur in the subsequent step.Should be appreciated that if desired target material and the coil method deposition on path 349 sidewalls can take place simultaneously.Should be further appreciated that if desired, take place simultaneously in ICP sputter-etching meeting and the target and the deposition of coil method on sidewall of the deposition materials of path 349 bottoms.The power signal that is applied to coil 151, target 156 and base 162 through adjusting utilizes the chamber 152 of Fig. 4 can realize depositing simultaneously/sputter-etching.Because coil 151 can be used to keep plasma,, on this wafer, has relatively low bias voltage (keeping the bias voltage of plasma less than needs) so plasma can the sputter wafer.In case arrive the sputter threshold value, for the bias voltage of particular wafer, the RF power (" RF coil power ") that is applied to coil 151 is compared to the ratio affects sputter-etching of the DC power (" DC target power output ") that is applied to target 156 and the relation between the deposition.For example, RF: the DC power ratio is high more, because more sputter-etchings can take place the ion bombardment flow to wafer of ionization that increases and increase subsequently.The bias voltage (for example increasing the RF power that is applied to base for supporting 162) that increases wafer can increase introduces energy of ions, and this will increase sputter output and rate of etch.For example, increase the RF voltage of signals level that is applied to base 162, increased the ion energy that is incident on the wafer, the duty ratio (duty cycle) that increases the RF signal that is applied to base 162 simultaneously increases the quantity of incident ion.
Therefore, voltage levvl and the duty that can regulate wafer bias are recently controlled sputtering raste.In addition, keep the low quantity that can reduce the barrier material that can be used for depositing of DC target power output.Zero DC target power output can cause only sputter-etching.Can cause taking place simultaneously via sidewall deposition and path bottom sputter with the low DC target power output of high RF coil power and wafer bias coupling.Therefore, this technology material and geometry of being suitable for discussing.For general 3: 1 aspect ratio on the 200mm wafer; Utilize tantalum or tantalum nitride as barrier material; 500 watts to 1 kilowatt DC target power output; Under 2 to 3 kilowatts or bigger RF coil power, 250 watts to 400 watts or bigger wafer bias (for example 100% duty ratio) being continuously applied can cause barrier deposition on the wafer sidewall and remove material from the path bottom.The DC target power output is low more, and the material that is deposited on the sidewall is few more.The DC target power output is high more, the bottom that needs bigger RF coil power and/or wafer bias power to come the sputter path.For example, can be used for depositing simultaneously/sputter-etching in 2 kilowatts of RF coil power levels on the coil 151 and the 250 watts of RF wafer power levels on base 162 with 100% duty ratio.Can be desirably in during deposition/sputter simultaneously-etching initial (for example; Several seconds or more; Depend on geometry in particular/material of discussing) do not apply wafer bias, the sidewall that causes with the material sputter-etching that allows sufficient via sidewall to cover to prevent from the path bottom pollutes.
For example; During deposition/sputter in path 349-etching; Do not apply the formation that wafer bias can promote the initial barrier layer on the sidewall of interlayer dielectric 345 at the beginning, this barrier layer suppresses the residue operating period pollution interlayer dielectric 345 of the copper atom of sputter in deposition/sputter etching.Selectively; Can be identical indoor or through deposited barrier layer 351 in first process chamber; And in second process chamber that the separates sputter-etching chamber of the Preclean II chamber of Applied Materials Inc (for example such as) sputter etching barrier layer 351 and copper oxide 347a ', completion deposition/sputter-etching continuously.
After second backing layer, 371 depositions and bottom covering attenuate, deposit the second metal level 347b (Fig. 9 b) to form with interconnection 348.Shown in Fig. 9 b, the second bronze medal layer 347 can be used as coating or is deposited on second backing layer 371 and in the part of the first bronze medal layer 347a of each path bottom-exposed as copper connector 347b '.Copper layer 347b can comprise copper seed layer.Because the first and second bronze medal layer 347a, 347b directly contact, rather than through barrier layer 351 or 371 contacts of second backing layer, the impedance of copper-connection 348 can be lower, and same path to path leakage current also can.Yet, it will be appreciated that in some applications, be desirably in the path bottom and stay backing layer or barrier layer or the coating of the two.
If the interconnection by be different from backing layer conducting metal form, can in sputtering chamber, deposit interconnection layer with different conducting metal targets.Sputtering chamber can be SIP type or ICP type.Yet, at present, in the chamber of the preferred type of describing about Figure 10 below of the deposition of copper seed layer.Can be through coming the plated metal interconnection in the chamber of other type and other method that comprises CVD and electrochemistry plating of equipment.
Can pass through as copper seed crystal layer in the another kind of plasma sputter reactors 410 shown in the schematic cross sectional views of Figure 10.The pending application sequence number of submitting in November 14 calendar year 2001 is to have described reactor 410 and the various technologies that are used to form seed crystal and other layer among the No.09/993543, it is all incorporated into for referencial use at present.As wherein said, vacuum chamber 412 comprises the columniform sidewall 414 that is generally electrical ground.Usually, the replaceable shielding of unshowned ground connection is positioned at sidewall 414 inside protecting them not by sputter-coated, but they also serve as the chamber sidewall except keeping vacuum.To be sealed in chamber 412 through electric slider 418 by the sputtering target 416 of sputter by what metal constituted.Base electrode 422 supports will be by wafer 424 parallel surfaces of sputter-coated to target 416.Handle and be limited at interval between the shielding inner target 416 and wafer 424.
Supply with 426 from gas and pass through mass flow controller 428 the sputter working gas, preferred argon, metering is supplied with in (meter) chamber.Unshowned vacuum pump pressing system remains on the inside of chamber 412 and is generally 10 -8Under holder or the lower low-down pressure of foundation.During plasma igniting, supply with argon pressure by the amount that produces approximate 5 millitorr constant pressures, but like what will explain, this pressure reduces thereafter at the back.DC power supply 434 will comprise electronics and positive argon ion (Ar to 416 back bias voltages to being similar to-600VDC, the argon working gas is activated to become +) plasma.Positive argon ion attracted to the target 416 of back bias voltage and sputter metal atoms from the target.
The present invention is particularly conducive to the SIP sputter, and its medium and small nested magnetron 436 is supported on the unshowned backboard that is positioned at target 416 back.Chamber 412 and target 416 are usually about the axis of centres 438 circular symmetry.Internal magnets magnetic pole 440 that SIP magnetron 436 comprises the first vertical pole property and the second opposite vertical pole property around external magnets magnetic pole 442.Two magnetic poles are coupled by yoke 444 supports and with its magnetic.Yoke 444 is fixed in the turning arm 446 that is supported on the rotating shaft 448 that extends along the axis of centres 438.The motor 450 that is connected in axle 448 makes magnetron 436 about the axis of centres 438 rotations.
In unbalanced magnetron, external magnetic poles 442 has the total magnetic flux greater than the magnetic flux that is produced by interior permanent magnet 440 that concentrates on its area, preferably has at least 150% magnetic intensity ratio.Opposite magnetic pole 440,442 is the 412 inner magnetic fields that produce in the chamber, this magnetic field be generally have parallel and the strong component that approaches target 416 surfaces to produce high-density plasma at its place, increase sputter rate thus and increase the ionization part of sputter metal atoms.Because external magnetic poles 442 magnetic are better than interior permanent magnet 440, loop back outer electrode 442 back from the magnetic field part of external magnetic poles 442 at it and before accomplishing magnetic circuit, penetrate to base 422 far throws.
For example, the RF power supply 454 with 13.56MHz frequency is connected to base electrode 422, on wafer 424, to produce negative automatic bias.Bias voltage attracts positively charged metallic atom to pass the sheath of adjacent plasma, thus in the coated wafers such as the side and the bottom in the hole of the high aspect ratio of interlevel via.
In the SIP sputter, magnetron is very little and have high magnetic intensity and apply high DC power to target, so that near target 416, plasma density rises to about 10 10Cm -3Under this plasma density, a large amount of sputtered atoms is ionized becomes positively charged metal ion.The density of metal ion is enough high so that a large amount of ions in them are attracted back target, with further splash-proofing sputtering metal ion.As a result, metal ion can at least partly be replaced the argon ion of the effective work of conduct species in sputtering technology.That is, can reduce argon pressure.Reduce pressure and have the advantage that reduces metal ion scattering and deionization.For the copper sputter, under some conditions, continue in the technology of sputter (SSS), being called in case plasma igniting can be eliminated the argon working gas fully.For aluminium or tungsten sputter, SSS is possible, but can reduce argon pressure basically from the pressure that conventional sputter, uses, for example extremely less than 1 millitorr.
In one embodiment of the invention, the auxiliary array 460 of permanent magnet 462 be arranged on chamber sidewall 414 around, and be arranged on usually towards the processing of wafer 424 half position at interval.Auxiliary magnet 462 has the first vertical pole property identical with the external magnetic poles of nested magnetron 436 442 in this embodiment so that with the uneven part in magnetic field from external magnetic poles 442 leave behind (draw down).Among the embodiment that is discussed in more detail below, eight permanent magnets are arranged, similar good result can be provided but be distributed in around the axis of centres 438 four or the magnet of greater number.Can auxiliary magnet 462 be placed on the inside of chamber sidewall 414, but the preferred outside that shields at this thin sidewalls is to increase their active strengties in processing region.Yet, be placed on sidewall 414 outsides and be preferred for whole results.
Auxiliary magnet array is symmetrical set to produce circular symmetry magnetic field about the axis of centres 438 usually.On the other hand, though nested magnetron 436 has the Distribution of Magnetic Field about the axis of centres 438 asymmetric settings, when its about whole rotational time mean time, it just become the symmetry.The nested porcelain keyholed back plate 436 that many kinds of forms are arranged.The most simply but preferred form has the button center pole (button center pole) 440 of being surrounded by the external magnetic poles 442 of circular ring-type, so as its magnetic field about the axle of the axial symmetry of axle 438 translations and nested magnetron from the chamber about 438 rotations of chamber axle.Preferred nested magnetron has triangular shaped, shown in the upward view of Figure 11, have near the summit the axis of centres 438 and near the end target 416 peripheries.This shape advantageous particularly is because the time average in this magnetic field more even than circular nested magnetron.
The effective magnetic field under the particular moment during the swing circle that is shown in dotted line by Figure 10.Semi-circular magnetic field B MThe strong horizontal component that approaches and be parallel to 416 of targets is provided, increases the ionization part of density, sputter rate and the sputter particles of plasma thus.Auxiliary magnetic field B A1, B A2For from the magnetic field of auxiliary magnet array 460 with from the summation of the uneven part in the magnetic field of nested magnetron 436.On the opposite side of the chamber that is away from nested magnetron, from the uneven component B partly in nested magnetron 436 magnetic fields A1In the highest flight, but it does not stretch to wafer 424 from afar.Yet near the chamber sidewall 414 on the side of nested magnetron 436, auxiliary magnet 462 close couplings cause the magnetic-field component B of far throw directive wafer 424 in external magnetic poles 442 A2Outside the plane that illustrates, magnetic-field component is two component B A1, B A2Combination.
Because the magnetic polarity of auxiliary magnet 442 is consistent with strong external magnetic poles 442; This structure causes in the result who approaches and the substantial distance of sidewall 414 produces strong vertical magnetic field along the chamber, in the zone below this chamber sidewall 414 nested magnetron 436 around skimming over it.As a result, closing on and to be had strong vertical magnetic field by the outside of the chamber 412 in the zone of the target 416 of the strongest sputter.This emission magnetic field (projecting field) is to extending plasma zone and Ionized particle guiding wafer 424 is very effective.
Through using two semicircle magnet carrier 470 can realize that one of them carrier illustrates with the front view mode in Figure 12.Each carrier comprise four inner and make its size be fit to receive the groove (recess) 472 of the magnet assembly that comprises a magnet 462 474 separately towards it.Magnet assembly 474 comprises clamp member 476 and lower clamp parts 478 on the arc, and when two screw rods 480 tightened together two clamp member 476,478, they were received in cylindrical magnet 462 in the groove.Carrier 470 and clamp member 476,478 are formed by the nonmagnetic substance such as aluminium.Lower clamp parts 478 have the length of suitable groove 472 but going up clamp member 476 has the end of extending groove 472, and drill through two reach through holes 482 therein.Two screw rods 484 pass separately reach through hole so that screw rod 484 can be fixed in the female hole 486 in the magnet carrier 470.The semicircle magnet carrier 470 of two assemblings like this is placed on around fixing it in the ring of locular wall 414 and through conventional fixture.This structure is placed the outside that magnet 462 directly closes on locular wall 414.
The solenoidal field that in the solenoid of Wei Wang, produces is in fact more even in the diameter range of reflecting device chamber than the extremely sub-magnetic field of peripheral coupling that the annular array by permanent magnet produces.Yet, shown in the cutaway view of Figure 13,, can produce the extremely sub-magnetic field of coupling of similar shape through replacing permanent magnet 462 with the annular array of the solenoid 490 that is looped around the locular wall peripheral disposition.Coil 490 is wound in spiral line type about the axle that is parallel to the axis of centres 438 separately usually and is powered to produce and consistent magnetic field, the extremely sub-magnetic field of the coupling of chamber interior.This design has can quick adjustment auxiliary magnetic field intensity and the advantage of polarity of the magnetic field.
This invention has been applied to the SIP sputter of copper.Though conventional SIP reactor sputter has the copper film of measuring 9% the inhomogeneities of confirming through sheet resistance, think can the auxiliary magnetron of optimization to produce only 1% inhomogeneities in certain embodiments.Can realize inhomogeneity improvement through reducing deposition rate in some applications, for the deposition of the thin copper seed layer in deep hole, this can obtain through improvement technology controlling and process in some applications.
Though described the use of the present invention in the SIP sputterer; Can be advantageously with assisting permanent magnet applications in other target and power structure; Hollow-negative electrode target among " the Ionized Physical-vapor Deposition Using a Hollow-cathode Magnetron Source for Advanced Metallization " that on the J.Vac.Sci Technology in July, 2000/August, delivers such as the people such as arch ring target, United States Patent (USP) 6179973 or Klawuhn of the SIP reactor of United States Patent (USP) 6251242, the inductance coupling high IMP reactor of United States Patent (USP) 6045547 or from ion sputtering (SIS) system, the ion reactor that this system's utilization is for example described in " Cu Dual Damascene Process for 0.13 micrometer Technology Generation using Self Ion Sputtering (SIS) the with Ion Reflector " that on IEEE 2000, is delivered by people such as Wada controls to the ion flow of substrate.Also can use other magnetron structures, such as unbalanced magnetron pipe (balanced magnetron) and fixing magnetron.In addition, the polarity of auxiliary magnet can be parallel or anti-parallel to the magnetic polarity of the external magnetic poles of roof magnetron.Can other material of sputter, comprise the nitride of some refractory metals in aluminium (Al), tantalum (Ta), titanium (Ti), cobalt (Co), tungsten (W) etc. and these metals.
Therefore auxiliary magnet array is provided for the extra control in the magnetic field of magnetron sputtering.Yet for obtain to have the part neutral current coating of more deep hole of (netral flux), expectation increases the distance between target 416 and the wafer 424, that is, and and in order to operate with long delivery mode.About the chamber of Fig. 4 discussing, in long the throwing, the spacing of target to substrate is half the greater than substrate diameter generally as top.When being used for SIP copper seed crystal deposition; For the 200mm wafer; It is preferably greater than 140% (the for example spacing of 290mm) of wafer diameter; For the 300mm wafer, greater than 130% (for example 400mm spacing), but think comprise greater than substrate diameter 90% and also be fit to greater than 100% spacing greater than 80%.For many application, think that 50 to 1000mm target to wafer pitch is fit to.Long throwing the in conventional sputter reduced sputter deposition rate, but Ionized sputter particles can not suffer so big reducing.
An embodiment of the structure that make chamber through Fig. 4 and the chamber of Figure 10 is at the path shown in the cross section of Figure 14 a.Under the condition that promotes SIP and ICP; Deposition seed crystal copper layer 492 in the via hole 494 that chamber through Figure 10 forms on backing layer in the chamber of Fig. 4; This backing layer can comprise one or more layers barrier layer and backing layer, such as aforesaid TaN barrier layer 351,364 and Ta backing layer 371,374.SIP copper layer 492 can be deposited into, and for example 50 to 300nm or more preferred 80 to 200nm coating thickness (blanket thickness).SIP copper seed layer 492 preferably has the thickness in 2 to the 20nm scopes on via sidewall, more preferably 7 to 15nm.Consider narrow hole, use that the sidewall thickness that surpasses 50nm is not optimal for some.Through being reduced to, the base temperature is lower than 0 degree centigrade and preferably be lower than-40 degrees centigrade of quality of improving film in some applications.In this application, the SIP deposition is favourable fast.
If, for example, sputtering chamber 410 is configured for the deposition of copper layer, adopt copper target 416.In operation, the choke valve of operational coupled exhaust outlet in the chamber is placed on the centre position so that before process gas is introduced the chamber, settling chamber 410 is remained on about 1 * 10 -8Under the rough vacuum of the expectation of holder.In order to begin in sputtering chamber 410, to handle, argon gas flows into sputtering chamber 410 via gas access 428.For deposited copper seed crystal in long throwing SIP chamber, preferred low-down pressure is such as the 0-2 millitorr.In the illustrated embodiment, the pressure of 0.2 millitorr is suitable.Apply DC power (simultaneously admixture of gas continues to flow into sputtering chamber 410 through gas access 360 and goes out from pump pressure wherein via the pump that is fit to) through DC power supply 434 to copper target 416.For the copper target, the power bracket that is applied to target 416 for the wafer of 200mm in 20-60 kilowatt scope.In an example, power supply 434 can apply 38 kw of power to copper target 416 under-600VDC.For such as 300mm than wafer, the higher value that predicts such as 56 kilowatts is fit to.According to certain applications, also can use other value.
The DC power that is applied to target 416 makes argon formation SIP plasma and produces argon ion, and argon ion attracted to and bombards target 416, makes target material (for example copper) from wherein penetrating.The target material that penetrates is transmitted to and is deposited on the wafer 424 that is supported by base 422.According to SIP technology, the copper of the plasma ionization part sputter that produces by uneven magnetron.Be applied to the RF power signal of substrate support pedestal 422 through adjusting, can between substrate support pedestal 422 and plasma, produce back bias voltage.
For the copper seed crystal deposition, the power that is applied to base 422 can be in 0-1200 watt scope.In an example, for the wafer of 200mm, RF power supply 454 can apply 300 watts of power to base 422.For such as 300mm than wafer, predict that bigger value is fit to.According to certain applications, can use other value.
Back bias voltage between substrate support pedestal 422 and plasma makes copper ion and argon ion quicken towards base 422 and any wafer that supports above that.Therefore, according to the SIP sputter, neutral can be deposited on bottom, sidewall and the upper side wall covering that provides good on the wafer with Ionized copper.In addition, can pass through the argon ion sputtering etched wafer, the copper product from target 416 is deposited on (i.e. deposition/sputter etching simultaneously) on the wafer simultaneously.
Distribute again if hope the bottom, after inculating crystal layer 492 depositions or during, can pass through the argon plasma sputter etching or the part of the inculating crystal layer 492 of sputter path 494 bottoms 496 again, shown in Figure 14 B.Can distribute bottom 496 again with the cladding thickness in the bottom corners zone 498 that increases copper seed layer, shown in Figure 14 B.In many application, preferably copper inculating crystal layer bottom 496 not exclusively is removed to provide the abundant inculating crystal layer that spreads all over path to cover.
Preferably produce argon plasma as the SIP plasma through applying power in the sputter step again to target and base at this.(for example be applied to the RF signal of substrate support pedestal 422 via electric field via the 2nd RF power supply 454 of Figure 10; This signal produces negative automatic bias to be formed on the base); The SIP argon ion is quickened towards inculating crystal layer 492; Bombardment inculating crystal layer 492, and according to the momentum transfer, distribute again from the bottom sputter inculating crystal layer material of via hole and with its part 498 along the inculating crystal layer 492 of overlay path 349 bottom corners.
Argon ion attracted on the substrate being substantially perpendicular on the direction of substrate.As a result, the sputter of via sidewall takes place hardly, and the sputter bottom the path mainly takes place.It should be noted that the power that is applied to base 422 can increase to high value during the sputter again of the copper seed layer in the sputtering chamber 410 (Figure 10) in this embodiment, such as 600-1200 watt, or for example 900 watts, to promote the distribution again of copper seed layer bottom.Like this in this example, base power rises to level greater than 600 watts (for example 900 watts) to improve the distribution effect again of sputter again from the level that is lower than 600 watts (for example 300 watts).
In another example, the power that is applied to target 416 can be decreased to than low value, such as below 30 kilowatts or for example 28 kilowatts, so that suppress deposition from target 416 to promote the distribution again of copper seed layer bottom.Low target power level rather than do not have target power output can provide more uniformly plasma and this distributing for the inculating crystal layer bottom again and reducing among those embodiment of target power output, is preferred at present.Therefore, in this example, the level that target power output is brought down below 30000 watts (for example 28 kilowatts) from the level that is higher than 30000 (for example 38 kilowatts) is to improve sputter again.
In a further example, carry out the sputter again of copper seed layer bottom, deposit so that target and base power level maintenance constant relatively (such as being respectively 38 kilowatts and 300 watts) between the inculating crystal layer depositional stage in whole copper seed layer simultaneously.In another embodiment, target power output reduces to replace or joint base power increase sputter again bottom the promotion inculating crystal layer.
The particular value of splash-proofing sputtering process parameter can change according to application-specific again.Unsettled or published application 08/768058,09/126890,09/449202,09/846581,09/490026 and 09/704161 has been described sputtering technology again, here it is all incorporated into for referencial use.
SIP copper seed layer 492 has good bottom and sidewall covers and the bottom corners of raising covers.After copper seed layer 492 depositions, like Fig. 1, preferably utilize inculating crystal layer 492, through the electrochemistry plating, with copper layer 18 filler opening as an electroplated electrode.Selectively, the smooth structure of SIP copper seed layer 492 also promotes to reflux (reflow) or the deposition of the higher temperature of the copper through standard sputter or physical vapor deposition (PVD).
Fig. 4 and 10 chamber utilize Ionized and neutral atomic current.Like what describe in the United States Patent(USP) No. 6398929 (attorney docket No.3920); Here it is all incorporated into for referencial use, the distribution between ionization in DC magnetron sputtering reactor and the neutral atom stream can be suitable for producing in the hole in dielectric layer favourable layer.Can or combine through himself through on the copper forming core layer of sputter, using this layer through the copper seed layer of chemical vapor deposition (CVD) deposition.The brass nexine is particularly useful as the thin inculating crystal layer of electro-coppering.
The DC magnetron sputtering reactor of prior art has related to conventional working gas sputter or has continued from sputter.Two kinds of methods are stressed different sputter types.On the other hand, the reactor that is preferred in the brass combines the many aspects of prior art to be controlled at the distribution between ionization copper atom and the neutron.Instance at this reactor 550 shown in the schematic cross sectional views of Figure 15.Fig. 4,10 and 13 reactor can utilize these aspects of the reactor of Figure 15, and the reactor of Figure 15 is also based on the distortion (modification) from the Endura PVD reactor that provides of the Applied Materials Inc in santa clara city.Reactor 550 comprises vacuum chamber 552; Vacuum chamber 552 is made up of metal and electrical ground, be sealed in PVD target 556 through target slider 554 usually; Target 556 has the surface portion of the material formation that will be sputter-deposited at least on the wafer 558, is copper or copper alloy in this case.Alloying element generally accounts for less than 5wt%, if form suitable barrier layer in addition, can use nearly fine copper.Wafer chuck 560 is clamped in wafer 558 on the base electrode 562.Unshowned resistance heater in base 562, refrigeration raceway groove and heat transfer gas chamber make the base temperature can be controlled in the temperature less than-40 degrees centigrade, and chip temperature can similarly be controlled.
The shielding 564 of floating that separates through second dielectric shielding slider 568 is contained in the chamber 552 to protect locular wall 552 not influenced by sputter material with earth shield 566.The plus earth layer plane with respect to negative electrode target 556 is also served as in earth shield 566, and capacitive character supports plasma thus.Some electronic deposition are in the shielding 564 of floating, and negative electrical charge is piled up at that like this.Negative potential not only further resists electronic deposition, also electronics is limited in the main plasma region, reduces electron loss like this, keeps low voltage sputtering and increases plasma density.
Details in target shown in the decomposing schematic representation of Figure 16 and shielding.Target 556 comprises aluminium or titanium target backer board 570, and 572 welding of itself and copper target part or Diffusion Welding are together.The convexity of backer board 570 (flange) 573 is placed on top and it encircles 574 vacuum seals to target slider 554 through polymerization target O-, and the target slider preferably is made up of the pottery such as aluminium oxide.Above target slider 554 is placed on, and itself and backer board 570 be sealed to chamber 552 through adapter O-ring 575, and it in fact can be for being sealed to the aluminium adapter of chamber main body.Metal fixture ring 576 has the annular edge (rim) 577 that upwards stretches on the radius side within it.Unshowned bolt is fixed in the flange that extends internally (ledge) 578 of chamber 552 with metal fixture ring 576 and will receives the convexity 579 of earth shield 566.Thus, earth shield 566 machinery and be electrically connected on earthing chamber 552.
Shielding slider 568 is placed on the anchor clamps ring 576 and can be processed by the ceramic material such as aluminium oxide.It is compared closely but with less width has the relatively large height that is similar to 165mm in temperature of reactor cycle period intensity to be provided.The inside ringed groove that shielding slider 568 lower parts have the annular edge that is suitable for anchor clamps ring 576.The barrier layer that any particle that central interior diameter with respect to anchor clamps ring 576 shielding sliders 568 also serves as slidingsurface 580 generations that prevent between ceramic screened slider 568 and becket anchor clamps 576 arrives main treatment region is not only served as on limit 577.
Shielding 564 the convexity 581 of floating freely is placed on the shielding slider 568, and extends into downwards on the outside that is formed on the annular groove of outer corner above the shielding slider 568 at it and to have protuberance (tab) or limit 582.Thus, protuberance 582 is in the central authorities of the shielding 564 of floating with respect to the target 556 at shielding slider 568 external diameters.Shielding protuberance 582 separates through narrow gap with shielding slider 568; This narrow gap is enough little of aiming at the plasma dark space again enough greatly preventing that shielding slider 568 stops up, and the shielding 581 of floating is placed on the inboard and the shielding slider 568 in the top slide contact zone 583 of protuberance 582.
Narrow raceway groove 584 is formed between 585 and the target 556 of the shielding 564 of floating.Its width with about 2mm is to serve as the plasma dark space.Narrow raceway groove 584 continues in the path, to extend, even more inside than illustrating, the ridge (ridge) 586 of crossing projection backer board protruding 574 downwards to shield 585 and target slider 554 between last backing gap 584a.The structure of these elements and their characteristic are similar in appearance to by people such as Tang disclosed structure and characteristic in the U.S. Patent application of submitting on October 30th, 1,998 09/191253.Last backing gap 584a at room temperature has the width of about 1.5mm.When shielding element during by temperature cycles, they are easily deformable.The last backing gap 584a that has less than the width of the narrow raceway groove 584 of contiguous target 556 is enough to keep the plasma dark space in the narrow raceway groove 584.Backing gap 584a continues down to the following backing gap 584b between the ring anchor clamps 576 on the chamber main body 552 on shielding slider 568 and the inboard and the outside.Following backing gap 584b is as being collected in ceramic screened slider 568 and anchor clamps ring 576 and the cavity of the ceramic particle of slidingsurface 580,583 generations between the shielding 564 of floating.Shading ring slider 568 comprises that in addition shallow grooves on the interior corners above that is with the ceramic particle of the slidingsurface 583 on its radially inner side of collecting.
The shielding 564 of floating comprises wide last column part 588, and column part passes transition portion 592 to narrower following column part 590 from projection 581 to extending below and be connected in its lower end on this.Similarly, have its outside and the last column part 594 shield the wide broad of 564 last column part 588 than floating of earth shield 566.Column part 594 is connected in earth shield projection 580 on the end above that and is connected to narrow following column part 596 in its lower end through transition portion 598 on the ground connection, transition portion 598 approximate radially extensions along the chamber.Column part 596 is installed in outside and therefore wide than the column part 590 of floating down under the ground connection, but passes through the spaced radial of about 3mm, and it goes up column part 564 less than floating.Two transition portion 592,598 all horizontal or vertical skews.Floating and earth shield 564, forming tortuous narrow raceway groove 600 between 566 thus, under ground connection column part 596 with float on skew between the column part 564 guarantee not leave the sight lines of two vertical-channels between partly.A purpose of raceway groove 600 is that electricity is isolated 564,566 while of two shieldings shield jig ring 576 and shielding slider 568 is not deposited by copper.
Shielding 564,566 following column part 590, the bottom of the raceway groove between 596 600 had 4: 1 or bigger aspect ratio, were preferably 8: 1 or bigger.The bottom of raceway groove 600 has the length of width and the 2.5cm of 0.25cm, and preferable range 0.25 to 0.3cm and 2 to 3cm.Thus, the copper atom of any copper ion and the scattering of infiltration raceway groove 600 probably must from the shielding bounce-back several times and at least their find they further on quilt before the road of anchor clamps ring 576 and shielding slider 568 ground connection column part 594 stop.Once bounce-back causes the ion conductively-closed to absorb probably arbitrarily.Two adjacent 90 degree in two transition portions 592, raceway groove 600 between 598 are turned or bending also will shield slider 568 and the isolation of copper plasma.Adopt 60 degree bendings or 45 degree bendings can obtain effect similar but that weaken, but more efficiently 90 degree bendings are formed in the shielding material more easily.90 degree are turned and are also covered anchor clamps ring 576 and shield the direct radiation that slider 568 is avoided the copper particle.Have been found that copper is preferentially depositing on the ground connection column part 594 on the bottom level surface of transition portion 592 of floating with on the short portion that turns at one 90 degree vertical.Equally, during the processing on the horizontal transition part 598 of earth shield 566, the raceway groove 600 that circles round is collected the ceramic particle that is produced by shielding slider 568.The particle of collecting so probably is through also pasting (pasted) at the copper of that collection.
Return the big view of Figure 15, the following column part of earth shield 566 596 continues down to just in the back of the base 562 of supporting wafers 558.Earth shield 566 inwardly continue then radially to bowl-shape part 602 and in the most inboard column part 604 vertically upward being similar to the height of wafer 558, but spaced apart with the radial outside of base 562.
Shielding 564,566 generally is made up of stainless steel.And can be by bead or makes its roughening to promote the bonding of sputtering sedimentation copper above that in addition in their inboard.Yet at certain a bit, during the sputter that prolongs, copper is accumulated to the thickness that peels off probably, produces harmful particle.Before arriving this, should clean shielding or use new shielding replacement more possibly.Yet, in most maintenance periods, need not replace expensive slider 554,568.And, can't help the electrical short of slider to decide maintenance period by peeling off of shielding.
As stated, the shielding 564 of floating is piled up some electron charges and is set up negative potential.Suppress thus further to shield 564 electron loss and limit plasma thus more near target 556 to floating.People such as Ding disclose the similar effect with some analog structure in United States Patent (USP) 5736021.Yet the shielding of floating of Figure 16 564 has following column part 590, this part 590 than people's such as Ding appropriate section more away from target 556 extensions, thus with plasma confinement in bigger volume.Yet the shielding 564 of floating is earth shield 566 and target 556 electric screens, so that it can not extend from target 556 is too far away.If oversize, then be difficult to bombardment plasma, if but too short, can not under low pressure keep plasma to such an extent as to can increase electron loss, and plasma density descends.Have been found that optimized length, in this length, as shown in Figure 16, and the bottom apex 606 of the shielding 566 of floating and the spaced apart 6cm of face of target 556, the line shaft of the shielding 566 of floating is 7.6cm to length.For three kinds of different shieldings of floating of pressure test of minimum, under this pressure, keep the copper sputter.For the target power output of 1 kilowatt and 18 kilowatts, the result is shown in Figure 17.Abscissa is represented total shielding length, and the interval between shielding summit 606 and target 556 is less than 1.6cm.At interval preferred range is 5 to 7cm, but length is 6.6 to 8.6cm.To shield the field degree and extend to 10cm, only reduce minimum pressure slightly, but increase the difficulty of bombardment plasma.
Refer again to Figure 15, selectable DC power supply 610 with respect to earth shield 566 with target 556 back bias voltages to approximately-400 to-600VDC, with the some maintenance plasma of fighting.Target power output between 1 and 5 kilowatt generally be used to light a fire plasma and be preferred for SIP sputter described herein greater than 10 kilowatts power.Usually, base 562 and therefore wafer 558 be placed in electricity and float, and negative DC automatic bias still occurs above that.On the other hand, some designs use controlled power supply 612 to come to apply the negative DC bias voltage that DC or RF bias voltage occur with further control above that to base 562.In the structure of this test, grid bias power supply 612 is the RF power supply of work under 13.56MHz.Can use the RF power supply up to 600 watts, be 350 to 550 watts for the wafer preferable range of 200mm.
Gas source 614 is supplied with the sputter working gas through mass flow controller 616 to chamber 552, is generally chemical torpescence inert gas argon.Can working gas be introduced the top of chamber, or as illustrate, in its bottom, any one has one or more through earth shield 566 or the inlet tube through gap 618 through holes between earth shield 566, wafer chuck 560 and the base 562.The vacuum pump system 620 that is connected in chamber 552 through wide pump pressure mouth 622 keeps the chamber under low pressure.Though base pressure can remain on about 10 -7Holder or lower, the pressure of working gas is generally remaining on during the conventional sputter between about 1 and 1000 millitorrs, and in the SIP sputter, is lower than greater than 5 millitorrs.624 controls of computer based controller comprise the reactor of DC target power supply 610, grid bias power supply 612 and mass flow controller 616.
For effective sputter is provided, magnetron 630 is positioned at the back side of target 556.Be connected in yoke 636 and by the opposite polarity magnet 632,634 of its support.552 inner magnets produce the magnetic field of closing on magnetron 630 in the chamber.The magnetic field trapped electron, and for neutral charge, ion concentration also increases to form high-density plasma district 638.Usually through center 640 rotating magnetrons 630 of motor drive shaft 642, in target 556 sputters, to obtain covering fully about target 556.For the power density height of high-density plasma 638 that obtains sufficient ion concentration to allow the lasting of copper, must make to be sent to the zone of closing on magnetron 630 from sputter.This can through increase from the power level of the transmission of DC power supply 610 and the area through reducing magnetron 630 for example triangle or track shape area obtain, like Fu as described in the above-mentioned patent of quoting.Triangle magnetron 601 with its approximate summit rotation that overlaps with pinwheel 640 at any time only covers about 1/6 of target.1/4 cover can be preferred maximum in the commercial reactor of SIP sputter.
In order to reduce electron loss, the interior permanent magnet through internal magnets 632 and unshowned magnetic pole strength performance should not have significant hole and is surrounded by the continuous external magnetic poles of representing through external magnets 634 and unshowned magnetic pole strength.And for wafer 558 that Ionized sputter particles is led, external magnetic poles must produce higher magnetic flux than interior permanent magnet.The magnetic field line trapped electron that extends and therefore plasma is extended to and more approach wafer 558.The magnetic flux ratio should be at least 150% and be preferably greater than 200%.The triangle magnetron of Fu has 25 external magnets and 6 or 10 same intensity but opposite polarity internal magnets.
When introducing argon in the chamber, the dc voltage difference between target 556 and earth shield 566 becomes plasma with the argon igniting, and positively charged argon ion attracted to electronegative target 556.Ion will be from the target atom or the atomic group of sputter on the target 556 in bombardment target 556 and generation under enough energy.Some target partickle bombardment wafers 558 also deposit above that thus, form the target material film thus.In the reactive sputtering of metal nitride, also in addition nitrogen is introduced in the chamber, and the reaction of the metallic atom of nitrogen and sputter is to form metal nitride on wafer 558.
The chamber that illustrates can self-ionized sputter copper, comprises continuing from sputter.In this case, after the plasma of lighting a fire, in the situation of SSS, can stop argon and supply with, and copper ion has sufficiently high density with greater than the income of (unity) sputter copper target again.Selectively, can continue to supply with some argons, but at flow velocity that reduces and chamber pressure and perhaps pure lasting from sputter with enough target power output density supports, but the mark from sputter (fraction) significant but that reduce is still arranged.If argon pressure increases to significantly greater than 5 millitorrs, argon can be removed energy from copper ion, will reduce from sputter like this.Wafer bias attracts Ionized copper particulate fraction to get in the hole dearly.
Yet, for obtain the part neutral current than the deep hole coating, expectation increases the distance between target 556 and the wafer 558, promptly under long delivery mode, operates.In long the throwing, target to substrate is general half the greater than substrate diameter at interval.During use, be preferably greater than 90% of wafer diameter, but think and comprise that 80% the interval greater than substrate diameter greater than 100% and 140% also can be suitable for.The throwing of in the instance of embodiment, mentioning is the wafer to 200mm.Long throwing the in conventional sputter reduces sputter deposition rate, but Ionized sputter particles does not suffer big like this minimizing.
In conventional (argon base) sputter and lasting from the distribution control of in check division permission between neutrality and ionized sputtering particle between the sputter (SSS).This control is particularly conducive to the sputtering sedimentation of the copper seed layer in the high aspect ratio via hole.The control of the ionized fraction of sputtered atom (ionization fraction) is referred to as self-ionized plasma (SIP) sputter.
An embodiment of the structure of being made by the present invention is the path shown in the cutaway view in Figure 18.For example utilize the long sputterer of throwing of Figure 15, and under the condition that promotes SIP, deposition seed crystal copper layer 650 in the via hole 22 on barrier layer 24.For example can deposit SIP copper layer 650 to 50 to 300nm or more preferably 80 to 200nm coating thickness.SIP copper seed layer 650 preferably has the thickness of 2 to 20nm scopes on via sidewall, more preferably 7 to 15nm.Consider narrow hole, sidewall thickness should not surpass 50nm.Be reduced to less than 0 degree centigrade and preferred through temperature, so that the cooling that provides through quick SIP deposition becomes important less than-40 degrees centigrade of quality of improving film with base.
SIP copper seed layer 650 has the sidewall covering that good bottom covers and improves.Observed more level and smooth experimentally than the IMP or the CVD that directly on barrier layer 24, deposit.After copper seed crystal layer 650, with copper layer 118 filler opening, in Fig. 1, preferably through utilizing the electrochemistry plating of inculating crystal layer 650 as an electroplated electrode.Yet the smooth structure of SIP copper seed layer 650 also promotes through the backflow of the copper of standard sputter or physical vapor deposition (PVD) or high temperature deposition.
In such as the wide via hole of the 0.20 μ m that inculating crystal layer SIP is deposited on 1.2 μ m oxides, carry out several tests; Adopt target to the substrate interval of 290mm; Less than the chamber pressure (expression SSS pattern) of 0.1 millitorr and 14 kilowatts the DC power that applies with 601 triangle magnetrons, produce 18nm on the path bottom and on via sidewall, produce copper coating thickness greater than 12nm being deposited on of the copper coating thickness that produces 0.2 μ m on the top of oxide.Be generally 30s and shorter sedimentation time.When target power output increases to 18 kilowatts, the bottom covers and increases to 37nm, and significant variation is not arranged in sidewall thickness.Higher bottom under the higher-wattage covers and shows higher ionized fraction.For both of these case, the copper film of observing deposition is more smooth than IMP or CVD copper.
Compare with the IMP deposition rate that is not more than 0.2 μ m/min, the SIP deposition, very fast relatively, between 0.5 to 1.0 μ m/min.Fast deposition rate causes short deposition cycle, simultaneously, does not have the argon ion heating, reduces heat budget significantly.Think that low temperature SIP deposition produces very level and smooth copper seed layer.
Use the throwing of 290mm and the standard triangle magnetron that Fu utilizes ten internal magnets and 25 external magnets.Under various conditions, measure the function of ion-flow rate as the radius that leaves pinwheel.In the figure of Figure 19, described this result.Constant pressure for 16 kilowatts target power outputs and 0 millitorr is measured curve 660.Measure curve 662,664,666 respectively for the constant pressure of 18 kilowatts target power outputs and 0,0.2 and 1 millitorr.With less than 10 9Cm -3The magnetron of routine compare with sputterer, these electric currents are corresponding to 10 11With 10 12Cm -3Between ion concentration.Still measure the copper ionization mark with zero pressure condition.Spatial coherence with the directly related property of about DC target power output 10% and 20% between the ionized fraction that changes be similar to identical.Relatively low ionized fraction shows that the not long SIP that throws has the big mark of neutral copper flow, and its disadvantageous deep fill with conventional PVD fills characteristic.The result shows, because the ionization that increases covers (step coverage) for obtaining better step, preferably operation under higher-wattage.
Retest then, the quantity of the internal magnets in the Fu magnetron is decreased to 6.That is, second magnetron has the uniformity of improvement in magnetic flux, and this has promoted towards the ionic flux of the even sputter of wafer (ion flux).The result is depicted among Figure 20.The ion flow flux that curve 668 shows for 12 kilowatts of target power outputs and 0 millitorr pressure; Curve 670 shows for 18 kilowatts of ion flow flux.Curve for 14 kilowatts and 16 kilowatts is placed in the middle.Therefore, the magnetron of transformation produces the more uniform ion stream that passes wafer, and this depends on the target power output with preferred higher-wattage once more.
10% to 20% low relatively ionized fraction shows that the real fluxes of neutral copper is equivalent to 90% to 100% of IMP.Simultaneously, wafer bias is with copper ion dearly in the pilot hole, equally with copper neutrality realizes long the throwing.
Use one group of test to confirm the combination effect of throwing and the chamber pressure on sputter particles distributes.Under zero constant pressure, the throwing of 140mm produces the distribution greater than 45 degree, the throwing of 190mm, about 35 degree; The throwing of 290mm, about 25 degree.For the throwing of 190mm, pressure is variable.Central distribution remains on about 0,0.5 and 1 millitorr.Yet low-level end is pushed to almost 101 maximum pressure, and showing has some particles to be scattered.These results are illustrated in and obtain acceptable result under 5 millitorrs, but preferred range is less than 2 millitorrs, and more preferred range is less than 1 millitorr, and highly preferred scope is 0.2 millitorr and littler.Equally, as expectation, long throwing distributes best.
The SIP film that in the hole of high aspect ratio, deposits has good upper side wall and covers and be not prone to projection.On the other hand, the IMP film that in this hole, deposits has bottom and bottom corners covering preferably, but sidewall film is easy to have relatively poor covering and coarse.Can combine the advantage of these two types of sputters through utilizing two step copper seed crystal sputtering sedimentations.In first step, deposited copper in the IMP reactor that produces high-density plasma is for example through using the RF electric induction power source.The sedimentary condition of example is the pressure of 20 to 60 millitorrs, 1 to 3 kilowatt RF coil power, 1 to 2 kilowatt DC target power output and 150 watts substrate bias power.Although first step provides good coarse bottom and side walls to cover.Second and preferred subsequently step in, deposited copper in the SIP reactor of the type of describing in the above produces more low-angle copper ionization.The exemplary deposition condition is pressure, 18 to 24 kilowatts DC target power output and 500 watts the substrate bias power of 1 holder.Second step provides good level and smooth upper side wall to cover and also makes the IMP layer that has deposited level and smooth.Blanket deposition thickness for two steps preferably is deposited as 50 to 100nm for IMP, is 100 to 200nm for the SIP layer.Coating thickness can be 30: 70 to 70: 30 ratio.Selectively, can before the IMP layer, deposit the SIP layer.Through after the two step process sputtering sedimentation copper seed layer, for example pass through to electroplate the remainder of filler opening.
For the path of very narrow high aspect ratio, it possibly be problem that the SIP sidewall covers.Researching and developing for the path of 0.13 μ m or littler technology.Under the coating thickness of about 100nm, sidewall covers and becomes discontinuous.Shown in the cutaway view of Figure 21, the space that disadvantageous geometric figure can make SIP copper film 680 form to be included on the through-hole side wall 30 or the discontinuous film of other defective 682.Defective 682 lacks copper or so that can not local serve as the thin copper layer of electroplating cathode.Yet, SIP copper film 680 and defective 682 smoothly separate and forming core good.In these challenging geometries, deposited copper CVD inculating crystal layer 684 is favourable on SIP copper forming core film 680.Because deposit through chemical vapour deposition (CVD), its common conformal and by SIP copper film 680 good forming cores.CVD inculating crystal layer 684 correction of the defect 682 are also electroplated for the copper of back provides continuous, not coarse inculating crystal layer to accomplish the filling in hole 22.Can such as from the aforementioned heat treated CuxZ of utilizing of Applied Materials Inc chamber, deposit the CVD layer in the CVD chamber that is used for the copper deposition.
Make an experiment, wherein the CVD copper of deposition 20nm on SIP copper forming core layer of selecting and IMP forming core layer.Combine to produce level and smooth relatively CVD inculating crystal layer with SIP, produce the more coarse surface that can reach discontinuous degree and be combined in the CVD layer with IMP.
CVD layer 684 can be deposited into the thickness in 5 to the 20nm scopes for example.Come the remainder of filler opening then with copper through other method.Very level and smooth inculating crystal layer through CVD copper produces on the top of the forming core layer of SIP copper provides effective copper hole to fill in the narrow path of researching and developing through plating or conventional PVD technology.Especially for plating, level and smooth copper forming core and inculating crystal layer provide continuous and almost are used for the electrode to the electroplating technology power supply uniformly.
In the filling in the path with very high aspect ratio or other hole, it is favourable exempting plating and replacing, shown in the cutaway view of Figure 22, the CVD copper layer 688 that deposition is enough thick on SIP copper forming core layer 680 is with the complete filling path.The advantage that CVD fills is to eliminate the needs that separate plating step.Equally, plating need be difficult in the fluid stream of the wide control down in the hole that is lower than 0.13 μ m.
The advantage of the double-deck copper of this embodiment of the present invention is to allow to carry out the copper deposition with relatively low heat budget.Tantalum is easy under higher heat budget and the oxide dewetting.IMP has and manyly is used for the identical covering advantages that deep hole is filled, but IMP is easy to operation under higher temperature because its produces the high flux of high-energy argon ion, argon ion with their energy consumption in the layer that will deposit.And IMP always implants some argons in the film of deposition.On the contrary, under higher relatively speed, the SIP layer of sedimentary facies to approaching, and because do not have argon, the not intrinsic underground heat of SIP technology.Equally, the SIP deposition rate is faster than IMP, so that any heat deposition is all shorter, to 1/2 of the factor.
Cool-point fire through the SIP plasma also reduces heat budget.Cool plasma igniting and treatment process are shown in the flow chart of Figure 23.After wafer inserted in the sputterer through load locking-valve (load lock valve), the load locking-valve was closed, and in step 690, balancing gas pressure.The argon chamber pressure rises to the pressure that is used to light a fire, generally 2 and about 5 to 10 millitorrs between, and the argon backside coolant gas supplied with the back of the wafer under the back side pressure of 5 to 10 holders greatly.In step 692,, general in 1 to 5 kilowatt scope with low-level target power output igniting argon.After detecting plasma igniting, in step 694, constant pressure descends fast, for example surpasses 3s, and target power output remains on low-level.If plan continues from sputter, close chamber's argon is supplied with, but plasma continues under the SSS pattern.For self-ionized plasma sputtering, reduce argon and supply with.Backside coolant gas continues to supply with.In case reduce argon pressure, in step 696, target power output rapidly increases to the sputter level of expection, for example 10 to 24 kilowatts, or bigger for the wafer of the 200mm that selects SIP or SSS sputter.Can combining step 694,696 through reducing pressure and ascending power simultaneously.In step 698, continue under the level of selecting, target to be applied power certain hour length, this time span is that sputtering sedimentation is selected the needed time span of thickness of material.This igniting operation Billy is cooler with the expection sputtering power level of igniting.If under the higher power level that is used for sputtering sedimentation of expectation, continue, higher argon pressure promotes igniting still can influence the neutral particle of sputter nocuously.Under lower igniting power, because in the low deposition rate that reduces under the power, the copper of deposition is considerably less.Equally, the base cooling keeps the substrate through the ignition process cooling.
Many characteristics of equipment of the present invention and technology can be applied to not comprise in the long sputter of throwing.
Though the present invention at present to the deposition of copper interlevel metallization and barrier layer and backing layer especially by usefulness, different aspect of the present invention can be applicable to other material of sputter and for other purpose.
Like the pending application sequence number of submitting on July 25th, 2002 is to describe among the No.10/202778 (Attorney Docket No.4044); Here it is all incorporated into for referencial use, can in the sputtering chamber of the generation SIP of chamber 152 (Fig. 4) and ICP plasma, deposit interconnection layer.If in the chamber such as chamber 152, deposit, target 156 will be formed by deposition materials, for example copper.In addition, if expect that particularly the coil sputter is used for some of interconnecting metal deposition or all, ICP coil 151 also can be formed by identical deposition materials.
As previously mentioned, the chamber 152 that illustrates can comprise lasting from the self-ionized sputter of the copper of sputter.In this case, after the plasma of lighting a fire, in the situation of SSS, close argon and supply with, and copper ion has enough high density with greater than 1 income sputter copper target again.Selectively, can continue to supply with some argon ions, but under the flow velocity that reduces and constant pressure and perhaps have and be not enough to support pure lasting target power output density from sputter, however have remarkable and reduce from the sputter mark.If argon pressure significantly increases on 5 millitorrs, argon can get on except that energy from copper ion, reduces from sputter thus.Wafer bias is with the part of the ionization of copper particle dearly in the inspiration hole.
Yet, obtaining than the deep hole coating in order to use the neutral flux of part, expectation increases the distance between target 156 and the wafer 158, promptly in order in above-mentioned long throwing pattern, to operate.In self-ionized plasma (SIP) sputter, inductively coupled plasma (ICP) sputter with continue controlled division in sputter (SSS) and allow the distribution between the neutral and ionized sputtering particle of control.This control is particularly conducive to the sputtering sedimentation of the copper seed layer in the via hole of high aspect ratio.Through mixing the control that (SIP) sputter of self-ionized plasma and inductively coupled plasma (ICP) sputter can obtain the ionized fraction of sputter.
An embodiment according to structure of the present invention is the path shown in the cutaway view among Figure 24.For example utilize long shown in Fig. 4 to throw the sputterer type and under promoting to merge SIP and ICP and/or selection SIP and ICP one condition in the two; Backing layer 704 in via hole 702 (it can comprise one or more layers barrier layer and backing layer, such as aforesaid TaN barrier layer and Ta backing layer) is gone up copper seed crystal layer 700.Here, reactor can have target, and this target comprises copper or other inculating crystal layer deposition materials.For example, can SIP-ICP copper layer 700 be deposited into 50 to 300nm or preferred 80 to 200nm coating thickness.SIP-ICP copper seed layer 700 preferably has the thickness of 2 to 20nm scopes on via sidewall, more preferably 7 to 15nm.For narrow hole, sidewall thickness should not surpass 50nm.Be reduced to less than 0 degree centigrade and preferably improve film quality through the temperature of falling base, so that the cooling that is provided by quick SIP deposition becomes important less than-40 degrees centigrade.
Think that SIP-ICP copper seed layer 700 has the sidewall covering that good bottom covers and improves.Like following detailed description, can in separation steps or during embryo deposit, cover with the inside bottom turning that the copper deposition materials that distributes again is increased in path by Resputtered copper seed layer 700, the middle body in the path bottom stays thin covering usually simultaneously.After copper seed crystal layer 700, (if desired, and distribute again), preferably utilize inculating crystal layer 700 as an electroplated electrode, use similar in appearance to the copper layer filler opening of the copper layer 347b ' of Figure 14 b through the electrochemistry plating.Yet the smooth structure of SIP-ICP copper seed layer 700 also promotes through the backflow of the copper of standard sputter or physical vapor deposition (PVD) or high temperature deposition.
In one embodiment, can in a step, combine to form the SIP-ICP layer in the technology aspect the selection of SIP and ICP deposition technique, this step is referred to as the SIP-ICP step here usually.In addition, the reactor 715 according to selecting embodiment except that coil 151, also has second coil 716, as shown in Figure 25.With the mode identical with coil 151, an end of coil 716 passes the output (Figure 26) that dark space shield 164 ' is inductively coupled to amplifier and matching network 717 through lead-in wire bearing 181.The input of matching network 717 is coupled to RF generator 718.The other end of coil 716 passes shielding 164 ' through lead-in wire bearing 182 and is inductively coupled to ground wire via block capacitor 719, so that the DC bias voltage is provided on the coil 716.Can control the DC bias voltage through the DC source 721 of separating.
In the SIP-ICP of ICP or merging step, for example, under the frequency of 1-3 kilowatt and 2MHz, apply the RF energy to one or two of RF coil 151 and 716.When coil 151 and 716 is applied in power, the RF energy is inductively coupled to the inside of reactor.The precursor gases of RF energetic ionization such as the argon that provides through coil comes the deposition materials of ionized sputtering under higher relatively pressure, to keep plasma.Yet, be better than under higher relatively pressure and keep plasma, generally for high density IMP technology such as the 20-60 millitorr, pressure preferably remains on fully low pressure, for example, such as 2 millitorrs.As a result, think that the ionization speed in reactor 150 is lower than the ionization speed of general high density IMP technology basically.
And as discussed above, the reactor 150 that illustrates can also self-ionized sputter under long throwing pattern.As a result, deposition materials can not only be ionized the result of conduct through the low pressure plasma of RF coil maintenance, can also be as the result of the DC magnetron sputtering that passes through target from the plasma of generation.Think that the SIP that merges and ICP ionization technology can be provided for the ionized material of the abundance of good bottom corners covering.Yet, think that also the lower ionization speed of the low pressure plasma that provides through RF coil 151 and 716 makes sufficient neutral sputter material can keep non-ionized, so that be deposited on the upper side wall through the long throwing ability of reactor.Therefore, think that SIP and the ICP source of merging of ionized deposition material can provide good upper side wall to cover and good bottom and bottom corners covering.In another embodiment, can alternately change to the power of coil 151 and 716 so that in first step,, eliminate or be reduced to the power of coil 716 with respect to power to lower coil 151.In this step, the center of inductively coupled plasma offsets and approaches substrate from target.This layout can reduce to close on self-ionized plasma that target produces with through the interaction between the inductively coupled plasma of one or more coils maintenances.The neutral sputter material that can keep as a result, higher proportion.
In second step, can power is reverse, so that eliminate or be reduced to the power of lower coil 151 with respect to the power that is applied to coil 716.In this step, substrate can and be left towards the target skew in the center of inductively coupled plasma.This layout can increase the ratio of ionized sputtering of materials.
In another embodiment, in two steps or multistep, form this layer, wherein in one goes on foot, generally be referred to as the SIP step here, seldom or do not have RF power to be applied to any coil.In addition, pressure is remained on relatively low level, for example 5 millitorrs more preferably are lower than 2 millitorrs, for example such as at 1 millitorr.And the power that is applied to target can be higher relatively, for example, and such as in the scope of 18-24 kilowatt of DC.For example under 500 watts power level, also apply bias voltage to substrate support.Under these conditions, think that the ionization meeting of deposition materials takes place mainly as the result of self-ionized plasma (SIP).Reactor in conjunction with long throwing pattern is arranged, thinks that can obtain to have the low good upper side wall that stretches out (low overhang) covers.For example, the layer segment that in this initial step, deposits can be in 1000-2000 dust scope.
In second step, generally be referred to as the ICP step here, and preferably in same chamber, one or two in coil 151 and 716 applies RF power.In addition, in one embodiment, pressure fully rises so that keep high-density plasma.For example, pressure can rise to the 20-60 millitorr, and the RF power that is applied to coil rises in 1-3 kilowatt the scope, and the DC power reduction that is applied to target is to 1-2 kilowatt and be decreased to 150 watts to the bias voltage of substrate support.Under these conditions, think that the ionization meeting of deposition materials takes place mainly as the result of high density ICP.The result in second step, can obtain good bottom and bottom corners covers.Power can simultaneously or alternately be applied on two coils, as stated.
After through the technology sputtering sedimentation copper seed layer that merges SIP and ICP, come the remainder of filler opening through identical or another technology.For example come the remainder of filler opening through plating or CVD.
The order that is to be appreciated that SIP and ICP step can put upside down and in the SIP step some RF power can be applied on one or more coils, and in the ICP step, introduce self-ionized.In addition, can in one or more steps, introduce and continue from sputter (SSS).Therefore, can change the technological parameter that comprises pressure, power and target to wafer distance, to obtain the result of expectation according to certain applications.
As previously mentioned, in coil 151 and 516, can independently or together operate.In one embodiment, coil is operated together, and the RF signal that wherein is applied to a coil squints so that produce helicon about the RF signal phase that is applied to another coil.For example, can come phase deviation RF signal, as described in the United States Patent(USP) No. 6264812 through the refraction of wavelength.
One embodiment of the present of invention comprise the integrated technique of preferably in integrated multicell instrument, putting into practice, such as at Endura 5500 platforms shown in the platform sketch map of Figure 27.People such as Tepman carry out functional descriptions to this platform in United States Patent (USP) 5186718.
With the wafer that via hole or other structure has been arranged in dielectric layer etch through two independently load locking room (load lock chamber) 732,734 be loaded into and shift out system, load locking room 732,734 is configured to send and shift out wafer to the system of load locking room separately from wafer case.Wafer case is contained in into load lock chamber 732, after 734, the chamber is being evacuated to suitably low pressure, for example, 10 -3To 10 -4In the scope of holder, and the slit valve between the load locking room and the first wafer handling chamber 736 is opened.Thereafter the pressure of the first wafer handling chamber 736 remains on low pressure.First manipulator 738 that is loaded into first transfer chamber 736 is sent to two exhausts/directed chamber 740,742 with wafer from box, and then to the first plasma pre-cleaning chamber 744, wherein hydrogen or argon plasma clean wafers are surperficial.If deposition CVD barrier layer, first manipulator 738 then with wafer handling to chamber, CVD barrier layer 746.After the CVD barrier deposition, manipulator 738 advances transition chamber 748, the second manipulators 750 with wafer handling and is sent to second transfer chamber 752 therefrom.Slit valve is separated chamber 744,746,748 so that isolation processing and stress level with first transfer chamber.
Second manipulator 750 is selectively sent out with the reative cell of wafer handling to surrounding periphery layout or from reative cell.The one IMP sputtering chamber 754 can be used for deposited copper.The deposition that can be used for SIP copper seed crystal or forming core layer similar in appearance to the SIP sputtering chamber 756 of above-described chamber 410.This chamber combines to be used for bottom and sidewall SIP that covers and the sputter again that is used to improve the bottom corners covering in the technology of an above-mentioned step or a plurality of steps.Equally, part barrier layer at least, for example, Ta/TaN, through SIP sputter and coil sputter and ICP again sputter deposit, and therefore SIP-ICP sputtering chamber 760 is used for the metal of sputter infusibility, maybe be in the nitrogen plasma of reaction.Identical SIP-ICP chamber 760 is used to deposit refractory metal and nitride thereof.CVD chamber 758 is used for the deposition of copper forming core, seed crystal or backing layer or accomplishes filling or the two in hole.Second transfer chamber 752 is selectively opened each of chamber 754,756,758,760 through slit valve.It is possible using various structure.For example, IMP chamber 754 can be substituted by the 2nd CVD copper chamber, if particularly CVD is used to accomplish the hole filling.
After low pressure is handled, second manipulator 750 with wafer handling to the thermal chamber of placing immediately 762, if preceding processing be heat or for needing rapid thermal treatment (RTP) chamber of metallization annealing, this chamber 762 can be cooling chamber.After heat treatment, first manipulator is regained wafer and it is sent back in the box among in the load locking room 732,734.Certainly, can adopt other structure, put into practice the present invention according to the step of integrated technique.
Through on control bus 772 operation computer based controller 770 control whole system with communicate by letter with the relevant sub-controller in each chamber.Through reading technical recipe in computer-readable recording medium 774 slave controllers 770, such as being inserted in floppy disc or CD-ROM controller 770 or on communication line (communication link) 776.
The characteristic of a lot of equipment of the present invention and technology can be applied to not comprise long sputter of throwing.Though the present invention is particularly useful with copper inter-stage (inter-level) metallization for tantalum and tantalum nitride liner layer deposition at present, different aspect of the present invention can be applied to other material of sputter and other purpose.The provisional application No.60/316137 that submits to August 30 calendar year 2001 relates to sputter and sputtering technology again, here it is all incorporated into for referencial use.
Certainly, should be appreciated that the modification of the present invention in its various aspects is conspicuous to those skilled in the art, some need be exactly conspicuous through study only, and other are conventional machinery and technological design.Also possibly be other embodiment, their particular design depends on certain applications.Likewise, scope of the present invention should not limited and should only be limited appended claims and equivalent thereof specific embodiment described herein.

Claims (40)

1. one kind forms the method that interconnects in the hole, and said hole has at least 3: 1 aspect ratio and in the dielectric layer of substrate, forms, and this method comprises:
In vacuum chamber, utilize to be arranged on the magnetron on target one side, the said target of sputter, said vacuum chamber have the sidewall of arranging around the axis of centres; With
Ion is throwed to the substrate by base support; Wherein said ion is a chamber working gas and from least a ion of the deposition materials of said target sputter; Said base is relative with the said target of the said axis of centres in edge; Wherein said target and said base are spaced apart through 50% cast greater than said substrate diameter, said projection utilization at least part be arranged on processing space between said substrate and the said target around and have along the auxiliary magnet of first magnetic polarity of the said axis of centres.
2. the method for claim 1; Also comprise; Under first power level to said undercoat biasing, with the target material sputtering sedimentation in said hole and be higher than under second power level of said first power level; To said undercoat biasing, with the base section of the material of sputtering sedimentation in said hole again; Perhaps also comprise; Under first power level, apply power to said target, with the target material sputtering sedimentation in said hole, and be lower than under second power level of said first power level; Apply power to said target, with the base section of the material of sputtering sedimentation in said hole again.
3. method as claimed in claim 2, wherein, under the biased situation of said undercoat, said first power level less than 600 watts said second power level greater than 600 watts.
4. method as claimed in claim 2 wherein, applies to said target under the situation of power, said first power level greater than 30000 watts said second power level less than 30000 watts.
5. the method for claim 1, wherein said cast is greater than 100% of the said diameter of said substrate.
6. the method for claim 1, wherein said cast is greater than 140% of the said diameter of said substrate.
7. the method for claim 1, wherein said chamber have about said axle first conductive shield of symmetry substantially, and said first conductive shield is arranged on said indoorly, and wherein said auxiliary magnet is arranged to about said axle symmetry substantially; Perhaps wherein said auxiliary magnet is placed half position towards the said processing space of said substrate substantially.
8. the method for claim 1 also comprises, during the first at least of said sputter, said indoor pressure is controlled at the pressure that is not more than 2 millitorrs.
9. the method for claim 1 also comprises, produces the self-ionized plasma comprise ion, to utilize the deposition materials of magnetron ionization sputter from the said target.
10. method as claimed in claim 9 also is included under first power level to said undercoat biasing, so that Ionized deposition materials is attracted in the hole in the said substrate; Said hole has at least 3: 1 height to wide aspect ratio; In each of said hole, to form deposited material layer, wherein said layer has base section, sidewall sections and the corner part between said base section and said sidewall sections, and in second step; Be higher than under second power level of said first power level; To said undercoat biasing, to attract ion from the said base section of said layer, sputter-deposited materials to said at least corner part again.
11. method as claimed in claim 10; Wherein under first power level,, comprise said substrate biasing; Apply power to said base being lower than under 600 watts the level; And wherein under second power level to said substrate biasing, comprise, apply power to said base being higher than under 600 watts the level.
12. method as claimed in claim 9; Also comprise said undercoat biasing; While said target of sputter under first power level, so that Ionized deposition materials is attracted in the hole in the said substrate, said hole has at least 3: 1 height to wide aspect ratio; In each of said hole, to form deposited material layer; Wherein said layer has base section, sidewall sections and the corner part between said base section and said sidewall sections, and in second step, to said undercoat biasing; Simultaneously be lower than the said target of sputter under second power level of said first power level, to attract ion from sputter-deposited materials to said at least corner part again on the said base section of said layer.
13. method as claimed in claim 12; The said target of said sputter under said first power level wherein; Be included in to surpass under 30 kilowatts the level and apply power to said target; And wherein the said target of said sputter under said second power level is included under the level that is lower than 30 kilowatts and applies power to said target.
14. the method for claim 1, wherein said target material comprises copper.
15. the method for claim 1 also comprises, about said axis of centres rotating magnetron.
16. comprising, method as claimed in claim 15, wherein said magnetron have along the interior permanent magnet of second magnetic polarity of the said axis of centres with around said interior permanent magnet and have along the external magnetic poles of the said axis of centres the 3rd magnetic polarity opposite with said first magnetic polarity.
17. method as claimed in claim 16, wherein said interior permanent magnet are placed away from the said axis of centres fully.
18. method as claimed in claim 16, wherein said first polarity is consistent with said the 3rd magnetic polarity.
19. method as claimed in claim 16, the total magnetic intensity of wherein said external magnetic poles are at least 150% of said interior permanent magnet.
20. the method for claim 1, wherein said auxiliary magnet comprises permanent magnet.
21. the method for claim 1, wherein said auxiliary magnet comprises electromagnet.
22. the method for claim 1; Also comprise; About the back side rotating magnetron of said target, said magnetron has the area of 1/4 area that is not more than said target, and comprises the interior permanent magnet of a kind of magnetic polarity of being surrounded by the opposite external magnetic poles of magnetic polarity; The magnetic flux of said external magnetic poles closes on the self-ionized plasma of said target than the said magnetic flux of said interior permanent magnet greatly at least 50% with generation.
23. a plasma sputter reactors comprises:
Vacuum chamber has the sidewall of arranging around the axis of centres;
Base is used for substrate supports at said vacuum chamber;
Sputtering target along the said axis of centres and said base relative positioning, is handled the space, forms in its zone between said base, said target and said sidewall, and wherein said target and said base pass through greater than 50% cast of said substrate diameter spaced apart;
Magnetron, it is positioned on the side of the said target relative with said processing space; With
Auxiliary magnet, its at least part be arranged on said processing space around and have first magnetic polarity along the said axis of centres.
24. reactor as claimed in claim 23, wherein said cast is greater than 100% of the said diameter of said substrate.
25. reactor as claimed in claim 23, wherein said cast is greater than 140% of the said diameter of said substrate.
26. reactor as claimed in claim 23 also comprises, about said axle substantially symmetry and be arranged on the said first indoor conductive shield, wherein said auxiliary magnet is arranged to about said axle symmetry substantially.
27. reactor as claimed in claim 26, wherein said auxiliary magnet are placed half position towards the said processing space of said substrate substantially.
28. reactor as claimed in claim 23 also comprises, the compression pump that is connected to said chamber be suitable for controlling said compression pump and during the first at least of the said target of sputter, the pressure in the said chamber be controlled at the controller that is not more than 2 millitorrs.
29. reactor as claimed in claim 23, wherein said magnetron close on said target location and are suitable for producing and comprise the plasma of the locating ion deposition materials with ionization sputter from the said target; Perhaps wherein said magnetron can be about said axis of centres rotation.
30. reactor as claimed in claim 29, wherein said magnetron comprise have along the interior permanent magnet of second magnetic polarity of the said axis of centres with surround said interior permanent magnet and have along the external magnetic poles of the said axis of centres the 3rd magnetic polarity opposite with said first magnetic polarity.
31. reactor as claimed in claim 30, wherein said first polarity is consistent with said the 3rd magnetic polarity.
32. reactor as claimed in claim 30, the total magnetic intensity of wherein said external magnetic poles is at least 150% of said interior permanent magnet.
33. reactor as claimed in claim 30, wherein said interior permanent magnet are placed away from the said axis of centres fully.
34. like each described reactor of claim 29-33; Also comprise, be connected to the bias generator of said base and be suitable for controlling the controller of said bias generator; In first step; Under first power level to said undercoat biasing, so that Ionized deposition materials is attracted in the hole in the said substrate, in each of said hole, to form deposited material layer; Wherein said layer has base section, sidewall sections and the corner part between said base section and said sidewall sections; And in second step, be higher than under second power level of said first power level, to said undercoat biasing to attract ion from sputter-deposited materials to said at least corner part again on the said base section of said layer.
35. being lower than 600 watts and wherein said second power level, reactor as claimed in claim 34, wherein said first power level be higher than 600 watts.
36. like each described reactor of claim 29-33; Also comprise, be suitable for applying the power supply of power, the controller that is connected to the bias generator of said base and is suitable for controlling said target power supply and said bias generator to said target; To the undercoat biasing; The said target of sputter under first power level simultaneously is being attracted to Ionized deposition materials in the said hole in the said substrate, in each of said hole, to form deposited material layer; Wherein said layer has base section, sidewall sections and the corner part between said base section and said sidewall sections; And in second step,, be lower than under second power level of said first power level simultaneously said undercoat biasing; The said target of sputter is to attract ion from sputter-deposited materials to said at least corner part again on the said base section of said layer.
37. reactor as claimed in claim 36; Wherein when the said target of sputter under said first power level; Said power supply is applying power above under 1 kilowatt the level to said target; And wherein when the said target of sputter under second power level, said power supply applies power to said target being lower than under 1 kilowatt the level.
38. reactor as claimed in claim 23, wherein said target material comprises copper.
39. reactor as claimed in claim 23, wherein said auxiliary magnet comprises permanent magnet.
40. reactor as claimed in claim 23, wherein said auxiliary magnet comprises electromagnet.
CN2010101634307A 2001-11-14 2002-11-14 Self-ionized and inductively-coupled plasma for sputtering and resputtering Expired - Lifetime CN101847598B (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US09/993,543 US6610184B2 (en) 2001-11-14 2001-11-14 Magnet array in conjunction with rotating magnetron for plasma sputtering
US09/993,543 2001-11-14
US34260801P 2001-12-21 2001-12-21
US60/342,608 2001-12-21
US10/202,778 2002-07-25
US10/202,778 US20030116427A1 (en) 2001-08-30 2002-07-25 Self-ionized and inductively-coupled plasma for sputtering and resputtering

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN028271017A Division CN1656243B (en) 2001-11-14 2002-11-14 Autoionizing inductive coupling plasma used for sputtering and re-sputtering

Publications (2)

Publication Number Publication Date
CN101847598A CN101847598A (en) 2010-09-29
CN101847598B true CN101847598B (en) 2012-06-20

Family

ID=34468346

Family Applications (2)

Application Number Title Priority Date Filing Date
CN028271017A Expired - Lifetime CN1656243B (en) 2001-11-14 2002-11-14 Autoionizing inductive coupling plasma used for sputtering and re-sputtering
CN2010101634307A Expired - Lifetime CN101847598B (en) 2001-11-14 2002-11-14 Self-ionized and inductively-coupled plasma for sputtering and resputtering

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN028271017A Expired - Lifetime CN1656243B (en) 2001-11-14 2002-11-14 Autoionizing inductive coupling plasma used for sputtering and re-sputtering

Country Status (3)

Country Link
JP (4) JP5296956B2 (en)
KR (4) KR101312690B1 (en)
CN (2) CN1656243B (en)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR101312690B1 (en) * 2001-11-14 2013-09-27 어플라이드 머티어리얼스, 인코포레이티드 Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7901545B2 (en) * 2004-03-26 2011-03-08 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
US20060172536A1 (en) * 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
JP4967354B2 (en) 2006-01-31 2012-07-04 東京エレクトロン株式会社 Seed film formation method, plasma film formation apparatus, and storage medium
US8936702B2 (en) * 2006-03-07 2015-01-20 Micron Technology, Inc. System and method for sputtering a tensile silicon nitride film
JP2008010532A (en) * 2006-06-28 2008-01-17 Sony Corp Manufacturing method of semiconductor device
JP4336739B2 (en) 2007-06-04 2009-09-30 キヤノンアネルバ株式会社 Deposition equipment
CN101447274B (en) * 2008-09-26 2011-05-11 东莞宏威数码机械有限公司 Magnetic circuit mechanism, magnetron sputtering cathode therewith and production method thereof
US9752228B2 (en) * 2009-04-03 2017-09-05 Applied Materials, Inc. Sputtering target for PVD chamber
US8795487B2 (en) * 2010-03-31 2014-08-05 Applied Materials, Inc. Physical vapor deposition chamber with rotating magnet assembly and centrally fed RF power
GB2486941C (en) * 2010-12-08 2014-07-30 Oc Oerlikon Balters Ag Apparatus and method for depositing a layer onto asubstrate
CN102820255A (en) * 2011-06-08 2012-12-12 无锡华润上华半导体有限公司 Method for physics vapor deposition (PVD) film
US9159964B2 (en) 2012-09-25 2015-10-13 Front Edge Technology, Inc. Solid state battery having mismatched battery cells
US8753724B2 (en) * 2012-09-26 2014-06-17 Front Edge Technology Inc. Plasma deposition on a partially formed battery through a mesh screen
US9580795B2 (en) * 2013-03-05 2017-02-28 Applied Materials, Inc. Sputter source for use in a semiconductor process chamber
WO2014187939A1 (en) * 2013-05-23 2014-11-27 Oerlikon Advanced Technologies Ag Method for filling vias and substrate-via filling vacuum processing system
US9779920B2 (en) * 2013-08-14 2017-10-03 Applied Materials, Inc. Sputtering target with backside cooling grooves
CN104593735B (en) * 2013-11-01 2017-10-13 北京北方华创微电子装备有限公司 A kind of shielding construction for reaction chamber
CN103572239B (en) * 2013-11-15 2015-10-28 清华大学 A kind of collimation method improving eccentric rotary magnetic control sputtering system
CN105810545B (en) * 2014-12-30 2017-09-29 中微半导体设备(上海)有限公司 A kind of inductively coupled plasma reactor
US10008739B2 (en) 2015-02-23 2018-06-26 Front Edge Technology, Inc. Solid-state lithium battery with electrolyte
GB201505578D0 (en) 2015-03-31 2015-05-13 Spts Technologies Ltd Method and apparatus for depositing a material
KR20180091948A (en) * 2016-01-06 2018-08-16 어플라이드 머티어리얼스, 인코포레이티드 Systems and methods for shielding features of a workpiece during electrochemical deposition
GB201706284D0 (en) 2017-04-20 2017-06-07 Spts Technologies Ltd A method and apparatus for controlling stress variation in a material layer formed via pulsed DC physical vapour deposition
KR101985830B1 (en) * 2017-05-23 2019-06-05 (주)울텍 physical vapor deposition apparatus
CN110112094B (en) * 2018-02-01 2021-06-04 长鑫存储技术有限公司 Aluminum film low-temperature sputtering method, aluminum conductor layer manufacturing method and structure with aluminum conductor layer
WO2020004619A1 (en) * 2018-06-28 2020-01-02 株式会社アルバック Sputter deposition device
US11257677B2 (en) 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
WO2021245893A1 (en) 2020-06-04 2021-12-09 国立大学法人東北大学 Semiconductor device
FR3130851A1 (en) * 2021-12-21 2023-06-23 Societe Des Ceramiques Techniques Process for producing a sub-stoichiometric oxygen layer of an oxide of titanium, vanadium, tungsten or molybdenum
CN114686831B (en) * 2022-03-11 2023-11-07 中国电子科技集团公司第四十八研究所 Metal self-ionization device for deep hole PVD and film plating method

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JPH04297575A (en) * 1991-03-25 1992-10-21 Bridgestone Corp Thin-film coating method
JP2973058B2 (en) * 1992-07-27 1999-11-08 日本真空技術株式会社 High vacuum / high speed ion processing equipment
JPH06158299A (en) * 1992-11-19 1994-06-07 Hitachi Ltd Method and device for forming thin film and integrated circuit device
US5496455A (en) * 1993-09-16 1996-03-05 Applied Material Sputtering using a plasma-shaping magnet ring
KR970002891A (en) * 1995-06-28 1997-01-28 배순훈 Sputtering device for thin film deposition
US5907220A (en) * 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
JP3847866B2 (en) * 1996-11-21 2006-11-22 株式会社アルバック Sputtering equipment
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
JPH111770A (en) * 1997-06-06 1999-01-06 Anelva Corp Sputtering apparatus and sputtering method
KR20010032498A (en) * 1997-11-26 2001-04-25 조셉 제이. 스위니 Damage-free sculptured coating deposition
JP3500564B2 (en) * 1997-12-19 2004-02-23 富士通株式会社 Method for manufacturing semiconductor device
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
JP3448227B2 (en) * 1998-10-30 2003-09-22 アプライド マテリアルズ インコーポレイテッド Self-sputtering method
US6254745B1 (en) * 1999-02-19 2001-07-03 Tokyo Electron Limited Ionized physical vapor deposition method and apparatus with magnetic bucket and concentric plasma and material source
JP2000332106A (en) * 1999-05-19 2000-11-30 Sony Corp Semiconductor device for its manufacture
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
SG87187A1 (en) * 1999-10-18 2002-03-19 Applied Materials Inc Pvd-imp tungsten and tungsten nitride as a liner, barrier and/or seed layer for tungsten, aluminium and copper applications
US6228236B1 (en) * 1999-10-22 2001-05-08 Applied Materials, Inc. Sputter magnetron having two rotation diameters
US6350353B2 (en) * 1999-11-24 2002-02-26 Applied Materials, Inc. Alternate steps of IMP and sputtering process to improve sidewall coverage
KR100365643B1 (en) * 2000-10-09 2002-12-26 삼성전자 주식회사 Method for forming damascene interconnection of semiconductor device and damascene interconnection fabricated thereby
KR101312690B1 (en) * 2001-11-14 2013-09-27 어플라이드 머티어리얼스, 인코포레이티드 Self-ionized and inductively-coupled plasma for sputtering and resputtering
JP2010153219A (en) * 2008-12-25 2010-07-08 Toyota Motor Corp Fuel cell system

Also Published As

Publication number Publication date
KR20100049710A (en) 2010-05-12
CN1656243B (en) 2010-06-16
KR20100051882A (en) 2010-05-18
CN1656243A (en) 2005-08-17
KR20040065222A (en) 2004-07-21
JP2005510045A (en) 2005-04-14
JP5296956B2 (en) 2013-09-25
JP2010283360A (en) 2010-12-16
KR101312690B1 (en) 2013-09-27
JP2015201662A (en) 2015-11-12
JP5876213B2 (en) 2016-03-02
JP6336945B2 (en) 2018-06-06
KR100993046B1 (en) 2010-11-08
JP2013189711A (en) 2013-09-26
KR101179726B1 (en) 2012-09-04
KR20120043163A (en) 2012-05-03
KR101179727B1 (en) 2012-09-04
CN101847598A (en) 2010-09-29
JP5960087B2 (en) 2016-08-02

Similar Documents

Publication Publication Date Title
CN101847598B (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7504006B2 (en) Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US7048837B2 (en) End point detection for sputtering and resputtering
CN1620712A (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR100843514B1 (en) Self-ionized plasma for sputtering copper
CN101243202B (en) Aluminum sputtering while biasing wafer
US20180327893A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
US20120228125A1 (en) Creation of magnetic field (vector potential) well for improved plasma deposition and resputtering uniformity
WO2003042424A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
US20040000478A1 (en) Rotating hollow cathode magnetron

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20120620

CX01 Expiry of patent term