JP5960087B2 - Self-ionized and inductively coupled plasmas for sputtering and resputtering - Google Patents

Self-ionized and inductively coupled plasmas for sputtering and resputtering Download PDF

Info

Publication number
JP5960087B2
JP5960087B2 JP2013082450A JP2013082450A JP5960087B2 JP 5960087 B2 JP5960087 B2 JP 5960087B2 JP 2013082450 A JP2013082450 A JP 2013082450A JP 2013082450 A JP2013082450 A JP 2013082450A JP 5960087 B2 JP5960087 B2 JP 5960087B2
Authority
JP
Japan
Prior art keywords
target
chamber
plasma
sputtering
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2013082450A
Other languages
Japanese (ja)
Other versions
JP2013189711A (en
Inventor
ディン,ペイジュン
ロン タオ,
ロン タオ,
ゼン シュー,
ゼン シュー,
ダニエル, シー. ルーベン,
ダニエル, シー. ルーベン,
サラジ レンガラジャン,
サラジ レンガラジャン,
マイケル, エー. ミラー,
マイケル, エー. ミラー,
アルヴァンド サンダーラジャン,
アルヴァンド サンダーラジャン,
シャンミン タン,
シャンミン タン,
フォースター, ジョン, シー.
ジョン, シー. フォースター,
ジャンミン フ,
ジャンミン フ,
ロデリック, シー. モゼリー,
ロデリック, シー. モゼリー,
チェン, フセン
フセン チェン,
プラバラム ゴパルラジャ,
プラバラム ゴパルラジャ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/993,543 external-priority patent/US6610184B2/en
Priority claimed from US10/202,778 external-priority patent/US20030116427A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013189711A publication Critical patent/JP2013189711A/en
Application granted granted Critical
Publication of JP5960087B2 publication Critical patent/JP5960087B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3457Sputtering using other particles than noble gas ions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics

Description

[001]本出願は、2000年10月10日に出願された係属中の出願第09/685,978号の一部継続出願、(米国特許第6,398,929号として発行された)1999年10月8日に出願された出願第09/414,614号の分割出願であり、また、(2001年8月30日に出願された仮出願第60/316,137号、および2001年12月21日に出願された同第60/342,608号に対して優先権を主張する)2002年7月25日に出願された係属中の出願第10/202,778号の一部継続出願であり、また、2001年11月14日に出願された係属中の出願第09/993,543号の一部継続出願であり、これら全体を本願明細書に組み入れる。   [001] This application is a continuation-in-part of pending application 09 / 685,978, filed October 10, 2000, published as US Pat. No. 6,398,929, 1999. Which is a divisional application of application 09 / 414,614 filed on October 8, 2001, and (provisional application 60 / 316,137, filed August 30, 2001, and 2001-12). No. 60 / 342,608 filed on May 21st, claiming priority) Partial continuation of pending application 10 / 202,778 filed July 25, 2002 And is a continuation-in-part of pending application 09 / 993,543 filed on November 14, 2001, which is incorporated herein in its entirety.

[002]本発明は、一般に、スパッタリング及び再スパッタリングに関する。具体的には、本発明は、半導体集積回路の形成における、材料物質のスパッタ堆積及び堆積した材料物質の再スパッタリングに関する。   [002] The present invention relates generally to sputtering and resputtering. Specifically, the present invention relates to sputter deposition of material material and resputtering of deposited material material in the formation of semiconductor integrated circuits.

[003]半導体集積回路は、通常、多数のアクティブな半導体デバイス間に電気的接続を形成するために、複数の層のメタライゼーションを含む。進歩した集積回路、特にマイクロプロセッサ用集積回路は、5つ以上のメタライゼーション層を含む。従来、アルミニウムは、有利なメタライゼーションであったが、進歩した集積回路のためのメタライゼーションとして、銅が新たに使用されてきている。   [003] Semiconductor integrated circuits typically include multiple layers of metallization to form electrical connections between multiple active semiconductor devices. Advanced integrated circuits, particularly microprocessor integrated circuits, include five or more metallization layers. In the past, aluminum has been an advantageous metallization, but copper has newly been used as a metallization for advanced integrated circuits.

[004]典型的なメタライゼーション層を、図1の断面図に示す。低位の層110は、導電性形状構成112を含む。低位の層110が、シリカや他の絶縁材料等の低位の絶縁層である場合、導電性形状構成112は、低位の銅メタライゼーションであってもよく、また、上位のメタライゼーションの垂直部分は、2つの層のメタライゼーションを相互接続するため、ビアと呼ばれている。低位の層110がシリコン層である場合、導電性形状構成112は、ドープされたシリコン領域であってもよく、また、ホール内に形成された上位のメタライゼーションの垂直部分は、シリコンと電気的に接触するため、コンタクトと呼ばれている。上位の絶縁層114は、低位の絶縁層110及び低位のメタライゼーション112を覆って堆積されている。ラインやトレンチを含む上記ホールの他の形状もある。また、デュアルダマシン及び同様の配線構造においては、以下に説明するように、上記ホールは複雑な形状を有する。いくつかの適用においては、上記ホールは、上記絶縁層を貫通して伸びていないこともある。以下の説明においては、ビアホールのみを説明するが、たいていの状況において、該説明は、当技術分野で周知のように多少の変更によって、他の種類のホールにも同様に良好に適用できる。   [004] A typical metallization layer is shown in the cross-sectional view of FIG. The lower layer 110 includes a conductive feature 112. If the lower layer 110 is a lower insulating layer, such as silica or other insulating material, the conductive feature 112 may be a lower copper metallization and the vertical portion of the upper metallization is It is called a via to interconnect the two layers of metallization. If the lower layer 110 is a silicon layer, the conductive feature 112 may be a doped silicon region and the vertical portion of the upper metallization formed in the hole is electrically connected to the silicon. It is called a contact because it touches. An upper insulating layer 114 is deposited over the lower insulating layer 110 and the lower metallization 112. There are other shapes for the holes including lines and trenches. In the dual damascene and similar wiring structure, the hole has a complicated shape as described below. In some applications, the holes may not extend through the insulating layer. In the following description, only via holes are described, but in most situations, the description is equally well applicable to other types of holes with minor modifications as is well known in the art.

[005]従来、上記絶縁層は、前駆物質としてテトラエトキシシラン(TEOS)を使用した、プラズマCVD(plasma−enhanced chemical vapor deposition;PECVD)によって形成されたシリコン酸化膜である。しかし、他の組成の低比誘電率(low−k)材料及び堆積技術も考えられている。開発されているlow−k絶縁体のうちのいくつかは、フッ化シリケートガラス等の珪酸塩として特徴付けることができる。以後、シリケート(酸化物)絶縁体のみを直接的に説明するが、他の絶縁体構成を用いることができることも意図されている。   [005] Conventionally, the insulating layer is a silicon oxide film formed by plasma CVD (plasma-enhanced chemical vapor deposition; PECVD) using tetraethoxysilane (TEOS) as a precursor. However, other compositions of low dielectric constant (low-k) materials and deposition techniques are also contemplated. Some of the low-k insulators that have been developed can be characterized as silicates such as fluorinated silicate glass. Hereinafter, only the silicate insulator will be described directly, but it is also contemplated that other insulator configurations can be used.

[006]ビアホールは、シリケート絶縁体の場合、一般に、フッ素をベースとしたプラズマエッチングプロセスを用いて、上位の絶縁層114内にエッチングされる。進歩した集積回路においては、該ビアホールは、0.18μm程度またはそれ以下の幅を有する。絶縁層114の厚さは、通常、少なくとも0.7μmであり、またはその2倍であり、そのため、該ホールのアスペクト比は、4:1以上となる。6:1以上のアスペクト比が提案されている。さらに、たいていの状況においては、上記ビアホールは、垂直の断面を有する。   [006] Via holes, in the case of silicate insulators, are typically etched into the upper insulating layer 114 using a plasma etching process based on fluorine. In advanced integrated circuits, the via hole has a width on the order of 0.18 μm or less. The thickness of the insulating layer 114 is typically at least 0.7 μm, or twice that, so that the aspect ratio of the holes is 4: 1 or more. An aspect ratio of 6: 1 or higher has been proposed. Furthermore, in most situations, the via hole has a vertical cross section.

[007]ライナー層116は、上記ホールの底部及び側部と、絶縁層114の上に堆積することができる。ライナー116は、いくつかの機能を実行することができる。該ライナーは、金属膜は、酸化物から剥離しようとするため、該絶縁体と該金属との間の接着層として作用することができる。また、該ライナーは、酸化物をベースとした絶縁体と金属との間の相互拡散に対するバリアとして作用することもできる。該ライナーは、上記ホールを充填する金属の堆積のための均一な接着、成長及び可能な限り低い温度のリフローを促進するための、および個別のシード層の成長の核もなすためのシード及び核形成層として作用してもよい。1つまたはそれ以上のライナー層を堆積させてもよく、1つの層は、主にバリア層として機能し、他の層は、主に接着層、シード層または核形成層として機能してもよい。   [007] A liner layer 116 may be deposited on the bottom and sides of the hole and on the insulating layer 114. The liner 116 can perform several functions. The liner can act as an adhesive layer between the insulator and the metal because the metal film tends to peel from the oxide. The liner can also act as a barrier against interdiffusion between the oxide-based insulator and the metal. The liner is a seed and nucleus for promoting uniform adhesion, growth and reflow at the lowest possible temperature for the deposition of the metal filling the holes, and also for nucleation of the growth of individual seed layers. It may act as a forming layer. One or more liner layers may be deposited, one layer serving primarily as a barrier layer, and the other layer serving primarily as an adhesion layer, seed layer or nucleation layer. .

[008]その後、銅等の導電性金属からなる配線層118が、例えば、上記ホールを充填するためにライナー層116を覆って、および絶縁層114の上部を被覆するために堆積される。従来のアルミメタライゼーションは、金属層118の平坦部の選択性エッチングによって、水平配線部内にパターン形成される。しかし、デュアルダマシンと呼ばれる銅メタライゼーションのための方法は、絶縁層114内の上記ホールを2つの接続部分に形成し、第1の接続部分は、該絶縁層の底部を貫通する狭いビアであり、第2の接続部分は、該ビアを相互接続する表面部分内の幅広のトレンチである。金属堆積の後、上記絶縁体酸化物上に露出した比較的柔らかい銅を除去するが、より固い酸化物上で停止する、化学的機械的研磨(chemical mechanical polishing;CMP)が行われる。その結果、隣接する低位層の導電性形状構成112と同様の、上記上位の複数の銅が充填されたトレンチが互いに絶縁される。該銅が充填されたトレンチは、該銅が充填されたビア間の水平方向の配線として機能する。デュアルダマシンとCMPとの組み合わせにより、銅をエッチングする必要性がなくなる。複数層構造及びエッチング順序は、デュアルダマシンのために進歩してきており、また他のメタライゼーション構造も、同様の製造上の必要条件を有する。   [008] Thereafter, a wiring layer 118 made of a conductive metal such as copper is deposited, for example, to cover the liner layer 116 to fill the holes and to cover the top of the insulating layer 114. Conventional aluminum metallization is patterned in the horizontal wiring portion by selective etching of the flat portion of the metal layer 118. However, a method for copper metallization called dual damascene forms the hole in the insulating layer 114 in two connecting portions, and the first connecting portion is a narrow via that penetrates the bottom of the insulating layer. The second connection portion is a wide trench in the surface portion that interconnects the vias. After metal deposition, a chemical mechanical polishing (CMP) is performed that removes the relatively soft copper exposed on the insulator oxide but stops on the harder oxide. As a result, the upper copper filled trenches, similar to the adjacent lower layer conductive features 112, are isolated from each other. The copper filled trench functions as a horizontal wiring between vias filled with the copper. The combination of dual damascene and CMP eliminates the need to etch copper. Multi-layer structures and etch sequences have progressed for dual damascene, and other metallization structures have similar manufacturing requirements.

[009]デュアルダマシンにおいて生じる、ビアホールを裏打ちすること及び充填すること、および同様の高アスペクト比構造は、それらのアスペクト比が増加し続けた場合、継続的な挑戦をもたらす。4:1のアスペクト比は一般的であり、該値は、さらに増加するであろう。本願明細書において用いるアスペクト比は、上記ホールの深さと、通常その上部面に近い、該ホールの最も狭い幅との比として定義される。また、0.18μmのビア幅も一般的であり、該値は、さらに減少するであろう。酸化物絶縁体内に形成された進歩した銅配線の場合、上記バリア層の形成は、上記核形成層及びシード層から明確に絶縁されようとする。拡散バリアは、Ta/TaN、W/WNまたはTi/TiNあるいは他の構造からなる二重層で形成してもよい。10〜50nmのバリアの厚さが一般的である。銅配線の場合、上記核形成及びシード機能を満たすために、1つまたはそれ以上の銅層を堆積させることが実用的であることが分かっている。   [009] Backing and filling via holes and similar high aspect ratio structures that occur in dual damascenes pose ongoing challenges as their aspect ratios continue to increase. An aspect ratio of 4: 1 is common and the value will increase further. The aspect ratio used herein is defined as the ratio of the depth of the hole to the narrowest width of the hole, usually close to the top surface. A via width of 0.18 μm is also common and the value will be further reduced. In the case of advanced copper wiring formed in an oxide insulator, the formation of the barrier layer tends to be clearly insulated from the nucleation layer and seed layer. The diffusion barrier may be formed of a double layer consisting of Ta / TaN, W / WN or Ti / TiN or other structures. A barrier thickness of 10-50 nm is common. In the case of copper interconnects, it has been found practical to deposit one or more copper layers to satisfy the nucleation and seed functions.

[0010]スパッタリングとも呼ばれる、従来の物理気相堆積(physical vapor deposition;PVD)による上記ライナー層またはメタライゼーションの堆積は、比較的速い。DCマグネトロンスパッタリングリアクタは、スパッタ堆積すべき金属からなり、かつ直流電源によって動かされるターゲットを有する。該マグネトロンは、プラズマ密度を高めて、それによってスパッタリング速度を増加させるために、該ターゲットの裏の周りをスキャンし、その磁界を上記リアクタの一部に突出している。しかし、(導入すべき他の種類のスパッタリングとは対照的にPVDと呼ぶことにする)従来のDCスパッタリングは、中性の原子を主にスパッタする。PVDにおける一般的なイオン密度は、109cm-3以下である。また、PVDは、原子を広角度の配分で原子をスパッタする傾向があり、目標の垂線周りのコサイン依存性を一般的に有する。このような高配分は、バリア層124がすでに堆積されている、図2に示すような深くかつ狭いビアホール122を充填することに対しては、不利になる可能性がある。多数の角度を外れたスパッタ粒子は、層126を、ホール122の上方角部の周囲に優先的に付着させ、オーバハング128を形成する可能性がある。大きなオーバハングは、さらに、ホール122内への進入を制限し、ホール122の側壁130及び底部132の不十分な被覆性を生じる可能性がある。また、該オーバハング128は、ホール122が充填され、かつホール122内のメタライゼーションにボイド134を形成する前に、該ホールをブリッジ接続する可能性がある。ボイド134が一旦形成されると、該メタライゼーションを、その融点付近まで加熱することによって該ボイドをリフローすることは困難である。小さなボイドでさえ、信頼性の問題を引き起こす可能性がある。例えば、電気メッキ等による第2のメタライゼーション堆積工程を予定している場合、上記ブリッジ接続したオーバハングは、連続的な堆積をより困難にする。 [0010] The deposition of the liner layer or metallization by conventional physical vapor deposition (PVD), also called sputtering, is relatively fast. The DC magnetron sputtering reactor has a target made of metal to be sputter deposited and driven by a DC power source. The magnetron scans around the back of the target and projects its magnetic field into a portion of the reactor to increase the plasma density and thereby increase the sputtering rate. However, conventional DC sputtering (which will be referred to as PVD as opposed to other types of sputtering to be introduced) mainly sputters neutral atoms. The general ion density in PVD is 10 9 cm −3 or less. PVD also tends to sputter atoms with a wide angle distribution, and generally has cosine dependence around the target normal. Such a high distribution can be disadvantageous for filling deep and narrow via holes 122 as shown in FIG. 2 where a barrier layer 124 has already been deposited. Multiple off-angle sputtered particles can preferentially deposit layer 126 around the upper corner of hole 122 and form overhang 128. Large overhangs may further limit entry into the hole 122 and result in poor coverage of the sidewalls 130 and bottom 132 of the hole 122. The overhang 128 may also bridge the hole 122 before it is filled and before the void 134 is formed in the metallization in the hole 122. Once void 134 is formed, it is difficult to reflow the metallization by heating the metallization to near its melting point. Even small voids can cause reliability problems. For example, if a second metallization deposition step, such as electroplating, is planned, the bridged overhang makes continuous deposition more difficult.

[0011]上記のオーバハングの問題を改善する一つのアプローチは、スパッタリングターゲットが、ウェーハまたはスパッタ被覆されている他の基板から比較的離れている、ロングスロースパッタリングである。例えば、ターゲットからウェーハまでの間隔は、ウェーハの口径の少なくとも50%、好ましくは90%以上、より好ましくは140%以上とすることができる。その結果として、上記スパッタリング配分の角度を外れた部分は、チャンバ壁へ優先的に向けられるが、中心角部分は、実質的に該ウェーハに向けられたままである。切形の角配分は、スパッタ粒子の大部分を、ホール122内の深部に向けさせ、かつオーバハング128の大きさを削減さすることができる。同様の効果は、ターゲットとウェーハとの間にコリメータを配置することによって実施することができる。該コリメータは、高アスペクト比の多数のホールを有するため、上記角度を外れたスパッタ粒子は、該コリメータの側壁に衝突する傾向があり、また中心角の粒子は、通過する傾向がある。ロングスローターゲット及びコリメータは共に、一般的に、ウェーハに達するスパッタ粒子の束を低減し、それに伴ってスパッタ堆積速度を低減しようとする。この低減は、スローが長くなると、あるいは、アスペクト比の増加に関してビアホールを適応させるために視準がきつくなる場合、より顕著になる。   [0011] One approach to improving the above overhang problem is long throw sputtering, where the sputtering target is relatively remote from the wafer or other substrate that is sputter coated. For example, the distance from the target to the wafer can be at least 50%, preferably 90% or more, more preferably 140% or more of the wafer diameter. As a result, the off-angle portion of the sputtering distribution is preferentially directed to the chamber wall, while the central angle portion remains substantially directed to the wafer. The cut angular distribution can cause most of the sputtered particles to be directed deep into the hole 122 and reduce the size of the overhang 128. Similar effects can be implemented by placing a collimator between the target and the wafer. Since the collimator has a large number of holes with a high aspect ratio, sputtered particles out of the angle tend to collide with the side wall of the collimator, and particles with a central angle tend to pass through. Both long throw targets and collimators generally attempt to reduce the sputtered particle bundle reaching the wafer and thus reduce the sputter deposition rate. This reduction becomes more pronounced when the throw becomes longer or the collimation becomes tight to adapt the via hole for an increase in aspect ratio.

[0012]また、ロングスロースパッタリングを増加させることができる長さは、制限してもよい。PVDスパッタリングにおいてよく用いられる数ミリトルのアルゴン圧力においては、ターゲットからウェーハまでの間隔が増加するので、スパッタされた粒子を散乱させるアルゴンの非常に大きな可能性がある。すなわち、前方の粒子の幾何学的な選択は減らしてもよい。ロングスロー及び視準に伴うさらに別の問題は、低減された金属束が、スループットを低下させるだけでなく、スパッタリング中にウェーハがさらされる最大温度を上昇させる傾向がある、より長い堆積期間を生じる可能性があるということである。さらに、ロングスロースパッタリングは、オーバハングを削減することができ、かつ上記側壁の中間部及び上方部において、良好な被覆性を実現できるが、下方の側壁の及び底部の被覆性は、決して満足できるものではない。   [0012] Also, the length by which long throw sputtering can be increased may be limited. At argon pressures of a few millitorr commonly used in PVD sputtering, the distance from the target to the wafer increases, so there is a very large potential for argon to scatter the sputtered particles. That is, the geometric selection of the front particles may be reduced. Yet another problem with long throw and collimation is that reduced metal bundles result in longer deposition periods that not only reduce throughput, but also tend to increase the maximum temperature to which the wafer is exposed during sputtering. There is a possibility. Furthermore, long throw sputtering can reduce overhangs and can achieve good coverage at the middle and upper side of the side wall, but the bottom side and bottom coverage is never satisfactory. is not.

[0013]深いホールの裏打ち及び充填のための他の技術は、イオン化メタルプレーティング(ionized metal plating;IMP)と呼ばれる、スパッタリングプロセスにおける高密度プラズマ(high−density plasma;HDP)を用いたスパッタリングである。典型的な高密度プラズマは、プラズマシースを除いて、少なくとも1011cm-3、好ましくは、少なくとも1012cm-3のプラズマの全体にわたって平均的なプラズマ密度を有するプラズマである。IMP堆積においては、別々のプラズマソース領域が、例えば、ターゲットとウェーハとの間のプラズマソース領域を覆った電気コイルからRF電力をプラズマに誘導結合することにより、該ウェーハから離れた領域に形成される。このようにして生成したプラズマは、誘導結合プラズマ(inductively coupled plasma;ICP)と呼ばれる。この構成を有するHDPチャンバは、カリフォルニア州サンタクララのアプライド・マテリアルズ社からHDP PVDリアクタとして入手可能である。その他のHDPスパッタリアクタも使用可能である。より高い電力は、アルゴン作用ガスをイオン化するだけでなく、スパッタされた原子のイオン化を著しく増大させ、すなわち、金属イオンを生成する。ウェーハは、負の電位に自己充電するか、または、その直流電位を制御するためにRFバイアスされる。上記金属イオンは、負にバイアスされたウェーハに近づくと、上記プラズマシースの全域で加速される。その結果、該イオンの角配分は、該イオンが、上記ビアホール内に深く引き込まれるように、前方方向にピークをつけることになる。オーバハングは、IMPスパッタリングにおいては、あまり問題にならず、底部被覆性及び底部側壁被覆性は、比較的高い。 [0013] Another technique for deep hole lining and filling is sputtering using high-density plasma (HDP) in a sputtering process, called ionized metal plating (IMP). is there. A typical high density plasma is a plasma having an average plasma density throughout the plasma of at least 10 11 cm −3 , preferably at least 10 12 cm −3 , except for the plasma sheath. In IMP deposition, separate plasma source regions are formed in regions away from the wafer, for example, by inductively coupling RF power to the plasma from an electrical coil that covers the plasma source region between the target and the wafer. The The plasma generated in this way is called inductively coupled plasma (ICP). An HDP chamber having this configuration is available as an HDP PVD reactor from Applied Materials, Inc., Santa Clara, California. Other HDP sputter reactors can also be used. The higher power not only ionizes the argon working gas, but also significantly increases the ionization of the sputtered atoms, ie, generates metal ions. The wafer is self-charged to a negative potential or RF biased to control its DC potential. As the metal ions approach the negatively biased wafer, they are accelerated across the plasma sheath. As a result, the angular distribution of the ions peaks in the forward direction so that the ions are deeply drawn into the via hole. Overhang is not a significant problem in IMP sputtering, and the bottom coverage and bottom sidewall coverage is relatively high.

[0014]遠隔プラズマ源を用いたIMPスパッタリングは、通常、30ミリトルまたはそれ以上の高い圧力で行われる。より高い圧力及び高密度プラズマは、非常に多くのアルゴンイオンを生成することができ、該アルゴンイオンも、プラズマシースの全域で、スパッタ堆積される表面に対して加速される。該アルゴンイオンエネルギは、多くの場合、形成される膜に向けられる熱として放散される。銅は、IMPにおいてさらされる高い温度で、また50〜70度でも、タンタルナイトライド及び他のバリア材料からディウェッティングすることができる。さらに、アルゴンは、生成中の膜内に埋まる傾向がある。IMPは、粗いまたは不連続な表面形態を有する、図3の断面図の136に示すような銅膜を堆積することができる。そのような場合、このような膜は、特に、上記ライナーを、電気メッキのための電極として用いている場合には、ホール充填を促進しなくてもよい。   [0014] IMP sputtering using a remote plasma source is typically performed at a high pressure of 30 millitorr or higher. Higher pressure and high density plasma can generate a great deal of argon ions, which are also accelerated across the plasma sheath against the surface to be sputter deposited. The argon ion energy is often dissipated as heat directed to the film being formed. Copper can be dewetted from tantalum nitride and other barrier materials at the high temperatures exposed in IMP and even at 50-70 degrees. Furthermore, argon tends to be embedded in the film being produced. The IMP can deposit a copper film as shown at 136 in the cross-sectional view of FIG. 3, having a rough or discontinuous surface morphology. In such a case, such a film may not promote hole filling, especially when the liner is used as an electrode for electroplating.

[0015]材料物質を堆積する別の方法は、1997年5月8日に出願された米国特許出願第08/854,008号明細書においてFu等が、また1999年8月12日に出願された米国特許第6,183,614B1号明細書においてFuが記載したような、持続性セルフスパッタリング(sustained self−sputtering;SSS)であり、それらの明細書の全体を本願明細書に組み入れる。例えば、十分に高い密度の銅イオンは、銅ターゲット近傍の十分高いプラズマ密度で発生し、該銅イオンは、均一性を超える歩留まりで銅ターゲットを再スパッタする。そのため、アルゴン作用ガスの供給は、なくすことができ、あるいは、少なくとも非常に低い圧力に低減することができると共に、銅プラズマは持続する。アルミニウムは、SSSに影響されやすくないと信じられている。Pd、Pt、Ag及びAu等の他の材料物質は、SSSに耐えることができる。   [0015] Another method for depositing material material is described in Fu et al. In US patent application Ser. No. 08 / 854,008 filed May 8, 1997, and also filed August 12, 1999. Sustained self-sputtering (SSS), as described by Fu in US Pat. No. 6,183,614 B1, which is incorporated herein in its entirety. For example, sufficiently high density copper ions are generated at a sufficiently high plasma density near the copper target, and the copper ions resputter the copper target with a yield that exceeds uniformity. Thus, the supply of argon working gas can be eliminated or at least reduced to a very low pressure and the copper plasma is sustained. Aluminum is believed not to be sensitive to SSS. Other material substances such as Pd, Pt, Ag and Au can withstand SSS.

[0016]銅の持続性セルフスパッタリングによって銅または他の金属を堆積することは、多くの利点を有する。SSSにおけるスパッタリング速度は、高くなる傾向がある。プラズマシースの全域で、かつバイアスされたウェーハに対して加速することができる銅イオンがわずかにあり、それに伴ってスパッタの流れの指向性が増す。裏面冷却ガスの漏れによって制限されることがあるチャンバ圧力は、非常に低くすることができ、それにより、アルゴンイオンからのウェーハ加熱が低減され、かつアルゴンによる金属粒子の散乱が減る。   [0016] Depositing copper or other metals by sustained self-sputtering of copper has many advantages. The sputtering rate in SSS tends to be high. There are a few copper ions that can be accelerated across the plasma sheath and against the biased wafer, which increases the directivity of the sputter flow. The chamber pressure, which can be limited by backside cooling gas leakage, can be very low, thereby reducing wafer heating from argon ions and reducing metal particle scattering by argon.

[0017]持続性セルフスパッタリングを促進するために、技術及びリアクタ構造が改良されてきた。それらの同じ技術及び構造からの恩恵にもかかわらず、半均一性の再スパッタ歩留まりのため、おそらく、部分的な自己イオン化プラズマ(self−ionized plasma;SIP)を生じる部分的なセルフスパッタリングのため、いくつかのスパッタ材料が、SSSにさらせないことが認識されている。さらに、たとえどのようなアルゴン作用ガスも必要ないSSSが実現可能であっても、低いが限定されているアルゴン圧力で銅をスパッタすることが有利な場合もある。すなわち、SIPスパッタリングは、SSSがある種のSIPであるような低減されたまたはゼロの圧力の作用ガスを含むより包括的なスパッタリングプロセスのための好ましい用語である。SIPスパッタリングは、米国特許第6,290,825号明細書においてFu等により、また、1999年10月8日に出願された米国特許出願第09/414,614号明細書においてChiang等によりすでに記載されており、両方共その全体を本願明細書に組み入れる。   [0017] Techniques and reactor structures have been improved to promote sustained self-sputtering. Despite the benefits from those same techniques and structures, due to the semi-uniform resputtering yield, perhaps due to partial self-sputtering that produces a partial self-ionized plasma (SIP), It has been recognized that some sputter materials do not subject SSS. In addition, even if SSS without the need for any argon working gas is feasible, it may be advantageous to sputter copper at a low but limited argon pressure. That is, SIP sputtering is a preferred term for a more comprehensive sputtering process that includes a reduced or zero pressure working gas such that SSS is a type of SIP. SIP sputtering has already been described by Fu et al. In US Pat. No. 6,290,825, and by Chiang et al. In US patent application Ser. No. 09 / 414,614 filed Oct. 8, 1999. Both of which are incorporated herein in their entirety.

[0018]SIPスパッタリングは、ターゲットに隣接した高密度プラズマ(HDP)を生成し、また該プラズマを広げて金属イオンをウェーハの方へ案内する従来の容量結合マグネトロンスパッタリアクタに対する様々な変更を利用する。200mmウェーハのために設計されたチャンバの場合、例えば、20〜40kWの比較的高いDC電力がターゲットに印加される。さらに、上記マグネトロンは、ターゲット電力が、該マグネトロンのより小さな領域に集中されるように、比較的小さな領域を有し、それに伴って、該マグネトロンに隣接したHDP領域に印加される電力密度が増加する。この小領域のマグネトロンは、該ターゲットの中心の側に配置され、また、より均一なスパッタリング及び堆積を可能にするために、該中心周りに回転される。   [0018] SIP sputtering utilizes various modifications to a conventional capacitively coupled magnetron sputter reactor that generates a high density plasma (HDP) adjacent to the target and expands the plasma to guide metal ions toward the wafer. . In the case of a chamber designed for a 200 mm wafer, a relatively high DC power, for example 20-40 kW, is applied to the target. In addition, the magnetron has a relatively small area so that the target power is concentrated in a smaller area of the magnetron, which increases the power density applied to the HDP area adjacent to the magnetron. To do. This small area magnetron is located near the center of the target and is rotated around the center to allow more uniform sputtering and deposition.

[0019]ある種のSIPスパッタリングにおいては、上記マグネトロンは、不平衡な極を有しており、一般的に、一方の磁極性の強力な外側の極が、他方の極性の弱い内側の極を囲んでいる。強力な極からでる磁界線は、ターゲット面に隣接した従来の水平方向の磁界だけでなく、ウェーハの方に伸びる垂直な磁界にも分解することができる。垂直な磁界線は、プラズマをウェーハの方へ伸ばし、かつ金属イオンを該ウェーハの方へ案内する。さらに、チャンバ壁に近接する垂直な磁界線は、プラズマから接地されたシールドへの電子の拡散を防ぐように作用する。低減された電子の損失は、プラズマ密度を高める際に、および処理空間の全域にプラズマを広げる際に特に有効である。   [0019] In certain types of SIP sputtering, the magnetron has unbalanced poles, and in general, one strong polar pole with one polarity and a weak inner pole with the other. Surrounding. Magnetic field lines emanating from strong poles can be broken down into a vertical magnetic field extending towards the wafer as well as a conventional horizontal magnetic field adjacent to the target surface. The perpendicular magnetic field lines extend the plasma towards the wafer and guide the metal ions towards the wafer. In addition, vertical magnetic field lines proximate to the chamber walls act to prevent diffusion of electrons from the plasma to the grounded shield. Reduced electron loss is particularly effective in increasing the plasma density and in spreading the plasma across the processing space.

[0020]SIPスパッタリングは、RF誘導コイルを使用することなく実施してもよい。小さなHDP領域は、10〜25%と推定される金属イオンの一部をイオン化するのには十分であり、これは、深いホールを有効にスパッタ被覆する。特に高イオン化部分においては、イオン化されスパッタされた金属原子は、ターゲットに引きつけられ、さらに別の金属原子をスパッタする。その結果として、アルゴン作用圧力は、プラズマ崩壊を伴うことなく低減することができる。そのため、ウェーハのアルゴン加熱は問題ではなく、金属イオンがアルゴン原子と衝突する可能性は低くなり、それにより、イオン密度が低減されると共に、金属イオンのスパッタリングパターンは任意化される。   [0020] SIP sputtering may be performed without using an RF induction coil. The small HDP region is sufficient to ionize some of the metal ions estimated to be 10-25%, which effectively sputter coats deep holes. Particularly in the highly ionized portion, the ionized and sputtered metal atoms are attracted to the target and sputter another metal atom. As a result, the argon working pressure can be reduced without plasma decay. Therefore, argon heating of the wafer is not a problem, and the possibility of metal ions colliding with argon atoms is reduced, thereby reducing the ion density and making the metal ion sputtering pattern optional.

[0021]SIPスパッタリングに使用される不平衡なマグネトロンの別の利点は、強い外側の環状極からの磁界が、ウェーハに向かってプラズマ処理領域内に深く突出していることである。この突出した磁界は、プラズマ処理領域の大部分で強力なプラズマを維持し、イオン化したスパッタ粒子をウェーハの方へ案内するという利点を有する。Wei Wangは、2000年7月10日に出願された米国特許出願第09/612,861号明細書において、ターゲットからウェーハまで伸びる磁界成分を生成するために、プラズマ処理領域の大部分をおおった同軸電磁コイルの使用について開示している。磁気コイルは、SIPスパッタリングをロングスロースパッタリアクタ、すなわち、補助的な磁界が、プラズマを維持し、さらにイオン化されたスパッタ粒子を案内するため、ターゲットとウェーハとの間により大きな空間を有するリアクタに組み合わせる際に特に有効である。Laiは、米国特許第5,593,551号明細書において、ターゲット近傍でのより小さなコイルについて開示している。   [0021] Another advantage of the unbalanced magnetron used for SIP sputtering is that the magnetic field from the strong outer annular pole projects deep into the plasma processing region toward the wafer. This protruding magnetic field has the advantage of maintaining a strong plasma in the majority of the plasma processing region and guiding ionized sputtered particles towards the wafer. Wei Wang covered most of the plasma processing region in order to generate a magnetic field component extending from the target to the wafer in US patent application Ser. No. 09 / 612,861 filed Jul. 10, 2000. The use of a coaxial electromagnetic coil is disclosed. The magnetic coil combines SIP sputtering with a long throw sputter reactor, ie a reactor with a larger space between the target and the wafer, so that the auxiliary magnetic field maintains the plasma and guides the ionized sputtered particles. It is especially effective when. Lai, in US Pat. No. 5,593,551, discloses a smaller coil near the target.

[0022]しかし、SIPスパッタリングは、さらに改良することができる。その根本的な問題の一つは、磁界の構成を最適化する際に使用できる変数の限定された数である。マグネトロンは、ターゲットの電力密度を最大化するために小さくすべきであるが、該ターゲットは、均一にスパッタされる必要がある。磁界は、そこに閉じ込められる電子を最大化するために、ターゲットに隣接する強力な水平成分を有するべきである。磁界のある成分は、イオン化されたスパッタ粒子を案内するために、ターゲットからウェーハの方へ突出すべきである。Wangの同軸磁気コイルは、これらの問題のうちの一部のみを扱うものである。Laiにより米国特許第5,593,551号明細書において開示された、水平方向に配置された永久磁石は、この影響を不十分に扱っている。   [0022] However, SIP sputtering can be further improved. One of the fundamental problems is the limited number of variables that can be used in optimizing the magnetic field configuration. The magnetron should be small in order to maximize the power density of the target, but the target needs to be sputtered uniformly. The magnetic field should have a strong horizontal component adjacent to the target in order to maximize the electrons trapped therein. Certain components of the magnetic field should protrude from the target towards the wafer to guide the ionized sputtered particles. The Wang coaxial magnetic coil addresses only some of these problems. The horizontally arranged permanent magnet disclosed by Lai in US Pat. No. 5,593,551 deals with this effect poorly.

[0023]金属は、CupraSelectという商品名の、独占的な、追加的な添加物との混合物において、シューマッカー社より入手可能なCu−HFAC−VTMS等の有機金属の前駆物質を使用した化学気相堆積(chemical vapor deposition;CVD)によっても堆積することができる。熱CVDプロセスは、当技術分野で周知のように、この前駆物質と共に使用することができるが、プラズマCVD(plasma enhanced CVD;PECVD)も可能である。CVDプロセスは、高アスペクト比のホール内にもほぼ等角の膜を堆積することが可能である。例えば、膜を、CVDによって薄いシード層として堆積することができ、その後、PVDまたは他の方法を、最終的なホール充填に利用してもよい。しかし、CVD銅シード層は、粗いことが知られている。この粗さは、そのシード層としての使用、より具体的には、ホール深部への銅の堆積後の低温リフローを促進するリフロー層としての利用を損なう可能性がある。また、該粗さは、50nm程度の比較的厚いCVD銅層が、連続するシード層を確実に被覆する必要があることを示す。ここで考慮する狭いビアホールの場合、一定の厚さのCVD銅シード層は、該ホールをほぼ充填する可能性がある。しかし、CVDによって行われる完全な充填は、デバイスの信頼性に悪い影響を与える可能性のあるセンターシーム(center seams)という欠点を有する。   [0023] The metal is a chemical compound using an organometallic precursor such as Cu-HFAC-VTMS, available from Schumacker, in a mixture with an exclusive additive, under the trade name CupraSelect. It can also be deposited by chemical vapor deposition (CVD). A thermal CVD process can be used with this precursor, as is well known in the art, but plasma enhanced CVD (PECVD) is also possible. The CVD process can deposit a nearly equiangular film even in high aspect ratio holes. For example, the film can be deposited as a thin seed layer by CVD, after which PVD or other methods may be utilized for final hole filling. However, CVD copper seed layers are known to be rough. This roughness can impair its use as a seed layer, and more specifically as a reflow layer that promotes low temperature reflow after copper deposition in the deep hole. The roughness also indicates that a relatively thick CVD copper layer on the order of 50 nm needs to reliably cover a continuous seed layer. For the narrow via hole considered here, a constant thickness of the CVD copper seed layer may substantially fill the hole. However, complete filling performed by CVD has the disadvantage of center seams that can adversely affect device reliability.

[0024]他の組み合わせ技術は、薄い銅核形成層を堆積する、フラッシュデポジション(flash deposition)とも呼ばれることもあるIMPスパッタリングを用い、厚いCVD銅シード層が、IMP層上に堆積される。しかし、図3に示したように、IMP層136は、粗くなる可能性があり、該CVD層は、該粗い基板に等角に追従する傾向がある。すなわち、IMP層を覆うCVD層も粗くなる傾向がある。   [0024] Another combinatorial technique uses IMP sputtering, sometimes referred to as flash deposition, to deposit a thin copper nucleation layer, and a thick CVD copper seed layer is deposited over the IMP layer. However, as shown in FIG. 3, the IMP layer 136 can be rough, and the CVD layer tends to conform to the rough substrate in an equiangular manner. That is, the CVD layer covering the IMP layer also tends to be rough.

[0025]電気化学メッキ法(Electrochemical plating;ECP)は、開発中のさらに別の銅堆積技術である。この方法においては、ウェーハは、銅電解液槽に浸漬される。該ウェーハは、該槽に対して電気的にバイアスされ、銅は、一般的な等角プロセスで該ウェーハ上に電気化学的に堆積する。無電解メッキ法も利用可能である。電気メッキ及びその関連するプロセスは、大気圧で簡単な設備で実行できるため有利であり、その堆積速度は速く、液体処理は、後の化学的機械的研磨と矛盾しない。   [0025] Electrochemical plating (ECP) is yet another copper deposition technology under development. In this method, the wafer is immersed in a copper electrolyte bath. The wafer is electrically biased with respect to the bath and copper is electrochemically deposited on the wafer in a common conformal process. An electroless plating method can also be used. Electroplating and related processes are advantageous because they can be performed at atmospheric pressure with simple equipment, their deposition rates are fast, and liquid processing is consistent with subsequent chemical mechanical polishing.

[0026]しかし、電気メッキは、それ自体の必要条件を負わせる。シード及び接着層は、通常、電気メッキされた銅の核を形成してバリア材料に付着させるために、例えば、Ta/TaNからなるバリア層の上面に形成される。さらに、ビアホール122を囲む概して絶縁的な構造は、絶縁層114とビアホール122との間に電気メッキ電極が形成されていることを必要とする。タンタル及び他のバリア材料は、一般的に、比較的弱い電気導電体であり、(銅電解質を含む)ビアホール122と対向するバリア層124の通常の窒化物の副層は、電気メッキにおいて必要とされる長い横方向の電流経路に対して導電性が小さい。すなわち、上記ビアホールの底部を有効に充填する電気メッキを容易にするために、良好な導電性のシード及び接着層が堆積される場合がある。   [0026] However, electroplating imposes its own requirements. The seed and adhesion layer is typically formed on the top surface of a barrier layer made of Ta / TaN, for example, to form electroplated copper nuclei and adhere to the barrier material. Furthermore, the generally insulating structure surrounding the via hole 122 requires that an electroplated electrode be formed between the insulating layer 114 and the via hole 122. Tantalum and other barrier materials are generally relatively weak electrical conductors, and the normal nitride sublayer of the barrier layer 124 opposite the via hole 122 (including the copper electrolyte) is required in electroplating. Conductivity is small for long lateral current paths that are generated. That is, a good conductive seed and adhesion layer may be deposited to facilitate electroplating that effectively fills the bottom of the via hole.

[0027]バリア層124を覆って堆積された銅シード層は、通常、電気メッキ電極として使用される。しかし、連続する、滑らかなで均一な膜が好ましい。さもなければ、電気メッキ電流は、銅で被覆された領域のみに向けられるか、あるいは、厚い銅で被覆された領域に優先的に向けられることになる。上記銅のシード層を堆積することは、それ自体の困難性をもたらす。IMPで堆積したシード層は、高アスペクト比のホールにおいて良好な底部被覆性を実現できるが、その側壁の被覆性は、小さくなる可能性があるため、結果として生じる薄い膜が粗くなり、または不連続になる可能性がある。薄いCVD堆積シードも粗くなりすぎる可能性がある。厚いCVDシード層、またはIMP銅を覆うCVD銅は、所望の連続性を実現するために、過剰に厚いシード層を要する可能性がある。また、上記電気メッキ電極は、高い側壁被覆性が望まれるように、ホール側壁全体に対して主に作用する。ロングスローは、適切な側壁被覆性をもたらすが、上記底部被覆性は、十分ではない可能性がある。   [0027] A copper seed layer deposited over the barrier layer 124 is typically used as an electroplating electrode. However, a continuous, smooth and uniform film is preferred. Otherwise, the electroplating current will be directed only to the copper coated area or will be preferentially directed to the thick copper coated area. Depositing the copper seed layer presents its own difficulties. The seed layer deposited by IMP can achieve good bottom coverage in high aspect ratio holes, but its sidewall coverage can be small, resulting in a rough or poor thin film. May be continuous. Thin CVD deposition seeds can also become too rough. A thick CVD seed layer, or CVD copper over IMP copper, may require an excessively thick seed layer to achieve the desired continuity. The electroplating electrode mainly acts on the entire hole sidewall so that high sidewall coverage is desired. Long throws provide adequate sidewall coverage, but the bottom coverage may not be sufficient.

[0028]本発明の一実施形態は、ロングスロースパッタリング、自己イオン化プラズマ(SIP)スパッタリング、誘導結合プラズマ(ICP)再スパッタリング及びコイルスパッタリングを1つのチャンバ内で組み合わせることにより、タンタルまたはタンタルナイトライド等のライナー材料をスパッタ堆積するように注力されている。ロングスロースパッタリングは、ターゲット・基板間の距離と、該基板の直径との比較的高い比を特徴とする。ロングスローSIPスパッタリングは、イオン化された及び中性の堆積材料成分の両方の深いホール被覆を促進する。ICP再スパッタリングは、深いホールを覆う層底部の厚さを低減して、接触抵抗を低減することができる。ICP再スパッタリングの間、ICPコイルスパッタリングは、特に、再スパッタリングによる薄膜化は好ましくないホール開口部に隣接しているような領域上に、保護層を堆積することができる。   [0028] One embodiment of the present invention provides a combination of long throw sputtering, self-ionized plasma (SIP) sputtering, inductively coupled plasma (ICP) resputtering, and coil sputtering in a single chamber, such as tantalum or tantalum nitride. The liner material is focused on sputter deposition. Long throw sputtering is characterized by a relatively high ratio between the target-substrate distance and the diameter of the substrate. Long throw SIP sputtering promotes deep hole coverage of both ionized and neutral deposition material components. ICP resputtering can reduce the contact resistance by reducing the thickness of the bottom of the layer covering deep holes. During ICP resputtering, ICP coil sputtering can deposit a protective layer, particularly on areas that are adjacent to hole openings where thinning by resputtering is undesirable.

[0029]本発明の別の実施形態は、1つのチャンバ内で、ロングスロースパッタリング、自己イオン化プラズマ(SIP)スパッタリング及びSIP再スパッタリングを組み合わせることにより、銅等の配線材料をスパッタ堆積することに注力している。また、ロングスローSIPスパッタリングは、イオン化したおよび中性の銅成分の両方の深ホール被覆を促進する。SIP再スパッタリングは、堆積を再配分して、深いホールの良好な底部角部被覆性をもたらすことが可能である。   [0029] Another embodiment of the present invention focuses on sputter depositing interconnect materials such as copper by combining long throw sputtering, self-ionized plasma (SIP) sputtering and SIP resputtering in one chamber. doing. Long throw SIP sputtering also promotes deep hole coverage of both ionized and neutral copper components. SIP resputtering can redistribute deposition to provide good bottom corner coverage of deep holes.

[0030]SIPは、5ミリトル以下、好ましくは、2ミリトル以下、より好ましくは、1ミリトル以下の低い圧力によって促進される傾向がある。特に、それらの低い圧力におけるSIPは、比較的小さな領域を有するマグネトロンによって促進され、それによりターゲットの電力密度が増加する傾向、および磁界を遠くの基板に対して貫通させる非対称の磁石を有するマグネトロンによって促進される傾向がある。このようなプロセスは、シード層を堆積するのに用いて、絶縁層を貫通する狭くかつ深いビアまたはコンタクトを形成するのに特に有用な、堆積層の後の核形成またはシーディングを促進することができる。別の層を、電気化学メッキ法(ECP)によって堆積してもよい。他の実施形態においては、別の層は、化学気相堆積(CVD)によって堆積される。   [0030] SIP tends to be promoted by low pressures of 5 millitorr or less, preferably 2 millitorr or less, more preferably 1 millitorr or less. In particular, SIP at those low pressures is facilitated by a magnetron having a relatively small area, thereby increasing the power density of the target, and by a magnetron having an asymmetric magnet that penetrates the magnetic field to a distant substrate. There is a tendency to be promoted. Such a process can be used to deposit a seed layer to promote subsequent nucleation or seeding of the deposited layer, which is particularly useful for forming narrow and deep vias or contacts through the insulating layer. Can do. Another layer may be deposited by electrochemical plating (ECP). In other embodiments, the other layer is deposited by chemical vapor deposition (CVD).

[0031]一実施形態は、ウェーハに近接してチャンバの周囲に配設され、かつ第1の垂直磁石極性を有するマグネトロンスパッタリアクタ内に補助磁石アレイを含む。該磁石は、永久磁石、または、チャンバの中心軸に沿ってコイル軸を有する電磁石のアレイのいずれかでよい。   [0031] One embodiment includes an auxiliary magnet array in a magnetron sputter reactor disposed around the chamber proximate to the wafer and having a first vertical magnet polarity. The magnet may be either a permanent magnet or an array of electromagnets having a coil axis along the central axis of the chamber.

[0032]一実施形態においては、第1の磁性極性の強力な外側極を有する回転可能なマグネトロンは、反対極性の弱い極を囲んでいる。上記補助磁石は、好ましくは、外側極からウェーハの方へ磁界の不平衡な部分を引っ張るために、該ウェーハの近傍の処理空間の半分に配置されている。   [0032] In one embodiment, a rotatable magnetron having a strong outer pole of a first magnetic polarity surrounds a weak pole of opposite polarity. The auxiliary magnet is preferably arranged in half of the processing space near the wafer in order to pull the unbalanced portion of the magnetic field from the outer pole towards the wafer.

[0033]SIPチャンバ内での再スパッタリングは、複数の工程で促進することができ、1つの実施形態においては、ウェーハのバイアスが堆積中に増加される。別法として、ターゲットへのパワーを堆積中に減らして、ビア及び他のホールの底部角部まで堆積を再配分することができる。   [0033] Resputtering in a SIP chamber can be facilitated in multiple steps, and in one embodiment, the wafer bias is increased during deposition. Alternatively, power to the target can be reduced during deposition to redistribute deposition to the bottom corners of vias and other holes.

[0034]本発明に対しては、以下に説明するように、追加的な態様がある。そのため、上述したことは、本発明のいくつかの実施形態及び態様の要約にすぎないことを理解すべきである。本発明の追加的な実施形態及び態様を以下に説明する。さらに、本発明の趣旨または範囲を逸脱することなく、開示した実施形態に対する多くの変形が可能であることを理解すべきである。従って、上述した要約は、本発明の範囲を限定することを意味しない。本発明の範囲は、添付クレーム及びそれらの均等物によってのみ判断すべきである。   [0034] There are additional aspects to the present invention, as described below. Thus, it should be understood that the foregoing is only a summary of some embodiments and aspects of the present invention. Additional embodiments and aspects of the invention are described below. Further, it should be understood that many variations on the disclosed embodiments are possible without departing from the spirit or scope of the invention. Accordingly, the above summary is not meant to limit the scope of the invention. The scope of the invention should be determined only by the appended claims and their equivalents.

従来技術において実施された、絶縁体の上面も被覆するメタライゼーションで充填されたビアの断面図である。1 is a cross-sectional view of a via filled with metallization that also covers the top surface of an insulator, implemented in the prior art. ビアホールの上に張り出して封鎖するメタライゼーションでの充填中のビアの断面図である。FIG. 6 is a cross-sectional view of a via during filling with metallization that overhangs and seals over the via hole. イオン化メタルプレーティングにより堆積された粗いシード層を有するビアの断面図である。FIG. 6 is a cross-sectional view of a via having a coarse seed layer deposited by ionized metal plating. 本発明の実施形態に対して使用可能なスパッタリングチャンバの概略図である。1 is a schematic view of a sputtering chamber that can be used with embodiments of the present invention. FIG. 図4のスパッタリングチャンバの種々の構成部材の電気的配線の概略図である。FIG. 5 is a schematic diagram of electrical wiring of various components of the sputtering chamber of FIG. 4. 本発明の一実施形態に係る、ビアライナー及びメタライゼーション、およびビアライナーとメタライゼーションの形成プロセスの断面図である。1 is a cross-sectional view of a via liner and metallization and a process of forming a via liner and metallization according to an embodiment of the present invention. 本発明の一実施形態に係る、ビアライナー及びメタライゼーション、およびビアライナーとメタライゼーションの形成プロセスの断面図である。1 is a cross-sectional view of a via liner and metallization and a process of forming a via liner and metallization according to an embodiment of the present invention. 本発明の一実施形態に係る、ビアライナー及びメタライゼーション、およびビアライナーとメタライゼーションの形成プロセスの断面図である。1 is a cross-sectional view of a via liner and metallization and a process of forming a via liner and metallization according to an embodiment of the present invention. 本発明の一実施形態に係る、ビアライナー及びメタライゼーション、およびビアライナーとメタライゼーションの形成プロセスの断面図である。1 is a cross-sectional view of a via liner and metallization and a process of forming a via liner and metallization according to an embodiment of the present invention. 本発明の一実施形態に係る、ビアライナー及びメタライゼーション、およびビアライナーとメタライゼーションの形成プロセスの断面図である。1 is a cross-sectional view of a via liner and metallization and a process of forming a via liner and metallization according to an embodiment of the present invention. 本発明に係る補助磁石アレイを含むスパッタリアクタの概略断面図である。1 is a schematic cross-sectional view of a sputtering reactor including an auxiliary magnet array according to the present invention. 図10のスパッタリアクタにおける上部マグネトロンの底面図である。It is a bottom view of the upper magnetron in the sputtering reactor of FIG. 補助磁石アレイを支持するアセンブリの実施形態の正射投影図である。FIG. 6 is an orthographic view of an embodiment of an assembly supporting an auxiliary magnet array. 補助磁石アレイが、電磁石からなるアレイを含むスパッタリアクタの概略断面図である。It is a schematic sectional drawing of the sputter | spatter reactor in which an auxiliary magnet array contains the array which consists of an electromagnet. 本発明の一実施形態に係るビアシード層及びビアシード層形成プロセスの断面図である。It is sectional drawing of the via seed layer which concerns on one Embodiment of this invention, and a via seed layer formation process. 本発明の一実施形態に係るビアシード層及びビアシード層形成プロセスの断面図である。It is sectional drawing of the via seed layer which concerns on one Embodiment of this invention, and a via seed layer formation process. 本発明に対して使用可能な他のスパッタリングチャンバの概略図である。FIG. 3 is a schematic diagram of another sputtering chamber that can be used with the present invention. ターゲット、シールド、絶縁体及びターゲットOリングを詳細に示す、図15の一部の分解組立図である。FIG. 16 is an exploded view of a portion of FIG. 15 showing the target, shield, insulator and target O-ring in detail. フローティングシールドの長さと、プラズマを持続させる最少圧力との関係を示すグラフである。It is a graph which shows the relationship between the length of a floating shield, and the minimum pressure which maintains a plasma. 本発明の別の実施形態に係るビアメタライゼーションの断面図である。It is sectional drawing of the via metallization which concerns on another embodiment of this invention. 2つの異なるマグネトロン及び異なる動作条件の場合の、ウェーハに流れるイオン電流をプロットしたグラフである。FIG. 6 is a graph plotting ion current flowing through a wafer for two different magnetrons and different operating conditions. 2つの異なるマグネトロン及び異なる動作条件の場合の、ウェーハに流れるイオン電流をプロットしたグラフである。FIG. 6 is a graph plotting ion current flowing through a wafer for two different magnetrons and different operating conditions. 本発明の他の実施形態に係るビアメタライゼーションの断面図である。It is sectional drawing of the via metallization which concerns on other embodiment of this invention. 本発明の他の実施形態に係るビアメタライゼーションの断面図である。It is sectional drawing of the via metallization which concerns on other embodiment of this invention. ウェーハの加熱を低減するプラズマ点火シーケンスのフロー図である。FIG. 5 is a flow diagram of a plasma ignition sequence for reducing wafer heating. 本発明の別の実施形態によるプロセスに従って形成されたビアメタライゼーションの断面図である。FIG. 6 is a cross-sectional view of via metallization formed according to a process according to another embodiment of the invention. 本発明の別の実施形態によるスパッタリングチャンバの概略図である。FIG. 3 is a schematic view of a sputtering chamber according to another embodiment of the present invention. 図25のスパッタリングチャンバの様々な構成部材の電気的配線の該略図である。FIG. 26 is a schematic representation of the electrical wiring of various components of the sputtering chamber of FIG. 25. それに対して本発明を実施することができるインテグレーテッド処理装置の概略図である。On the other hand, it is the schematic of the integrated processing apparatus which can implement this invention.

[0036]DCマグネトロンスパッタリングリアクタにおける側壁被覆性と底部被覆性との間の配分は、絶縁層中のホールまたはビアにおいて所望の断面を有するライナー層等のメタル層を形成するように調整することができる。高アスペクト比のビア内にスパッタ堆積したSIP膜は、有利な上方側壁被覆性を有することが可能であり、オーバーハングを生じる傾向はない。所望する場合には、底部被覆は、上記ビアの底部のICP再スパッタリングによって薄くまたは排除してもよい。本発明の一つの態様によれば、両スパッタリングの利点は、別々の工程でもよいSIP及びICPの両プラズマ生成方法のうちの選択した態様を組み合わせるリアクタにおいて得ることができる。このようなリアクタの実施例を、図4に符号150で示す。また、ライナー層の側壁の上方部分は、コイル材を基板上に配置するためにチャンバ内に配設されたICPコイル151をスパッタリングすることによる再スパッタリングから保護してもよい。   [0036] The distribution between sidewall coverage and bottom coverage in a DC magnetron sputtering reactor can be adjusted to form a metal layer, such as a liner layer, having a desired cross-section in holes or vias in the insulating layer. it can. SIP films sputter deposited in high aspect ratio vias can have advantageous upper sidewall coverage and are not prone to overhang. If desired, the bottom coating may be thinned or eliminated by ICP resputtering of the bottom of the via. According to one aspect of the present invention, the advantages of both sputterings can be obtained in a reactor that combines selected aspects of both SIP and ICP plasma generation methods that may be separate steps. An example of such a reactor is shown at 150 in FIG. Also, the upper portion of the liner layer sidewall may be protected from resputtering by sputtering ICP coil 151 disposed in the chamber to place the coil material on the substrate.

[0037]リアクタ150は、好ましくは、SIP及びICP生成プラズマを組み合わせて、別法として、代替的に用いて、バリアまたはライナー層等のメタル層をスパッタ堆積するのに使用することもできる。DCマグネトロンスパッタリングリアクタにおけるイオン化された原子の流れと中性の原子の流れとの間の配分は、絶縁層中のホールまたはビア内に被覆を形成するように調整することができる。上述したように、高アスペクト比のホール内にスパッタ堆積したSIP膜は、有利な上方側壁被覆性を有することが可能であり、オーバーハングを生じる傾向はない。他方、ICPで生成したプラズマは、そのようなホール内にスパッタ堆積した膜が、良好な底部被覆性及び底部角部被覆性を有するような金属のイオン化を増大させることができる。本発明のさらに別の態様によれば、両タイプのスパッタリングの利点は、両方の堆積技術のうちの選択した態様を組み合わせるリアクタ150等のリアクタ内で得ることができる。また、コイル材は、必要に応じて、堆積層に対して寄与するようにスパッタすることもできる。   [0037] The reactor 150 can also be used to sputter deposit a metal layer, such as a barrier or liner layer, preferably alternatively using a combination of SIP and ICP generated plasma. The distribution between the ionized atom flow and the neutral atom flow in the DC magnetron sputtering reactor can be adjusted to form a coating in the holes or vias in the insulating layer. As described above, a SIP film sputter deposited in a high aspect ratio hole can have advantageous upper sidewall coverage and is not prone to overhang. On the other hand, the plasma generated by ICP can increase metal ionization such that films sputter deposited in such holes have good bottom coverage and bottom corner coverage. In accordance with yet another aspect of the present invention, the advantages of both types of sputtering can be obtained in a reactor, such as reactor 150, that combines selected aspects of both deposition techniques. Further, the coil material can be sputtered so as to contribute to the deposited layer as required.

[0038]リアクタ150、およびライナー、バリア及び他の層を形成する様々なプロセスは、2002年7月25日に出願された、係属中の米国特許出願第10/202,778号(代理人名簿番号4044)に詳細に記載されており、その全体を本願明細書に組み入れる。該出願に記載されているように、図示の実施形態のリアクタ150は、カリフォルニア州サンタクララのアプライド・マテリアルズ社から入手できるEndura PVDリアクタの変更に基づいたDCマグネトロン型リアクタである。このリアクタは、通常、金属からなり、かつウェーハ158上にスパッタ堆積すべき材料物質からなる少なくとも表面部分を有するPVDターゲット156に対して、ターゲットアイソレータ154によって電気的に接地され密封された真空チャンバ152を含む。ターゲットのスパッタリング面は、図では平坦なものとして示されているが、該ターゲットのスパッタリング面は、アーチ型や円筒型を含む様々な形状を有してもよいことが認識される。上記ウェーハは、150、200、300及び450mmを含む様々なサイズであってもよい。例示したリアクタ150は、ロングスローモードで自己イオン化スパッタリング(SIP)が可能である。このSIPモードは、被覆が、主に、上記ホールの側壁に向けられている一実施形態において用いることができる。該SIPモードは、良好な底部被覆性を実現するために用いることもできる。   [0038] Reactor 150 and various processes for forming liners, barriers and other layers are described in pending US patent application Ser. No. 10 / 202,778, filed Jul. 25, 2002 (Attorney Directory). No. 4044), which is incorporated herein in its entirety. As described in that application, the reactor 150 in the illustrated embodiment is a DC magnetron reactor based on a modification of the Endura PVD reactor available from Applied Materials, Inc., Santa Clara, California. The reactor is typically a vacuum chamber 152 that is electrically grounded and sealed by a target isolator 154 against a PVD target 156 made of metal and having at least a surface portion of material material to be sputter deposited on a wafer 158. including. Although the sputtering surface of the target is shown as flat in the figure, it will be appreciated that the sputtering surface of the target may have a variety of shapes including arched and cylindrical. The wafer may be of various sizes including 150, 200, 300 and 450 mm. The illustrated reactor 150 is capable of self-ionized sputtering (SIP) in a long throw mode. This SIP mode can be used in one embodiment where the coating is primarily directed to the sidewall of the hole. The SIP mode can also be used to achieve good bottom coverage.

[0039]また、リアクタ150は、RFエネルギを該リアクタの内部に誘導結合するRFコイル151も有する。コイル151により生成されたRFエネルギは、アルゴン等の前駆物質ガスをイオン化して、イオン化したアルゴンを薄い底部の被覆に対して用いて、堆積層を再スパッタするためにプラズマを維持し、あるいは、スパッタした堆積物質をイオン化して底部被覆性を向上させる。一つの実施形態においては、高密度IMPプロセスの場合、20〜60mトル等比較的高い圧力でプラズマを維持すべきであり、該圧力は、好ましくは、充分に低い圧力に、例えば、タンタルナイトライドの堆積の場合1mトル、あるいは、タンタルの堆積に対しては2.5mトルに維持される。しかし、用途により、0.1〜40mトルの範囲の圧力が適切になる。従って、リアクタ150内でのイオン化速度は、一般的に高い密度のIMPプロセスのイオン化速度よりもかなり低くなると考えられる。このプラズマは、堆積した層を再スパッタするのに、あるいは、スパッタした堆積材料をイオン化するのに、またはその両方に用いることができる。さらに、コイル151自体は、堆積物質の薄膜化が望まれない領域のために、上記ウェーハ上に堆積した物質の再スパッタリングの間に、該ウェーハ上に保護被覆を形成するために、あるいは、追加的な堆積材料を供給するためにスパッタすることができる。   [0039] The reactor 150 also has an RF coil 151 that inductively couples RF energy into the interior of the reactor. The RF energy generated by the coil 151 ionizes a precursor gas such as argon and uses the ionized argon on the thin bottom coating to maintain the plasma to resputter the deposited layer, or The sputtered deposited material is ionized to improve bottom coverage. In one embodiment, for a high density IMP process, the plasma should be maintained at a relatively high pressure, such as 20-60 mTorr, which is preferably at a sufficiently low pressure, eg, tantalum nitride. 1 mTorr for deposition, or 2.5 mTorr for tantalum deposition. However, depending on the application, a pressure in the range of 0.1 to 40 mTorr is appropriate. Accordingly, the ionization rate in reactor 150 is generally considered to be significantly lower than the ionization rate of high density IMP processes. This plasma can be used to resputter the deposited layer, or to ionize the sputtered deposited material, or both. In addition, the coil 151 itself may be used to form a protective coating on the wafer during resputtering of the material deposited on the wafer, or for areas where deposition material thinning is not desired. Can be sputtered to provide a typical deposition material.

[0040]一つの実施形態においては、良好な上部側壁被覆性及び底部角部被覆性は、一つの工程において、上記コイルに少しのRF電力が印加される、あるいは該コイルにRF電力が印加されない、マルチステッププロセスにおいて実現することができると考えられる。すなわち、一つの工程において、スパッタされたターゲット堆積材料のイオン化は、主に自己イオン化の結果として生じることになる。その結果として、良好な上部側壁被覆性を実現できると考えられる。第2の工程において、および好ましくは同じチャンバ内において、RF電力は、コイル151に印加され、上記ターゲットには、低電力が印加され、または該ターゲットには電力が印加されない。この実施形態においては、ターゲット156からは少しの材料がスパッタされ、または該ターゲットからは材料がスパッタされず、前駆物質ガスのイオン化は、主に、コイル151によって誘導結合されたRFエネルギの結果として起きる。ICPプラズマは、上記ホールの底部におけるバリア層の抵抗を低減するためのエッチングまたは再スパッタリングにより、底部被覆を薄くするためまたは該被覆をなくすために注がれる。また、コイル151は、薄膜化が所望されない場合に、保護材料を堆積させるためにスパッタしてもよい。一つの実施形態においては、上記圧力は、プラズマ密度が、上記コイルからのスパッタされた堆積材料のイオン化を低減するように比較的低くなるように、比較的低く保ってもよい。その結果として、スパッタされたコイル材は、主に上方側壁に堆積してその部分を薄膜化から保護するように、十分に中性のままとすることができる。   [0040] In one embodiment, good top sidewall coverage and bottom corner coverage is such that little RF power is applied to the coil or no RF power is applied to the coil in one step. It can be realized in a multi-step process. That is, in one step, ionization of the sputtered target deposition material occurs primarily as a result of self-ionization. As a result, it is considered that good upper side wall coverage can be realized. In the second step, and preferably in the same chamber, RF power is applied to the coil 151, low power is applied to the target, or no power is applied to the target. In this embodiment, little material is sputtered from the target 156, or no material is sputtered from the target, and ionization of the precursor gas is primarily as a result of RF energy inductively coupled by the coil 151. Get up. ICP plasma is poured to thin or eliminate the bottom coating by etching or resputtering to reduce the resistance of the barrier layer at the bottom of the hole. The coil 151 may be sputtered to deposit a protective material when thinning is not desired. In one embodiment, the pressure may be kept relatively low so that the plasma density is relatively low to reduce ionization of the sputtered deposited material from the coil. As a result, the sputtered coil material can remain sufficiently neutral so that it is deposited primarily on the upper sidewall and protects that portion from thinning.

[0041]図示したリアクタ150は、自己イオン化スパッタリングが可能であるため、堆積材料は、RFコイル151によって持続されたプラズマの結果としてだけではなく、ターゲット156自体のスパッタリングによってもイオン化することができる。良好な底部被覆性を有する層を堆積することが望まれる場合には、SIPとICPを組み合わせたイオン化プロセスが、良好な底部被覆性及び底部角部被覆性のための十分なイオン化材料を供給すると考えられる。しかし、RFコイル151によって生成された低圧プラズマの低いイオン化速度が、十分な中性のスパッタ材料を、上記上部側壁に堆積されるようにイオン化しないままとすることが可能であるとも考えられる。すなわち、イオン化した堆積材料のソースの組合せが、以下により詳細に説明するように、良好な上部側壁被覆性、および良好な底部被覆性及び底部角部被覆性を実現できると考えられる。   [0041] Because the illustrated reactor 150 is capable of self-ionized sputtering, the deposited material can be ionized not only as a result of the plasma sustained by the RF coil 151, but also by sputtering of the target 156 itself. If it is desired to deposit a layer with good bottom coverage, a combined ionization process with SIP and ICP will provide sufficient ionization material for good bottom coverage and bottom corner coverage. Conceivable. However, it is also believed that the low ionization rate of the low pressure plasma generated by the RF coil 151 can leave enough neutral sputter material unionized to be deposited on the upper sidewall. That is, it is believed that a combination of ionized deposition material sources can achieve good top sidewall coverage and good bottom and bottom corner coverage, as will be described in more detail below.

[0042]代替の実施形態においては、良好な上部側壁被覆性、および良好な底部被覆性及び底部角部被覆性は、一つの工程において、上記コイルに少しのRF電力が印加される、あるいは該コイルにRF電力が印加されない、マルチステッププロセスにおいて実現することができると考えられる。すなわち、一つの工程において、堆積材料のイオン化は、主に自己イオン化の結果として生じることになる。その結果として、良好な上部側壁被覆性を実現できると考えられる。第2の工程において、および好ましくは同じチャンバ内において、RF電力をコイル151に印加してもよい。また、一つの実施形態において、上記圧力は、高密度プラズマを維持できるように、充分に上昇させることができる。その結果として、良好な底部被覆性及び底部角部被覆性を上記第2の工程において実現できると考えられる。   [0042] In an alternative embodiment, good top sidewall coverage, and good bottom coverage and bottom corner coverage are such that little RF power is applied to the coil in one step, or the It can be realized in a multi-step process where no RF power is applied to the coil. That is, in one step, the ionization of the deposited material will occur primarily as a result of self-ionization. As a result, it is considered that good upper side wall coverage can be realized. RF power may be applied to the coil 151 in the second step, and preferably in the same chamber. Also, in one embodiment, the pressure can be increased sufficiently to maintain a high density plasma. As a result, it is considered that good bottom coverage and bottom corner coverage can be realized in the second step.

[0043]ウェーハクランプ160は、ウェーハ158をペデスタル電極162上に保持する。ペデスタル162内の抵抗性ヒータ、冷却剤流路及び熱伝達ガスキャビティは、該ペデスタルの温度を、−40℃以下の温度に制御できるようにし、それにより上記ウェーハの温度を同様に制御できるようにするために設けることができる。   [0043] The wafer clamp 160 holds the wafer 158 on the pedestal electrode 162. Resistive heaters, coolant channels and heat transfer gas cavities in the pedestal 162 allow the temperature of the pedestal to be controlled to a temperature below -40 ° C., thereby allowing the temperature of the wafer to be similarly controlled. Can be provided.

[0044]部分的に中性の流れを用いてより深いホール被覆を実現するために、ターゲット156とウェーハ158との間の距離を、ロングスローモードで動作するように増加させることができる。使用時において、上記ターゲットと基板間の間隔は、通常、該基板の口径の半分よりも大きい。例示の実施形態において、該間隔は、好ましくは、ウェーハの口径の90%以上であるが(例えば、200mmウェーハの場合190mm、300mmウェーハの場合290mm)、基板口径の100%以上及び140%以上を含む80%以上の間隔も適切であると考えられる。たいていの用途の場合、50〜1000mmの、ターゲットとウェーハとの間隔が適切であると考えられる。従来のスパッタリングにおけるロングスローは、スパッタリング堆積速度を低減するが、イオン化したスパッタ粒子には、そのような大きな減少はない。   [0044] In order to achieve deeper hole coverage using partially neutral flow, the distance between the target 156 and the wafer 158 can be increased to operate in the long throw mode. In use, the spacing between the target and the substrate is usually greater than half the aperture of the substrate. In an exemplary embodiment, the spacing is preferably 90% or more of the wafer diameter (eg, 190 mm for a 200 mm wafer, 290 mm for a 300 mm wafer), but more than 100% and 140% or more of the substrate diameter. Including an interval of 80% or more is considered appropriate. For most applications, a target-wafer spacing of 50-1000 mm is considered appropriate. Long throws in conventional sputtering reduce the sputtering deposition rate, but ionized sputtered particles do not have such a significant reduction.

[0045]第2の絶縁シールドアイソレータ168によって絶縁された暗黒部シールド164及びチャンバシールド166は、チャンバ壁部152をスパッタ材料から保護するために、チャンバ152内に保持されている。例示の実施形態においては、暗黒部シールド164及びチャンバシールド166は、共に接地さている。しかし、いくつかの実施形態においては、非接地レベルにフローティングまたはバイアスしてもよい。チャンバシールド166も、カソードターゲット156と対向するアノード接地面として作用し、それにより、プラズマを容量的に支持する。上記暗黒部を電気的に浮遊させることができる場合、負の電荷がそこに蓄積するように、いくつかの電極を暗黒部シールド164上に堆積させることができる。負の電位が、さらなる電子が堆積するのを防止するだけでなく、該電子を主要なプラズマ領域内に閉じ込めることができ、それに伴って、必要に応じて、電子の損失を低減し、低圧スパッタリングを持続させ、かつプラズマ密度を増加させることができると考えられる。   [0045] A dark space shield 164 and a chamber shield 166 insulated by the second insulation shield isolator 168 are retained in the chamber 152 to protect the chamber wall 152 from sputtered material. In the illustrated embodiment, dark space shield 164 and chamber shield 166 are both grounded. However, in some embodiments, it may float or be biased to a non-ground level. The chamber shield 166 also acts as an anode ground plane opposite the cathode target 156, thereby capacitively supporting the plasma. If the dark portion can be electrically floated, several electrodes can be deposited on the dark portion shield 164 so that negative charges accumulate there. The negative potential not only prevents further electrons from accumulating, but can also confine the electrons in the main plasma region, thereby reducing electron loss and low pressure sputtering, if necessary. It is thought that the plasma density can be increased while maintaining the temperature.

[0046]コイル151は、コイル151を支持シールド164から電気的に絶縁する複数のコイルスタンドオフ180によってシールド164上に載置されている。また、スタンドオフ180は、ターゲット110からコイルスタンドオフ180への導電性材料の繰り返し堆積を可能にすると共に、コイル151を(一般に接地されている)シールド164に対して短絡させる可能性がある、堆積材料のコイル151からシールド164への完全な導電経路の形成を防ぐ迷路状の通路を有する。   [0046] The coil 151 is mounted on the shield 164 by a plurality of coil standoffs 180 that electrically insulate the coil 151 from the support shield 164. The standoff 180 also allows repeated deposition of conductive material from the target 110 to the coil standoff 180 and may short the coil 151 to the (generally grounded) shield 164. It has a labyrinth path that prevents the formation of a complete conductive path from the coil 151 of deposited material to the shield 164.

[0047]上記コイルを回路経路として使用できるようにするために、RF電力が、上記真空チャンバ壁を通って、およびシールド164を通ってコイル151の端部に流される。真空フィードスルー(図示せず)は、好ましくは、真空圧力チャンバの外側に配設されたジェネレータからRF電流を供給するために、上記真空チャンバを通って伸びている。RF電力は、シールド164を通って、コイルスタンドオフ180と同様に、コイル151をシールド164に対して短絡させる可能性がある、コイル151からシールド164への堆積材料からなる経路の形成を防ぐ迷路状の通路を有するフィードスルースタンドオフ182(図5)によってコイル151に印加される。   [0047] In order to allow the coil to be used as a circuit path, RF power is passed through the vacuum chamber wall and through the shield 164 to the end of the coil 151. A vacuum feedthrough (not shown) preferably extends through the vacuum chamber to supply RF current from a generator disposed outside the vacuum pressure chamber. RF power passes through the shield 164 and, like the coil standoff 180, a maze that prevents the formation of a path of deposited material from the coil 151 to the shield 164 that can short the coil 151 to the shield 164. It is applied to the coil 151 by a feedthrough standoff 182 (FIG. 5) having a shaped path.

[0048]プラズマ暗黒部シールド164は、概して円筒型形状である。プラズマチャンバシールド166は、概してカップ状であり、コイル151を絶縁支持するためにスタンドオフ180及び182が取り付けられる、概して円筒型形状で、垂直方向に向けられた壁部190を含む。   [0048] The plasma dark space shield 164 is generally cylindrical in shape. The plasma chamber shield 166 is generally cup-shaped and includes a generally cylindrical shaped, vertically oriented wall 190 to which standoffs 180 and 182 are attached to insulate and support the coil 151.

[0049]図5は、例証となる実施形態のプラズマ生成装置の電気的接続を表わす概略図である。プラズマによって生成されたイオンを誘引するために、ターゲット156は、好ましくは、可変DC電源200により、例えば、1〜40kWのDC電力で負の電位にバイアスされる。電源200は、プラズマを点火し、持続させるために、ターゲット156をチャンバシールド166に対して約−400〜−600VDCに負の電位にバイアスする。1〜5kWのターゲット電力は、通常、プラズマを点火するのに用いられ、10kW以上の電力は、本願明細書に記載したSIPスパッタリングに対して好ましい。例えば、24kWのターゲット電力は、SIPスパッタリングによってタンタルナイトライドを堆積させるのに使用することができ、また、20kWのターゲット電力は、SIPスパッタリングによりタンタルを堆積するのに使用することができる。ICP再スパッタリングの間、ターゲット電力は、例えば、プラズマ均一性を維持するために、100〜200ワットに低減してもよい。別法として、ターゲット電力は、ICP再スパッタリング中のターゲットのスパッタリングが望まれる場合には、高いレベルに維持してもよく、あるいは、必要に応じてターンオフしてもよい。   [0049] FIG. 5 is a schematic diagram illustrating the electrical connections of the plasma generator of the illustrative embodiment. In order to attract ions generated by the plasma, the target 156 is preferably biased to a negative potential by a variable DC power source 200, for example, with a DC power of 1-40 kW. The power supply 200 biases the target 156 to a negative potential about −400 to −600 VDC relative to the chamber shield 166 to ignite and sustain the plasma. A target power of 1-5 kW is typically used to ignite the plasma, and a power of 10 kW or higher is preferred for SIP sputtering as described herein. For example, a target power of 24 kW can be used to deposit tantalum nitride by SIP sputtering, and a target power of 20 kW can be used to deposit tantalum by SIP sputtering. During ICP resputtering, the target power may be reduced to 100-200 watts, for example, to maintain plasma uniformity. Alternatively, the target power may be maintained at a high level if sputtering of the target during ICP resputtering is desired, or may be turned off as needed.

[0050]ペデスタル162及びウェーハ158は、電気的に浮遊させたままでもよいが、負のDC自己バイアスは、かけてもかまわない。別法として、ペデスタル162は、イオン化した堆積材料を上記基板に引きつけるように基板158を負の電位にバイアスするために、電源202によって−30vDCで負の電位にバイアスしてもよい。別の実施形態は、上記ペデスタルに対して発生させる負のDCバイアスをさらに制御するために、RFバイアスをペデスタル162に加えてもよい。例えば、バイアス電源202は、13.56MHzで作動するRF電源であってもよい。SIP堆積における200mmウェーハの場合、例えば、10W〜5kW、より好ましくは、150〜300WのRF電力を供給することができる。   [0050] The pedestal 162 and the wafer 158 may remain electrically floating, but a negative DC self-bias may be applied. Alternatively, the pedestal 162 may be biased to a negative potential at −30 vDC by the power source 202 to bias the substrate 158 to a negative potential to attract ionized deposition material to the substrate. Another embodiment may add an RF bias to the pedestal 162 to further control the negative DC bias generated for the pedestal. For example, the bias power source 202 may be an RF power source that operates at 13.56 MHz. In the case of a 200 mm wafer in the SIP deposition, for example, RF power of 10 W to 5 kW, more preferably 150 to 300 W can be supplied.

[0051]コイル151の一端部は、フィードスルースタンドオフ182によってシールド166を介して、増幅器及び整合ネットワーク204の出力等のRF電源に絶縁結合されている。整合ネットワーク204の入力は、この実施形態の場合に、ICPプラズマ生成のために、1または1.5kW程度でRF電力を供給するRFジェネレータ206に結合されている。例えば、タンタルナイトライドの堆積の場合には、1.5kWの電力が、タンタルの堆積の場合には、1kWの電力が好ましい。好ましい範囲は、50W〜10kWである。SIP堆積の間、上記コイルへのRF電力は、必要に応じてターンオフしてもよい。別法として、RF電力は、必要に応じて、SIP堆積中に供給してもよい。   [0051] One end of the coil 151 is insulatively coupled to an RF power source, such as the output of an amplifier and matching network 204, through a shield 166 by a feedthrough standoff 182. The input of the matching network 204 is coupled to an RF generator 206 that supplies RF power on the order of 1 or 1.5 kW for ICP plasma generation in this embodiment. For example, a power of 1.5 kW is preferred for tantalum nitride deposition and a power of 1 kW for tantalum deposition. A preferred range is 50 W to 10 kW. During SIP deposition, the RF power to the coil may be turned off as needed. Alternatively, RF power may be supplied during SIP deposition as needed.

[0052]コイル151の他端も、同様のフィードスルースタンドオフ182によってシールド166を介して、好ましくは、コイル151に対するDCバイアスをサポートする可変コンデンサであってもよい阻止コンデンサ208を介してアースに絶縁結合されている。コイル151に対するDCバイアス及びコイルスパッタリング速度は、米国特許第6,375,810号明細書に記載されているように、コイル151に結合されたDC電源209によって制御することができる。ICPプラズマ生成及びコイルスパッタリングに対する適切なDC電力の範囲としては、50W〜10kWが挙げられる。コイルスパッタリング中の好ましい値は500Wである。コイル151に対するDC電力は、必要に応じて、SIP堆積中にターンオフしてもよい。   [0052] The other end of the coil 151 is also grounded through a shield 166 with a similar feedthrough standoff 182 and preferably through a blocking capacitor 208 which may be a variable capacitor that supports DC bias for the coil 151. Insulatively coupled. The DC bias and coil sputtering rate for the coil 151 can be controlled by a DC power supply 209 coupled to the coil 151 as described in US Pat. No. 6,375,810. Suitable DC power ranges for ICP plasma generation and coil sputtering include 50 W to 10 kW. A preferred value during coil sputtering is 500W. The DC power to coil 151 may be turned off during SIP deposition, if desired.

[0053]上述した電力レベルは、特定の用途により、当然変化してもよい。コンピュータをベースとしたコントローラ224は、特定の用途に従って、様々なソースの電力レベル、電圧、電流及び周波数を制御するようにプログラムすることができる。   [0053] The power levels described above may naturally vary depending on the particular application. The computer-based controller 224 can be programmed to control the power levels, voltages, currents and frequencies of various sources according to the particular application.

[0054]RFコイル151は、該コイルからスパッタされた材料物質が、上記ウェーハにぶつかったときに低い入射角を有するように、上記チャンバ内に比較的低く配置してもよい。そのため、コイル材料は、上記ホールの底部がICPプラズマによって再スパッタされているときに、該ホールの上方角部を保護するように、該ホールの上方角部に優先的に堆積させることができる。図示の実施形態においては、上記コイルの主な機能が、上記ウェーハを再スパッタするためのプラズマを生成すること、および再スパッタリング中の保護被覆を形成することである場合には、該コイルを上記ターゲットよりも該ウェーハの近くに配置することが好ましい。たいていの用途の場合、コイルとウェーハとの間隔は0〜500mmが適切であると考えられている。しかし、実際の位置は、特定の用途により変化することが認識されている。上記コイルの主な機能が、堆積材料をイオン化するためのプラズマを生成することである用途においては、該コイルは、上記ターゲットに近づけて配置してもよい。また、1996年7月10日にファイルされ(代理人登録番号1390−CIP/PVD/DV)、本出願の譲受人に譲渡され、「プラズマを生成するためのスパッタリングコイル」と題された米国特許第6,368,469号明細書に詳細に記載されているように、RFコイルは、スパッタされたコイル材料によって堆積層の均一性を改善するように配置することもできる。さらに、該コイルは、ヘリックスまたは螺旋状に形成された複数の巻線を有してもよく、あるいは、複雑性及びコストを低減しかつクリーニングを容易にするために、単一の巻線や数回の巻線を有してもよい。   [0054] The RF coil 151 may be placed relatively low in the chamber so that the material material sputtered from the coil has a low angle of incidence when it strikes the wafer. Therefore, the coil material can be preferentially deposited on the upper corners of the holes so as to protect the upper corners of the holes when the bottom of the holes are resputtered by ICP plasma. In the illustrated embodiment, if the primary function of the coil is to generate plasma for resputtering the wafer and to form a protective coating during resputtering, the coil is It is preferable to arrange the wafer closer to the wafer than the target. For most applications, an appropriate distance between the coil and the wafer is considered to be 0-500 mm. However, it is recognized that the actual position will vary depending on the particular application. In applications where the main function of the coil is to generate plasma for ionizing the deposited material, the coil may be placed close to the target. Also filed July 10, 1996 (Attorney Registration Number 1390-CIP / PVD / DV) and assigned to the assignee of the present application and entitled “Sputtering Coil for Generating Plasma”. As described in detail in US Pat. No. 6,368,469, the RF coil can also be arranged to improve the uniformity of the deposited layer by the sputtered coil material. In addition, the coil may have a plurality of windings formed in a helix or spiral, or a single winding or number to reduce complexity and cost and facilitate cleaning. You may have windings of turns.

[0055]種々のコイル支持スタンドオフ及びフィードスルースタンドオフを、該コイルを絶縁支持するのに使用することができる。特に、SSS、SIP及びICPに関連する高電力レベルにおけるスパッタリングは、高電圧を伴うので、絶縁アイソレータは、通常、異なるバイアスの部材を絶縁する。その結果として、そのようなアイソレータをメタル堆積から保護することが望ましい。   [0055] Various coil support standoffs and feedthrough standoffs can be used to insulatively support the coil. In particular, since the sputtering at high power levels associated with SSS, SIP, and ICP involves high voltages, insulating isolators typically insulate members of different biases. As a result, it is desirable to protect such isolators from metal deposition.

[0056]上記スタンドオフの内部構造は、好ましくは、2000年2月29日にファイルされ本出願の譲受人に譲渡された、「プラズマを生成するためのコイル及びコイル支持体」と題された、同時係属出願第09/515,880号明細書に詳細に記載されているように入り組んでいる。プラズマに直接さらされるコイル151及び上記スタンドオフのそのような部分は、好ましくは、堆積される同じ材料で形成される。すなわち、堆積される材料物質がタンタルからなる場合には、上記スタンドオフの外側部分は、好ましくは、タンタルで形成される。堆積材料の付着を容易にするために、メタルの露出面は、粒子の堆積材料からの発散を低減するビードブラストによって処理してもよい。タンタルの他にも、上記コイル及びターゲットは、銅、アルミニウム及びタングステンを含む様々な堆積材料で形成することができる。上記迷路状の通路は、上記コイルからシールドへの完全な導電経路の形成を妨げるように形成すべきである。このような導電性経路は、導電性堆積材料が、上記コイル及びスタンドオフ上に堆積したときに形成される可能性がある。特定の用途により、他の寸法、形状及び数の迷路状の通路も可能であることを認識すべきである。該迷路のデザインに影響を及ぼす要因としては、堆積する材料物質の種類、上記スタンドオフが洗浄または交換を必要とする前の所望の堆積数が挙げられる。適当なフィードスルースタンドオフは、RF電力が、該スタンドオフを貫通して伸びるボルトまたは他の導電性部材に印加されることを除いて、同じように構成することができる。   [0056] The internal structure of the standoff is preferably entitled “Coil and Coil Support for Generating Plasma” filed on Feb. 29, 2000 and assigned to the assignee of the present application. , As described in detail in co-pending application 09 / 515,880. The coil 151 directly exposed to the plasma and such part of the standoff are preferably formed of the same material that is deposited. That is, when the material material to be deposited is made of tantalum, the outer portion of the standoff is preferably made of tantalum. In order to facilitate deposition material deposition, the exposed surface of the metal may be treated with bead blasting to reduce the divergence of the particles from the deposition material. In addition to tantalum, the coil and target can be formed from a variety of deposition materials including copper, aluminum, and tungsten. The labyrinth path should be formed so as to prevent the formation of a complete conductive path from the coil to the shield. Such a conductive path may be formed when a conductive deposition material is deposited on the coil and standoff. It should be appreciated that other sizes, shapes and numbers of maze-like passages are possible depending on the particular application. Factors affecting the design of the maze include the type of material material to be deposited and the desired number of deposits before the standoff requires cleaning or replacement. A suitable feedthrough standoff can be similarly configured except that RF power is applied to a bolt or other conductive member extending through the standoff.

[0057]コイル151は、重なっているが離間した端部を有してもよい。この構成においては、各端部のためのフィードスルースタンドオフ182は、図4に示すように、真空チャンバターゲット156と基板ホルダ162との間のプラズマチャンバの中心軸と平行な方向に重ねてもよい。その結果、上記コイルの一端部から該コイルの他端部へのRF経路は、同様に重ねて、上記ウェーハ上の間隙を避けることができる。そのような重なり構成は、1998年3月16日にファイルされ本出願の譲受人に譲渡された、同時係属出願第09/039,695号明細書に記載されているように、プラズマの生成、イオン化及び堆積の均一性を改善することができると考えられる。   [0057] The coil 151 may have overlapping but spaced ends. In this configuration, the feedthrough standoff 182 for each end may overlap in a direction parallel to the central axis of the plasma chamber between the vacuum chamber target 156 and the substrate holder 162, as shown in FIG. Good. As a result, the RF path from one end of the coil to the other end of the coil can be overlapped to avoid gaps on the wafer. Such an overlap configuration may be used to generate plasma as described in co-pending application 09 / 039,695 filed March 16, 1998 and assigned to the assignee of the present application, It is believed that ionization and deposition uniformity can be improved.

[0058]支持スタンドオフ180は、適切な支持を可能にするために、上記コイルの残りの部分の周りに配設してもよい。図示の実施形態においては、上記コイルは、それぞれ、各コイルの外側面に90度の間隔で配設された3つのハブ部材504を有する。上記スタンドオフの数及び間隔は、特定の用途により変化してもよいことを認識すべきである。   [0058] A support standoff 180 may be disposed around the remainder of the coil to allow proper support. In the illustrated embodiment, each of the coils has three hub members 504 disposed on the outer surface of each coil at intervals of 90 degrees. It should be appreciated that the number and spacing of the standoffs may vary depending on the particular application.

[0059]図示の実施形態のコイル151は、それぞれ、単一巻のコイル内に形成された2インチ×1/4インチの高耐久のビードブラストしたタンタルまたは銅のリボンで形成されている。しかし、他の高導電性材料及び形状を用いてもよい。例えば、上記コイルの厚さは、1/16インチまで低減してもよく、かつ幅は、2インチまで増してもよい。また、特に、水冷が望まれる場合には、中空管も使用することができる。   [0059] The coils 151 of the illustrated embodiment are each formed from a 2 inch x 1/4 inch high durability bead blasted tantalum or copper ribbon formed in a single turn coil. However, other highly conductive materials and shapes may be used. For example, the thickness of the coil may be reduced to 1/16 inch and the width may be increased to 2 inches. A hollow tube can also be used, particularly when water cooling is desired.

[0060]適切なRFジェネレータ及び整合回路は、当業者には周知の構成部材である。例えば、上記整合回路及びアンテナとの最良の周波数整合のために周波数を探す能力を有するENI Genesisシリーズ等のRFジェネレータが適切である。上記コイルへのRF電力を生成するジェネレータの周波数は、好ましくは2MHzであるが、レンジは、例えば、1MHz〜200MHz等のA.C.周波数や非RF周波数において変化する可能性があることが予想される。なお、これらの構成部材は、プログラム可能なコントローラ224によって制御することができる。   [0060] Suitable RF generators and matching circuits are components well known to those skilled in the art. For example, an RF generator such as the ENI Genesis series that has the ability to look for a frequency for the best frequency matching with the matching circuit and antenna is suitable. The frequency of the generator that generates the RF power to the coil is preferably 2 MHz, but the range can be A.E. C. It is anticipated that there may be changes in frequency and non-RF frequencies. These components can be controlled by a programmable controller 224.

[0061]図4に戻って、チャンバシールド166の底部円筒型部分296は、ウェーハ158を支持するペデスタル162の上部の裏の凹んだ部分へ続いている。そして、チャンバシールド166は、カップ状部分302内に径方向内側に、および最も内側の円筒形部分151内に垂直方向上方に続いて、ウェーハ158の高さに近づくが、ペデスタル162の径方向外側に離間している。   [0061] Returning to FIG. 4, the bottom cylindrical portion 296 of the chamber shield 166 continues to a recessed portion on the top back of the pedestal 162 that supports the wafer 158. The chamber shield 166 then approaches the height of the wafer 158, radially inward in the cup-shaped portion 302 and vertically upward in the innermost cylindrical portion 151, but radially outward of the pedestal 162. Are separated.

[0062]シールド164、166は、一般に、ステンレス鋼で構成されており、またそれらの内側は、該内側にスパッタ堆積される材料の付着を促進するように、ビードブラストされ、あるいは他の方法で粗面化してもよい。しかし、長いスパッタリングの間のある時点で、上記堆積材料は、剥がれやすい厚さに堆積して、有害な粒子を生成する。この時点に達する前に、上記シールドをクリーニングするべきであり、あるいは、新たなシールドと交換すべきである。しかし、より高価なアイソレータ154、168は、たいていのメンテナンス期間においては交換する必要はない。さらに、該メンテナンス周期は、該アイソレータの電気的短絡ではなく、該シールドの剥がれによって決まる。   [0062] The shields 164, 166 are generally constructed of stainless steel, and their inner sides are bead blasted, or otherwise, to promote adhesion of the material sputter deposited on the inner side. You may roughen. However, at some point during long sputtering, the deposited material is deposited to a thickness that is easy to peel off, producing harmful particles. Before reaching this point, the shield should be cleaned or replaced with a new one. However, the more expensive isolators 154, 168 need not be replaced during most maintenance periods. Furthermore, the maintenance period is determined by the peeling of the shield, not the electrical short of the isolator.

[0063]ガスソース314は、スパッタリング作用ガス、一般には化学的に不活性なアルゴンガスを、マスフローコントローラ316を介してチャンバ152に供給する。該作用ガスは、シールドチャンバシールド166の底部を通る、またはチャンバシールド166、ウェーハクランプ160及びペデスタル162の間の間隔318を通る開口を貫通する1つまたはそれ以上の流入パイプによって、該チャンバの上部に、あるいは、図に示すように、その底部に進入することができる。広いポンピングポート322を介してチャンバ152に接続された真空ポンプ装置320は、該チャンバを低圧に維持する。ベース圧力は、約10-7トルまたはそれより低く保持することができるが、上記作用ガスの圧力は、従来のスパッタリングにおいては、通常、約1〜1000ミリトルに、およびSIPスパッタリングにおいては約5ミリトル以下に維持される。コンピュータをベースとしてコントローラ224は、DCターゲット電源200、バイアス電源202及びマスフローコントローラ316を含む上記リアクタを制御する。 [0063] The gas source 314 supplies a sputtering working gas, typically a chemically inert argon gas, to the chamber 152 via the mass flow controller 316. The working gas passes through the bottom of the shielded chamber shield 166 or through the opening through the spacing 318 between the chamber shield 166, wafer clamp 160 and pedestal 162, by one or more inlet pipes at the top of the chamber. Alternatively, as shown, the bottom can be entered. A vacuum pump device 320 connected to the chamber 152 via a wide pumping port 322 maintains the chamber at a low pressure. The base pressure can be maintained at or below about 10-7 Torr, but the working gas pressure is typically about 1-1000 millitorr in conventional sputtering and about 5 millitorr in SIP sputtering. Maintained below. A computer-based controller 224 controls the reactor including the DC target power source 200, the bias power source 202, and the mass flow controller 316.

[0064]有効なスパッタリングを可能にするために、ターゲット156の裏にマグネトロン330が配置されている。該マグネトロンは、磁気ヨーク336に接続され、該ヨークによって支持された対抗する磁極の磁石332、334を有する。該磁石は、チャンバ152内のマグネトロン330の近くに磁界を形成する。該磁界は、電子をトラップし、電荷が中性の場合、イオン密度も、高密度プラズマ領域338を形成するように増加する。マグネトロン330は、ターゲット156のスパッタリング時の完全な被覆を実現するために、通常、モータで駆動される軸342によってターゲット156の中心340の周りに回転される。持続性自己スパッタリングを可能にする十分なイオン化密度の高密度プラズマ338を実現するために、マグネトロン330の近くの領域に供給される電力密度は、高くすることができる。このことは、上記の引用特許においてFu及びChiangによって記載されているように、DC電源200から供給される電力レベルを増加させることにより、および例えば、三角形または競馬場の形にマグネトロン330の領域を低減することにより実現することができる。その先端部をターゲットの中心340とほぼ一致させた状態で回転される60度の三角形状マグネトロンは、いつでも該ターゲットの約1/6のみをカバーする。SIPスパッタリングが可能な市販のリアクタにおいては、1/4のカバレージが好ましい最大値である。   [0064] A magnetron 330 is placed behind the target 156 to enable effective sputtering. The magnetron is connected to a magnetic yoke 336 and has opposing magnetic pole magnets 332 and 334 supported by the yoke. The magnet creates a magnetic field near the magnetron 330 in the chamber 152. The magnetic field traps electrons and when the charge is neutral, the ion density also increases to form a dense plasma region 338. The magnetron 330 is typically rotated around the center 340 of the target 156 by a motor driven shaft 342 to achieve complete coverage of the target 156 during sputtering. In order to achieve a high density plasma 338 with sufficient ionization density that allows sustained self-sputtering, the power density supplied to the region near the magnetron 330 can be increased. This is done by increasing the power level supplied from the DC power source 200, as described by Fu and Chiang in the above cited patents, and for example, making the region of the magnetron 330 into a triangle or racetrack shape. It can be realized by reducing. A 60 degree triangular magnetron rotated with its tip approximately aligned with the center 340 of the target always covers only about 1/6 of the target. For commercially available reactors capable of SIP sputtering, 1/4 coverage is the preferred maximum.

[0065]電子の損失を減らすためには、内側磁石332によって表わされる内側磁極及び磁極面は、相当数の開口を有すべきではなく、また外側磁石334によって表わされる連続外側磁極及び磁極面によって囲むべきである。さらに、イオン化したスパッタ粒子をウェーハ158へ案内するために、外側の極は、内側の磁極よりもかなり高い磁束を生成すべきである。広がっている磁界線は、電子をトラップし、それに伴ってプラズマをウェーハ158の近くまで伸ばす。磁束の比は、少なくとも150%、好ましくは200%以上とすべきである。Fuの三角形状マグネトロンの2つの実施形態は、同じ強度であるが逆極性の25の外側磁石と6または10の内側磁石を有する。平坦なターゲット面と共に示しているが、様々な不平衡なマグネトロンを、自己イオン化したプラズマを生成する様々なターゲット形状と共に使用することができることが認識される。該磁石は、円形やその他の形状を含む三角形以外の形状を有してもよい。   [0065] In order to reduce the loss of electrons, the inner pole and pole face represented by the inner magnet 332 should not have a substantial number of apertures, and by the continuous outer pole and pole face represented by the outer magnet 334. Should surround. Further, in order to guide the ionized sputtered particles to the wafer 158, the outer pole should generate a much higher magnetic flux than the inner pole. The spreading magnetic field lines trap electrons and thus extend the plasma close to the wafer 158. The ratio of magnetic flux should be at least 150%, preferably 200% or more. The two embodiments of the Fu triangular magnetron have 25 outer magnets and 6 or 10 inner magnets of the same strength but opposite polarity. Although shown with a flat target surface, it will be appreciated that a variety of unbalanced magnetrons can be used with a variety of target shapes that produce a self-ionized plasma. The magnet may have a shape other than a triangle including a circle and other shapes.

[0066]アルゴンが上記チャンバ内に入ると、ターゲット156とチャンバシールド166との間のDC電圧差が該アルゴンをプラズマにし、正に荷電したアルゴンイオンは、負に荷電したターゲット156に引きつけられる。該イオンは、かなりのエネルギでターゲット156にぶつかって、ターゲット原子または原子群をターゲット156からスパッタさせる。ターゲット粒子のうちのいくつかは、ウェーハ158に衝突し、それによって該ウェーハ上に堆積され、ターゲット材料からなる膜を形成する。金属ナイトライドの反応性スパッタリングにおいては、窒素が、ソース343から上記チャンバ内に追加的に入れられ、該窒素は、スパッタされた金属原子と反応してウェーハ158上に金属ナイトライドを形成する。   [0066] As argon enters the chamber, a DC voltage difference between the target 156 and the chamber shield 166 turns the argon into a plasma, and positively charged argon ions are attracted to the negatively charged target 156. The ions strike the target 156 with significant energy and cause target atoms or groups of atoms to sputter from the target 156. Some of the target particles strike the wafer 158 and are thereby deposited on the wafer to form a film of target material. In metal nitride reactive sputtering, nitrogen is additionally introduced into the chamber from a source 343 that reacts with the sputtered metal atoms to form metal nitride on the wafer 158.

[0067]図6〜図9bは、本発明の一つの態様に係るライナー層の形成に関する連続的な断面図を示す。図6を参照すると、層間絶縁体345(例えば、二酸化シリコン)が、配線348(図9b)の第1のメタル層(例えば、第1の銅層347a)を覆って堆積されている。そして、第1の銅層347aを露出させるために、層間絶縁体345内にビア349がエッチングされる。上記第1のメタル層は、CVD、PVD、電気メッキあるいは、公知の金属堆積技術を用いて堆積することができ、また、該メタル層は、コンタクトを介して、絶縁層を介して、下にある半導体ウェーハに形成されたデバイスと接続される。第1の銅層347aが酸素にさらされる場合、例えば、上記ウェーハが、該第1の銅層と第2のメタル層との間のビアの形成のための開口を形成するために、該第1の銅層に重なる酸化物がエッチングされるエッチングチャンバから移動される場合、該第1の銅層上に、絶縁性/高抵抗性の銅酸化物層347a’を容易に形成することができる。従って、銅配線348の抵抗を低減するために、ビア349内のいかなる銅酸化物層347a’及び処理残留物も除去してよい。   [0067] FIGS. 6-9b show sequential cross-sectional views relating to the formation of a liner layer according to one embodiment of the present invention. Referring to FIG. 6, an interlayer insulator 345 (eg, silicon dioxide) is deposited over the first metal layer (eg, first copper layer 347a) of the interconnect 348 (FIG. 9b). A via 349 is then etched in the interlayer insulator 345 to expose the first copper layer 347a. The first metal layer can be deposited using CVD, PVD, electroplating, or a known metal deposition technique, and the metal layer can be deposited via a contact, an insulating layer, and below. It is connected to a device formed on a certain semiconductor wafer. When the first copper layer 347a is exposed to oxygen, for example, the wafer is formed to form an opening for forming a via between the first copper layer and a second metal layer. When the oxide overlying one copper layer is moved from the etching chamber where it is etched, an insulating / high resistance copper oxide layer 347a ′ can be easily formed on the first copper layer. . Accordingly, any copper oxide layer 347a 'and processing residue in via 349 may be removed to reduce the resistance of copper interconnect 348.

[0068]バリア層351は、銅酸化物層347a’を除去する前に、(例えば、図4のスパッタリングチャンバ152内で)層間絶縁体345を覆って、および第1の銅層347aを覆って堆積することができる。好ましくは、タンタル、タンタルナイトライド、チタンナイトライド、タングステンまたはタングステンナイトライドからなるバリア層351は、(前述したように)後に堆積される銅層が、層間絶縁体345に混ざって該絶縁体を劣化させることを防ぐ。   [0068] The barrier layer 351 covers the interlayer insulator 345 (eg, in the sputtering chamber 152 of FIG. 4) and the first copper layer 347a before removing the copper oxide layer 347a ′. Can be deposited. Preferably, the barrier layer 351 made of tantalum, tantalum nitride, titanium nitride, tungsten or tungsten nitride has a copper layer deposited later (as described above) mixed with the interlayer insulator 345 to form the insulator. Prevent deterioration.

[0069]例えば、スパッタリングチャンバ152が、タンタルナイトライド層の堆積のために構成されている場合には、タンタルターゲット156が用いられる。一般的に、アルゴン及び窒素ガスは、共に、ガスインレット360(それぞれが各ガス用のものである複数のインレットを使用することができる)を介してスパッタリングチャンバ152内に流れ込み、電力信号は、DC電源200を介してターゲット156に印加される。状況に応じて、電力信号は、第1のRF電源206を介してコイル151に印加してもよい。定常状態処理の間、窒素は、タンタルターゲット156と反応してタンタルターゲット156上にナイトライド膜を形成し、そこからタンタルナイトライドがスパッタされる。また、非窒化物タンタル原子も上記ターゲットからスパッタされ、該原子は、窒素と結合して飛翔するタンタルナイトライドを形成し、または、ペデスタル162によって支持されたウェーハ(図示せず)上にタンタルナイトライドを形成する。   [0069] For example, if the sputtering chamber 152 is configured for deposition of a tantalum nitride layer, a tantalum target 156 is used. In general, both argon and nitrogen gases flow into the sputtering chamber 152 via gas inlets 360 (each of which can be used for each gas) and the power signal is DC It is applied to the target 156 via the power source 200. Depending on the situation, the power signal may be applied to the coil 151 via the first RF power source 206. During steady state processing, nitrogen reacts with tantalum target 156 to form a nitride film on tantalum target 156 from which tantalum nitride is sputtered. Non-nitride tantalum atoms are also sputtered from the target, and the atoms combine with nitrogen to form flying tantalum nitride or tantalum nitride on a wafer (not shown) supported by pedestal 162. Form a ride.

[0070]動作中、排気口362に作用可能に結合されたスロットルバルブは、プロセスガスのチャンバ152内への導入の前に、堆積チャンバ152を、約1×10-8トルの所望の低真空レベルに維持するために、中間位置に位置している。スパッタリングチャンバ152内での処理を開始するために、アルゴンガスと窒素ガスとの混合物が、ガスインレット360を介してスパッタリングチャンバ152内に流入される。DC電力は、DC電源200を介してタンタルターゲット156に印加される(また、上記ガス混合物は、ガスインレット360を介してスパッタリングチャンバ152内に流入し続けると共に、ポンプ37を介して供給される)。ターゲット156に印加されるDC電力は、上記アルゴン/窒素ガス混合物に、SIPプラズマを生成させ、かつターゲット材料(例えば、タンタルやタンタルナイトライド)を該ターゲットから射出させるターゲット156に引き付けられて該ターゲットに衝突するアルゴンイオン及び窒素イオンを生成させる。射出されたターゲット材料は、ペデスタル162によって支持されたウェーハ158まで移動して、該ウェーハ上に堆積する。上記SIPプロセスによれば、上記不平衡なマグネトロンによって生成されたプラズマは、スパッタされたタンタル及びタンタルナイトライドの一部をイオン化する。基板支持ペデスタル162に印加するRF電力信号を調整することにより、負のバイアスを、基板支持ペデスタル162とプラズマとの間に形成することができる。基板支持ペデスタル162とプラズマとの間の負のバイアスは、タンタルイオン、タンタルナイトライドイオン及びアルゴンイオンを、ペデスタル162及び該ペデスタル上に支持されたウェーハの方へ加速させる。それに応じて、中性及びイオン化したタンタルナイトライドを上記ウェーハ上に堆積させることができ、SIPスパッタリングにしたがって、良好な側壁被覆性及び上方側壁被覆性を実現できる。さらに、特に、RF電力を上記ICPコイルに随意に印加した場合、上記ウェーハをアルゴンイオンによってスパッタエッチングできると同時に、ターゲット156からのタンタルナイトライド材料が、該ウェーハ上に堆積する(例えば、同時堆積/スパッタエッチング)。 [0070] In operation, a throttle valve operably coupled to the exhaust port 362 causes the deposition chamber 152 to have a desired low vacuum of about 1 × 10 −8 Torr prior to introduction of process gas into the chamber 152. To maintain the level, it is located in the middle position. To begin processing in the sputtering chamber 152, a mixture of argon gas and nitrogen gas is flowed into the sputtering chamber 152 via the gas inlet 360. DC power is applied to the tantalum target 156 via the DC power source 200 (and the gas mixture continues to flow into the sputtering chamber 152 via the gas inlet 360 and is supplied via the pump 37). . The DC power applied to the target 156 is attracted to the target 156 that causes the argon / nitrogen gas mixture to generate SIP plasma and inject the target material (eg, tantalum or tantalum nitride) from the target. Argon ions and nitrogen ions are generated that collide with each other. The injected target material travels to the wafer 158 supported by the pedestal 162 and is deposited on the wafer. According to the SIP process, the plasma generated by the unbalanced magnetron ionizes a portion of the sputtered tantalum and tantalum nitride. By adjusting the RF power signal applied to the substrate support pedestal 162, a negative bias can be formed between the substrate support pedestal 162 and the plasma. The negative bias between the substrate support pedestal 162 and the plasma accelerates tantalum ions, tantalum nitride ions, and argon ions toward the pedestal 162 and the wafer supported on the pedestal. Accordingly, neutral and ionized tantalum nitride can be deposited on the wafer, and good sidewall coverage and upper sidewall coverage can be achieved according to SIP sputtering. In addition, particularly when RF power is optionally applied to the ICP coil, the wafer can be sputter etched with argon ions while tantalum nitride material from the target 156 is deposited on the wafer (eg, co-deposition). / Sputter etching).

[0071]バリア層351の堆積に続いて、ビアの底部の薄膜化または除去が望まれる場合には、ビア349の底部におけるバリア層351の一部、および該バリア層の下の銅酸化物層347a’(及び処理残留物)を、図7に示す様なアルゴンプラズマによってスパッタエッチングまたは再スパッタしてもよい。該アルゴンプラズマは、好ましくは、この工程において、主に、RF電力を上記ICPコイルに印加することによって生成される。この実施形態におけるスパッタリングチャンバ152(図4)内でのスパッタエッチングの間、ターゲット156に印加される電力は、ターゲット156からの著しい堆積を防ぐためまたは抑制するために、好ましくは、取り除かれるか、あるいは、低レベル(例えば、100または200W)に低減されることに注意する。ゼロのターゲット電力ではなく低いターゲット電力レベルは、より均一なプラズマを生成することができ、好ましい。   [0071] Following deposition of the barrier layer 351, if it is desired to thin or remove the bottom of the via, a portion of the barrier layer 351 at the bottom of the via 349 and a copper oxide layer under the barrier layer 347a ′ (and processing residue) may be sputter etched or resputtered with an argon plasma as shown in FIG. The argon plasma is preferably generated in this step mainly by applying RF power to the ICP coil. During sputter etching in the sputtering chamber 152 (FIG. 4) in this embodiment, the power applied to the target 156 is preferably removed to prevent or suppress significant deposition from the target 156, Note that alternatively, it is reduced to a low level (eg, 100 or 200 W). A low target power level rather than zero target power is preferred because it can produce a more uniform plasma.

[0072]ICPアルゴンイオンは、電界(例えば、負の自己バイアスを上記ペデスタル上に形成させる、図4の第2のRF電源41を介して基板支持ペデスタル162に印加されるRF信号)を介してバリア層351の方へ加速されて、バリア層351に衝突し、運動量の伝達により、ビア開口の底部からのバリア層材料をスパッタし、該材料を、ビア349の側壁を被覆するバリア層351の部分に沿って再配分する。該アルゴンイオンは、上記基板とほぼ直角な方向で該基板に引き付けられる。その結果として、上記ビアの側壁のわずかなスパッタリングであるが、該ビアの底部の実質的なスパッタリングが行われる。再スパッタリングを容易にするために、上記ペデスタル及びウェーハに印加されるバイアスは、例えば、400Wにしてもよい。   [0072] ICP argon ions are via an electric field (eg, an RF signal applied to the substrate support pedestal 162 via the second RF power supply 41 of FIG. 4 that creates a negative self-bias on the pedestal). The barrier layer 351 is accelerated toward the barrier layer 351 and collides with the barrier layer 351. By transmitting momentum, the barrier layer material from the bottom of the via opening is sputtered, and this material is applied to the side wall of the via 349. Redistribute along the part. The argon ions are attracted to the substrate in a direction substantially perpendicular to the substrate. The result is a slight sputtering of the via sidewall, but a substantial sputtering of the bottom of the via. In order to facilitate resputtering, the bias applied to the pedestal and wafer may be 400 W, for example.

[0073]上記再スパッタリングプロセスのパラメータの特定の値は、特定の用途により変化してもよい。同時係属のまたは発行された出願第08/768,058号、同第09/126,890号、同第09/449,202号、同第09/846,581号、同第09/490,026号及び同第09/704,161号は、再スパッタリングプロセスについて記載しており、それらの出願全体を本願明細書に組み入れる。   [0073] The particular values of the resputtering process parameters may vary depending on the particular application. Co-pending or published applications 08 / 768,058, 09 / 126,890, 09 / 449,202, 09 / 846,581, 09 / 490,026 No. 09 / 704,161 describe resputtering processes, the entire application of which is incorporated herein.

[0074]本発明の他の態様によれば、ICPコイル151は、ターゲット156と同じように、タンタル等のライナー材料で形成してもよく、また、上記ビアの底部が再スパッタされる間、上記ウェーハ上にタンタルナイトライドを堆積させるためにスパッタしてもよい。上記再スパッタリングプロセスの間の比較的低い圧力のため、コイル151からスパッタされた堆積材料のイオン化速度は、比較的低い。すなわち、上記ウェーハ上に堆積した上記スパッタされた材料物質は、主に中性の材料である。また、コイル151は、上記チャンバ内の比較的低い位置に配置され、上記ウェーハを囲みかつ該ウェーハに隣接する。   [0074] According to another aspect of the invention, ICP coil 151 may be formed of a liner material such as tantalum, similar to target 156, and while the bottom of the via is resputtered. Sputtering may be used to deposit tantalum nitride on the wafer. Due to the relatively low pressure during the resputtering process, the ionization rate of the deposited material sputtered from the coil 151 is relatively low. That is, the sputtered material material deposited on the wafer is mainly a neutral material. The coil 151 is disposed at a relatively low position in the chamber and surrounds the wafer and is adjacent to the wafer.

[0075]従って、コイル151からスパッタされた材料物質の軌跡は、比較的小さな入射角を有する傾向がある。すなわち、コイル151からのスパッタされた材料物質は、上記ウェーハの上面の層364に、および該ウェーハのホール内の深部よりは該ウェーハのホールまたはビアの開口部の周りに堆積する傾向がある。このコイル151からの堆積した材料物質は、上記バリア層の薄膜化が所望されない場合に、該バリア層が、上記側壁上及び上記ホールの開口部の周囲ではなく、主に該ホールの底部において再スパッタリングすることにより薄膜化されるような、再スパッタリングからの一定の保護を可能にするために用いることができる。   [0075] Accordingly, the trajectory of the material material sputtered from the coil 151 tends to have a relatively small incident angle. That is, the sputtered material material from the coil 151 tends to deposit on the top layer 364 of the wafer and around the hole or via opening of the wafer rather than deep within the hole of the wafer. The deposited material material from the coil 151 is regenerated mainly at the bottom of the hole rather than on the sidewall and around the opening of the hole when thinning of the barrier layer is not desired. It can be used to allow certain protection from resputtering, such as being thinned by sputtering.

[0076]バリア層351が、一旦、上記ビアの底部からスパッタエッチングされると、上記アルゴンイオンは、銅酸化物層347a’に衝突し、該酸化物層は、該ビアの底部から銅酸化物層材料を再配分するようにスパッタされ、該スパッタされた材料物質のうちの一部または全ては、ビア349の側壁を被覆するバリア層351の部分に沿って堆積される。また、銅原子347a”は、ビア349の側壁上に堆積したバリア層351及び364を被覆する。しかし、最初に堆積したバリア層351、および上記ビアの底部からビアの側壁に再配分された層は銅原子347a”に対する拡散バリアであるため、銅原子347a”は、バリア層351内で実質的に静止しており、層間絶縁体345に達することが妨げられている。従って、上記側壁に堆積される“銅原子347a”は、被覆されていない側壁に再配分されても、ビア間漏れ電流を概して生成しない。   [0076] Once the barrier layer 351 is sputter etched from the bottom of the via, the argon ions impinge on the copper oxide layer 347a 'and the oxide layer becomes copper oxide from the bottom of the via. Sputtered to redistribute the layer material, some or all of the sputtered material material is deposited along the portion of the barrier layer 351 that covers the sidewalls of the via 349. The copper atoms 347a "also cover the barrier layers 351 and 364 deposited on the sidewalls of the via 349. However, the first deposited barrier layer 351 and the layer redistributed from the bottom of the via to the via sidewalls. Is a diffusion barrier to copper atoms 347a ", copper atoms 347a" are substantially stationary within the barrier layer 351 and are prevented from reaching the interlayer insulator 345. The “copper atoms 347a” that are applied will generally not generate via-leakage current when redistributed to uncoated sidewalls.

[0077]その後、タンタル等の第2の材料物質からなる第2のライナー層371を、同じチャンバ152内で、あるいは、SIP及びICPの両能力を有する同様のチャンバ内で、直前のバリア層351上に堆積することができる(図8)。タンタルライナー層は、下にあるタンタルナイトライドバリア層と、銅等の導電体からなる、後に堆積されるメタル配線層との間に良好な付着を実現できる。しかし、ある用途においては、シード層の前に、または上記ホールを充填する前に、バリア層またはライナー層を堆積させることが好ましい。   [0077] Thereafter, a second liner layer 371 made of a second material material such as tantalum is placed in the same chamber 152 or in a similar chamber having both SIP and ICP capabilities in the immediately preceding barrier layer 351. Can be deposited on top (FIG. 8). The tantalum liner layer can realize good adhesion between the underlying tantalum nitride barrier layer and a metal wiring layer deposited later, which is made of a conductor such as copper. However, in some applications it is preferred to deposit a barrier layer or liner layer before the seed layer or before filling the holes.

[0078]第2のライナー層371は、第1のライナー層351と同じ方法で堆積することができる。すなわち、タンタルライナー371は、主にターゲットマグネトロン330によってプラズマが生成される第1のSIP工程において、堆積することができる。しかし、タンタルナイトライドではなくタンタルが堆積されるように、窒素は進入しない。SIPスパッタリングによれば、良好な側壁被覆性及び上方側壁被覆性を得ることができる。ICPコイル151へのRF電力は、必要に応じて低減またはなくすことができる。   [0078] The second liner layer 371 can be deposited in the same manner as the first liner layer 351. That is, the tantalum liner 371 can be deposited mainly in the first SIP process in which plasma is generated by the target magnetron 330. However, nitrogen does not enter so that tantalum is deposited rather than tantalum nitride. According to SIP sputtering, good side wall coverage and upper side wall coverage can be obtained. The RF power to the ICP coil 151 can be reduced or eliminated as needed.

[0079]タンタルライナー層371の堆積に続いて、上記底部の薄膜化または排除が所望される場合には、該ライナー層の下のビア349の底部(及び処理残留物)におけるライナー層371の部分を、図9aに示すように、ライナー層351の底部と同様の方法で、アルゴンプラズマによってスパッタエッチングまたは再スパッタしてもよい。該アルゴンプラズマは、好ましくは、この工程において、主にRF電力を上記ICPコイルに印加することによって生成される。またここでも、スパッタリングチャンバ152(図4)内でのスパッタエッチングの間、ターゲット156に印加される電力は、第2のライナー層371の底部被覆の薄膜化または排除の間に、ターゲット156からの著しい堆積を防ぐためまたは抑制するために、好ましくは、取り除かれるか、あるいは、低レベル(例えば、500W)に低減されることに注意する。また、コイル151は、好ましくは、ライナー材料374を堆積させるためにスパッタされ、アルゴンプラズマは、該ライナー側壁及び上方部が、底部の再スパッタリングの間に実質的に薄膜化されることから保護するために、該ライナー層の底部を再スパッタする。   [0079] If deposition of the bottom portion is desired following deposition of the tantalum liner layer 371, a portion of the liner layer 371 at the bottom (and processing residue) of the via 349 below the liner layer. May be sputter-etched or re-sputtered with argon plasma in the same manner as the bottom of the liner layer 351, as shown in FIG. 9a. The argon plasma is preferably generated in this step mainly by applying RF power to the ICP coil. Again, during sputter etching in the sputtering chamber 152 (FIG. 4), the power applied to the target 156 is removed from the target 156 during thinning or removal of the bottom coating of the second liner layer 371. Note that it is preferably removed or reduced to a low level (eg, 500 W) to prevent or inhibit significant deposition. Also, the coil 151 is preferably sputtered to deposit the liner material 374 and the argon plasma protects the liner sidewalls and top from being substantially thinned during bottom resputtering. For this purpose, the bottom of the liner layer is resputtered.

[0080]上述した実施形態においては、ターゲット材料の上記ビアの側壁へのSIP堆積は、主として1つの工程中に行われ、上記ビア底部のICP再スパッタリング及びコイル151材料のICP堆積は、主に後の工程において行われる。ターゲット材料及びコイル材料のビア349の側壁への堆積は、必要に応じて、同時に行うことができることを認識されたい。また、堆積材料の、ビア349の底部におけるICPスパッタエッチングは、必要に応じて、ターゲット材料及びコイル材料の上記側壁への堆積と同時に行うことができることを認識されたい。同時堆積/スパッタエッチングは、コイル151、ターゲット156及びペデスタル162に印加する電力信号を調節することにより、図4のチャンバ152によって実行することができる。コイル151は、プラズマを維持するのに使用することができるため、該プラズマは、(該プラズマを持続させるのに必要なバイアスより小さい)上記ウェーハに対する比較的低いバイアスで該ウェーハをスパッタすることができる。スパッタリングのしきい値に一旦達すると、特定のウェーハバイアスの場合、ターゲット156に印加されるDC電力(“DCターゲット電力”)と比べて、ワイヤコイル151に印加されるRF電力(“RFコイル電力”)の比は、スパッタエッチングと堆積との関係に影響を及ぼす。例えば、RF電力とDC電力との比が高ければ高い程、スパッタエッチングは、増加したイオン化、および上記ウェーハに対する増加したイオン衝撃流によりより多く行われることになる。上記ウェーハバイアスを増加させること(例えば、支持ペデスタル162に供給されるRF電力を増加させること)は、スパッタリング能力を増し、エッチング速度を増加させる、生じるイオンのエネルギを増すことになる。例えば、ペデスタル162に印加するRF信号の電圧レベルを増加させると、上記ウェーハに入射するイオンのエネルギが増加すると共に、ペデスタル162に印加されるRF信号のデューティサイクルを増加させると、入射イオンの数が増加する。   [0080] In the embodiment described above, SIP deposition of target material on the via sidewalls is performed primarily in one step, and ICP resputtering of the via bottom and ICP deposition of coil 151 material are primarily performed. This is done in a later step. It should be appreciated that the deposition of target material and coil material on the sidewalls of via 349 can be performed simultaneously, if desired. It should also be appreciated that ICP sputter etching of the deposited material at the bottom of the via 349 can be performed simultaneously with deposition of the target material and coil material on the sidewalls, if desired. Co-deposition / sputter etching can be performed by chamber 152 of FIG. 4 by adjusting the power signal applied to coil 151, target 156 and pedestal 162. Since the coil 151 can be used to sustain the plasma, the plasma can sputter the wafer at a relatively low bias relative to the wafer (less than the bias required to sustain the plasma). it can. Once the sputtering threshold is reached, the RF power applied to the wire coil 151 ("RF coil power") compared to the DC power applied to the target 156 ("DC target power") for a particular wafer bias. The ratio of “) affects the relationship between sputter etching and deposition. For example, the higher the ratio of RF power to DC power, the more sputter etching will be performed due to increased ionization and increased ion bombardment flow to the wafer. Increasing the wafer bias (eg, increasing the RF power supplied to the support pedestal 162) will increase the energy of the resulting ions, increasing the sputtering capability and increasing the etch rate. For example, increasing the voltage level of the RF signal applied to the pedestal 162 increases the energy of ions incident on the wafer, and increasing the duty cycle of the RF signal applied to the pedestal 162 increases the number of incident ions. Will increase.

[0081]従って、上記ウェーハバイアスの電圧レベル及びデューティサイクルは、スパッタリング速度を制御するために調節することができる。また、DCターゲット電力を低く保つことは、堆積に利用可能なバリア材料の量を減らすことになる。ゼロのDCターゲット電力は、スパッタエッチングのみをもたらすことになる。高RFコイル電力及びウェーハバイアスと結合した低いDCターゲット電力は、同時のビア側壁堆積及びビア底部スパッタリングをもたらすことができる。従って、上記プロセスは、上記材料物質及び該構造のために調整することができる。200mmウェーハの一般的な3:1のアスペクト比の場合、タンタルまたはタンタルナイトライドをバリア材料として用いると、連続的に印加される(例えば、100%デューティサイクル)500W〜1kWのDCターゲット電力、2〜3kW以上のRFコイル電力、250W〜400W以上のウェーハバイアスで、上記ウェーハ側壁へのバリア堆積及び上記ビア底部からの材料の除去が可能になる。上記DCターゲット電力を低くすればする程、上記側壁に堆積される材料物質は少なくなる。上記DCターゲット電力を高くすればする程、上記ビアの底部をスパッタするのに必要なRFコイル電力および/またはウェーハバイアス電力は多くなる。例えば、コイル151に対する2kWのRFコイル電力レベル及びペデスタル162に対する、100%デューティサイクルの250WのRFウェーハ電力レベルは、同時堆積/スパッタエッチングに用いることができる。同時堆積/スパッタエッチングの間、最初は、(例えば、特定の構造/材料により、数秒間またはそれ以上)ウェーハバイアスを印加せずに、充分なビア側壁の被覆を可能にして、該ビアの底部からスパッタエッチングされた材料物質による該側壁の汚染を防ぐことが好ましい。   [0081] Accordingly, the voltage level and duty cycle of the wafer bias can be adjusted to control the sputtering rate. Also, keeping the DC target power low will reduce the amount of barrier material available for deposition. Zero DC target power will result in sputter etching only. Low DC target power combined with high RF coil power and wafer bias can result in simultaneous via sidewall deposition and via bottom sputtering. Thus, the process can be tailored for the material material and the structure. For a typical 3: 1 aspect ratio of a 200 mm wafer, tantalum or tantalum nitride is used as a barrier material and is applied continuously (eg, 100% duty cycle) 500 W to 1 kW DC target power, 2 With RF coil power of ˜3 kW or more and wafer bias of 250 W to 400 W or more, barrier deposition on the wafer side wall and removal of material from the bottom of the via are possible. The lower the DC target power, the less material material is deposited on the sidewalls. The higher the DC target power, the more RF coil power and / or wafer bias power required to sputter the bottom of the via. For example, a 2 kW RF coil power level for coil 151 and a 250 W RF wafer power level for 100% duty cycle for pedestal 162 can be used for co-deposition / sputter etching. During co-deposition / sputter etching, the bottom of the via is initially enabled to allow sufficient via sidewall coverage without applying a wafer bias (eg, depending on the particular structure / material for a few seconds or more). It is preferable to prevent contamination of the side wall by the material substance sputter-etched from.

[0082]例えば、ビア349の同時堆積/スパッタエッチングの間、最初はウェーハバイアスをかけないことにより、堆積/スパッタエッチング工程の残りの間に、スパッタされた銅原子が層間絶縁体345を汚染するのを防ぐ、層間絶縁体345の側壁上への最初のバリア層の形成を容易にすることができる。別法として、堆積/スパッタエッチングは、同じチャンバ内で“連続的に”実行してもよく、あるいは、第1の処理チャンバ内でバリア層351を堆積した後、異なる第2の処理チャンバ(例えば、アプライドマテリアルズ社のPreclean IIチャンバ等のスパッタエッチングチャンバ)内でバリア層351及び銅酸化物層347a’をスパッタエッチングすることによって実行することができる。   [0082] For example, during simultaneous deposition / sputter etching of vias 349, by not initially applying a wafer bias, sputtered copper atoms contaminate interlayer insulator 345 during the remainder of the deposition / sputter etching process. The formation of the first barrier layer on the sidewall of the interlayer insulator 345 can be facilitated. Alternatively, the deposition / sputter etching may be performed “continuously” in the same chamber, or after depositing the barrier layer 351 in the first processing chamber, a different second processing chamber (eg, , Sputter etching of the barrier layer 351 and the copper oxide layer 347a ′ in a Sputter Etch chamber such as Applied Materials' Preclean II chamber.

[0083]第2のライナー層371の堆積及び底部被覆の薄膜化に続いて、銅配線348を形成するために、第2のメタル層347bが堆積される(図9b)。第2の銅層347bは、図9bに示すように、第2のライナー層371を覆う、および各ビアの底部に露出する第1の銅層347aの一部を覆う被覆として、または銅プラグ347b’として堆積させることができる。銅層347bは、銅シード層を含んでもよい。第1及び第2の銅層347a、347bは、バリア層351または第2のライナー層371を介して接触しているのではなく、直接接触しているため、銅配線348の抵抗は、ビア間漏れ電流と同様に低くすることができる。しかし、ある用途においては、上記ビアの底部に、上記ライナー層またはバリア層あるいはその両方の被覆を残すことが好ましいことを認識されたい。   [0083] Following deposition of the second liner layer 371 and thinning of the bottom coating, a second metal layer 347b is deposited to form a copper interconnect 348 (FIG. 9b). As shown in FIG. 9b, the second copper layer 347b covers the second liner layer 371 and as a coating covering a portion of the first copper layer 347a exposed at the bottom of each via, or a copper plug 347b. 'Can be deposited as. The copper layer 347b may include a copper seed layer. Since the first and second copper layers 347a and 347b are not in contact via the barrier layer 351 or the second liner layer 371, but are in direct contact, the resistance of the copper wiring 348 is between vias. It can be lowered as well as the leakage current. However, it will be appreciated that in some applications it may be desirable to leave a coating of the liner layer and / or barrier layer at the bottom of the via.

[0084]上記配線が、上記ライナー層と異なる導電性金属で形成される場合、該配線層は、該異なる導電金属からなるターゲットを有するスパッタチャンバ内で堆積することができる。該スパッタチャンバは、SIP型またはICP型であってもよい。しかし、現在の銅シード層の堆積は、図10と共に以下に説明する種類のチャンバ内で行うことが好ましい。上記メタル配線は、他の種類のチャンバ内での別の方法及びCVD及び電気化学メッキを含む装置によって堆積してもよい。   [0084] When the wiring is formed of a conductive metal different from the liner layer, the wiring layer can be deposited in a sputter chamber having a target made of the different conductive metal. The sputter chamber may be SIP type or ICP type. However, current copper seed layer deposition is preferably performed in a chamber of the type described below in conjunction with FIG. The metal wiring may be deposited by other methods in other types of chambers and equipment including CVD and electrochemical plating.

[0085]銅シード層は、図10の概略断面図に示すような別のプラズマスパッタリングリアクタ410によって堆積してもよい。リアクタ410、及びシード及び他の層を形成するための種々のプロセスは、2001年11月14日に出願された、同時係属の出願第09/993,543号明細書(代理人登録番号6265)に記載されており、その全体を本願明細書に組み入れる。該明細書に記載されているように、真空チャンバ412は、電気的に接地されている概して円筒形の側壁414を含む。一般的には、該側壁をスパッタ被覆から保護するために、側壁414の内側に、図示していない接地された交換可能なシールドが設けられているが、該シールドは、真空を保持することを除いて、チャンバ側壁として機能する。スパッタされる金属からなるスパッタリングターゲット416は、電気的アイソレータ418を介してチャンバ412に密封されている。ペデスタル電極422は、ターゲット416と対向して平行にスパッタ被覆されるウェーハ424を支持する。処理空間は、ターゲット416と、上記シールドの内側のウェーハ424との間に形成される。   [0085] The copper seed layer may be deposited by another plasma sputtering reactor 410 as shown in the schematic cross-sectional view of FIG. Various processes for forming the reactor 410 and seeds and other layers are described in co-pending application 09 / 993,543 filed November 14, 2001 (Attorney Registration Number 6265). Which is incorporated herein in its entirety. As described therein, the vacuum chamber 412 includes a generally cylindrical sidewall 414 that is electrically grounded. In general, a grounded replaceable shield (not shown) is provided inside the side wall 414 to protect the side wall from sputter coating, but the shield holds a vacuum. Except, it functions as a chamber side wall. A sputtering target 416 made of sputtered metal is sealed in the chamber 412 via an electrical isolator 418. The pedestal electrode 422 supports a wafer 424 that is sputter coated parallel to the target 416. A processing space is formed between the target 416 and the wafer 424 inside the shield.

[0086]スパッタリング作用ガス、好ましくはアルゴンは、マスフローコントローラ428を介してガス供給部426から上記チャンバ内に計量供給される。図示しない真空ポンピング装置は、チャンバ412の内部を、通常10-8トル以下の非常に低いベース圧力に維持する。プラズマの点火中、アルゴンの圧力は、5ミリトル程度のチャンバ圧力を生成する量で供給されるが、後述するように、該圧力は、その後減少する。DC電源434は、ターゲット416に−600VDC程度の負の電位のバイアスをかけて、上記アルゴン作用ガスを、電子及び正のアルゴンイオンを含むプラズマに励起させる。該正のアルゴンイオンは、負のバイアスをかけられたターゲット416に引き付けられ、該ターゲットから金属原子をスパッタする。 [0086] A sputtering working gas, preferably argon, is metered into the chamber from a gas supply 426 via a mass flow controller 428. A vacuum pumping device (not shown) maintains the interior of the chamber 412 at a very low base pressure, typically below 10-8 torr. During plasma ignition, the argon pressure is supplied in an amount that produces a chamber pressure on the order of 5 millitorr, which will subsequently decrease, as will be described later. The DC power supply 434 applies a negative potential bias of about −600 VDC to the target 416 to excite the argon working gas into a plasma containing electrons and positive argon ions. The positive argon ions are attracted to the negatively biased target 416 and sputter metal atoms from the target.

[0087]本発明は、特に、小さな入れ子状のマグネトロン436が、ターゲット416の後ろの図示されていないバックプレート上に支持されているSIPスパッタリングに対して有用である。チャンバ412及びターゲット416は、概して円筒形で、中心軸438周りに対称的である。SIPマグネトロン436は、第1の垂直磁極性の内側磁極440と、対向する第2の垂直磁極性の外側を囲む磁極442とを含む。両極とも、磁気ヨーク444によって支持され、かつ該ヨークを介して磁気結合されている。ヨーク444は、中心軸4438に沿って伸びる回転軸448に支持されている回転アーム446に固着されている。軸448に結合されたモータ450は、マグネトロン436を中心軸438周りに回転させる。   [0087] The present invention is particularly useful for SIP sputtering in which a small nested magnetron 436 is supported on a back plate (not shown) behind the target 416. Chamber 412 and target 416 are generally cylindrical and symmetric about a central axis 438. The SIP magnetron 436 includes a first vertical magnetic inner pole 440 and a magnetic pole 442 surrounding the opposing second vertical magnetic pole. Both poles are supported by a magnetic yoke 444 and are magnetically coupled via the yoke. The yoke 444 is fixed to a rotating arm 446 supported by a rotating shaft 448 extending along the central axis 4438. A motor 450 coupled to shaft 448 rotates magnetron 436 about central axis 438.

[0088]不平衡マグネトロンにおいては、外側極442は、好ましくは、少なくとも150%の磁力比を有する、内側極440によって形成されるものよりも大きな領域に一体化された総磁束を有する。対向する磁極440、442は、ターゲット416の面に平行で近接する強力な成分を有する、概して半トロイダルな磁界をチャンバ412の内部に形成して、そこに高密度プラズマを生成し、それによりスパッタリング速度を高め、かつスパッタされた金属原子のイオン化量を増大させる。外側極442は、内側極440よりも磁気的に強力であるため、外側極442からの磁界の一部は、外側極442の後ろに戻ってループを形成して磁気回路を完成する前に、ペデスタル422の方へ突出する。   [0088] In an unbalanced magnetron, the outer pole 442 preferably has a total magnetic flux integrated in a larger area than that formed by the inner pole 440 with a magnetic force ratio of at least 150%. Opposing magnetic poles 440, 442 form a generally semi-toroidal magnetic field within the chamber 412 having a strong component parallel to and in close proximity to the surface of the target 416 to create a high density plasma thereby sputtering. Increase speed and increase ionization of sputtered metal atoms. Because the outer pole 442 is magnetically stronger than the inner pole 440, a portion of the magnetic field from the outer pole 442 returns to the back of the outer pole 442 before forming a loop to complete the magnetic circuit. Projects toward the pedestal 422.

[0089]例えば、13.56MHzの周波数を有するRF電源454は、ウェーハ424に対して負の自己バイアスをかけるために、ペデスタル電極422に接続されている。該バイアスは、隣接するプラズマのシースを横切る正に帯電した金属原子を引き付け、それにより、層間ビア等の、上記ウェーハ内の高アスペクト比ホールの側部及び底部を被覆する。   [0089] For example, an RF power supply 454 having a frequency of 13.56 MHz is connected to the pedestal electrode 422 to negatively bias the wafer 424. The bias attracts positively charged metal atoms across the adjacent plasma sheath, thereby covering the sides and bottom of the high aspect ratio holes in the wafer, such as interlayer vias.

[0090]SIPスパッタリングにおいては、上記マグネトロンは、小さく、かつ高い磁気強度を有し、プラズマ密度が、ターゲット416近傍で約10-10cm-3まで上昇するように、大量のDC電力が該ターゲットに印加される。このプラズマ密度がある場合には、大量のスパッタされた原子が、正に帯電した金属イオンにイオン化される。金属イオン密度は充分高いため、大量のイオンが上記ターゲットに戻って引き付けられて、さらに多くの金属イオンがスパッタされる。その結果、該金属イオンは、アルゴンイオンをスパッタリングプロセスにおける有効な作用種として少なくとも部分的に置換する。すなわち、アルゴン圧力を低減することができる。低減された圧力は、金属イオンの散乱及び脱イオン化を低減するという効果を有する。銅スパッタリングの場合、ある条件下で、持続性自己スパッタリング(SSS)と呼ばれるプロセスにおいて、プラズマが一旦点火されると、アルゴン作用ガスを完全に除去することが可能である。アルミニウムまたはタングステンスパッタリングの場合、SSSは不可能であるが、上記アルゴン圧力は、従来のスパッタリングで用いる圧力よりもかなり低減することができ、例えば、1ミリトル未満に低減することができる。 [0090] In SIP sputtering, the magnetron is small and has high magnetic strength, and a large amount of DC power is applied to the target so that the plasma density rises to about 10 −10 cm −3 in the vicinity of the target 416. To be applied. In the presence of this plasma density, a large amount of sputtered atoms are ionized into positively charged metal ions. Since the metal ion density is sufficiently high, a large amount of ions are attracted back to the target, and more metal ions are sputtered. As a result, the metal ions at least partially replace argon ions as an effective species in the sputtering process. That is, the argon pressure can be reduced. The reduced pressure has the effect of reducing metal ion scattering and deionization. In the case of copper sputtering, under certain conditions, the argon working gas can be completely removed once the plasma is ignited in a process called sustained self-sputtering (SSS). In the case of aluminum or tungsten sputtering, SSS is not possible, but the argon pressure can be much lower than that used in conventional sputtering, for example, less than 1 millitorr.

[0091]本発明の一実施形態においては、永久磁石462からなる補助アレイ460が、チャンバ側壁414の周囲に配置され、該アレイは、ウェーハ424に向かって、処理空間の半体内に概して配置されている。この実施形態において、補助磁石462は、磁界の不平衡な部分を外側極442から引っ張るために、入れ子状マグネトロン436の外側極442と同じ第1の垂直磁極性を有する。以下に詳細に説明する実施形態においては、8つの永久磁石があるが、中心軸438の周りに配置された4つまたはそれ以上のどのような数でも、同様の良好な結果をもたらす。補助磁石462を、チャンバ側壁414の内側、好ましくは、薄い側壁シールドの外側に配置して、処理領域における有効な強度を増加させることが可能である。しかし、全体的な処理結果にとっては、側壁414の外側での配置が好ましい。   [0091] In one embodiment of the present invention, an auxiliary array 460 of permanent magnets 462 is disposed around the chamber sidewall 414, and the array is generally disposed in the half of the processing space toward the wafer 424. ing. In this embodiment, the auxiliary magnet 462 has the same first vertical magnetic polarity as the outer pole 442 of the nested magnetron 436 to pull the unbalanced portion of the magnetic field from the outer pole 442. In the embodiment described in detail below, there are eight permanent magnets, but any number of four or more arranged about the central axis 438 will give similar good results. An auxiliary magnet 462 can be placed inside the chamber sidewall 414, preferably outside the thin sidewall shield, to increase the effective strength in the processing area. However, for the overall processing result, an arrangement outside the side wall 414 is preferred.

[0092]上記補助磁石アレイは、円形の対称的な磁界を形成するために、中心軸438の周りに、概して対称的に配置されている。一方、入れ子状マグネトロン436は、中心軸438の周りに非対称的に配置される磁界配置を有するが、該磁界配置が、回転時間の経過とともに平均化されると、該磁界配置は対称的になる。入れ子状マグネトロン436の形態には、多くの形態がある。最も単純であるがあまり好ましくない形態は、その磁界が、チャンバ軸438からずれている軸の周りに対称的で、かつ入れ子状マグネトロンの軸が、チャンバ軸438周りに回転されるような、円形環状外側極442によって囲まれたボタン中心極440を有する。好ましい入れ子状マグネトロンは、中心軸438の近くに頂点を有し、ターゲット416の周囲近傍に底辺を有する、図11の底面図に示す三角形状を有する。この形状は、磁界の時間平均が、円形の入れ子状マグネトロンの場合よりもより均一であるため、特に有利である。   [0092] The auxiliary magnet array is generally symmetrically disposed about the central axis 438 to form a circular symmetric magnetic field. On the other hand, the nested magnetron 436 has a magnetic field arrangement that is asymmetrically arranged around the central axis 438, but the magnetic field arrangement becomes symmetrical when the magnetic field arrangement is averaged over time. . There are many forms of the nested magnetron 436. The simplest but less preferred form is a circular shape in which the magnetic field is symmetric about an axis that is offset from the chamber axis 438 and the axis of the nested magnetron is rotated about the chamber axis 438. It has a button center pole 440 surrounded by an annular outer pole 442. A preferred nested magnetron has the triangular shape shown in the bottom view of FIG. 11 with a vertex near the central axis 438 and a base near the periphery of the target 416. This shape is particularly advantageous because the time average of the magnetic field is more uniform than in the case of a circular nested magnetron.

[0093]回転周期中の特定の瞬間における有効な磁界を、図10に点線で示す。半トロイダル磁界BMは、ターゲット416の面と平行で接近した強い水平成分を生じ、それにより、プラズマの密度、スパッタリング速度及びスパッタされた粒子のイオン化を増加させる。補助磁界BA1、BA2は、補助磁石アレイ460からの磁界と、入れ子状マグネトロン436の磁界の不平衡部分の合計である。入れ子状マグネトロン436から離れた上記チャンバの側では、入れ子状マグネトロン436の磁界の不平衡部分からの成分BA1が優勢であり、該成分は、ウェーハ424の方までは及んでいない。しかし、入れ子状マグネトロン436の側のチャンバ側壁414の近傍では、補助磁石462は、外側磁極442と強く結合しており、ウェーハ424の方まで突出する磁界成分BA2を生成する。図の平面の範囲外では、上記磁界成分は、2つの成分BA1、BA2を組み合わせたものである。 [0093] The effective magnetic field at a particular moment during the rotation cycle is shown by the dotted line in FIG. The semi-toroidal magnetic field B M produces a strong horizontal component that is parallel and close to the plane of the target 416, thereby increasing the plasma density, sputtering rate and ionization of the sputtered particles. The auxiliary magnetic fields B A1 and B A2 are the sum of the unbalanced portions of the magnetic field from the auxiliary magnet array 460 and the magnetic field of the nested magnetron 436. On the side of the chamber away from the nested magnetron 436, the component B A1 from the unbalanced portion of the magnetic field of the nested magnetron 436 is dominant and does not extend to the wafer 424. However, in the vicinity of the chamber side wall 414 on the side of the nested magnetron 436, the auxiliary magnet 462 is strongly coupled to the outer magnetic pole 442 and generates a magnetic field component B A2 that protrudes toward the wafer 424. Outside the plane of the figure, the magnetic field component is a combination of two components B A1 and B A2 .

[0094]この構造は、補助磁石442及び強力な外側磁極442のアライメントのため、強力な垂直磁界が、その周りで動く入れ子状マグネトロン436の下の領域内で、チャンバ側壁414の実質的な長さの近傍に沿って形成されるという結果をもたらす。その結果として、最も強くスパッタされるターゲット416の領域に隣接するチャンバ412の外側に、強力な垂直磁界が存在する。この突出する磁界は、プラズマの領域を広げること、およびイオン化した粒子をウェーハ424に案内することの両方に有効である。   [0094] This structure is due to the alignment of the auxiliary magnet 442 and the strong outer pole 442, so that a strong vertical magnetic field is substantially extended by the length of the chamber sidewall 414 in the region under the nested magnetron 436 that moves around it. As a result, it is formed along the vicinity of the thickness. As a result, there is a strong vertical magnetic field outside the chamber 412 adjacent to the region of the target 416 that is most strongly sputtered. This protruding magnetic field is effective for both expanding the plasma region and guiding ionized particles to the wafer 424.

[0095]補助磁石アレイ460は、そのうちの1つは、図12に正射投影法で示されている、2つの半円形磁石キャリア470の使用によって実施することができる。各キャリア470は、その内部に面し、かつ1つの磁石462を含む各磁石アセンブリ474を収容するような大きさになっている4つの凹部472を含む。磁石アセンブリ474は、円弧状の上方クランプ部材476と、下方クランプ部材478とを含み、該部材は、2つのネジ480が、2つのクランプ部材476、478を締め付けたときに、円筒形の磁石462を凹部内に捕える。キャリア470及びクランプ部材476、478は、アルミニウム等の非磁性材料で形成してもよい。下方クランプ部材478は、凹部472に嵌まるような長さを有するが、上方クランプ部材476は、凹部472を越え、かつそこを貫通して2つのスルーホール482が穿孔されている端部を有する。2つのネジ484は、各スルーホールを通って、ネジ484を、磁石キャリア470のネジ穴486に固定できるようにし、それによって磁石462を磁石キャリア470上の定位置に固定する。このように組み立てられた2つの半円形磁石キャリア470はチャンバ壁部414の周囲のリング内に配置されて、従来の固定手段によって固定される。この構造は、磁石462を、チャンバ壁部414の外部に直接隣接して配置する。   [0095] The auxiliary magnet array 460 can be implemented by the use of two semicircular magnet carriers 470, one of which is shown in orthographic projection in FIG. Each carrier 470 includes four recesses 472 that face the interior and are sized to accommodate each magnet assembly 474 that includes one magnet 462. The magnet assembly 474 includes an arcuate upper clamp member 476 and a lower clamp member 478 that are cylindrical when the two screws 480 tighten the two clamp members 476, 478. In the recess. The carrier 470 and the clamp members 476 and 478 may be formed of a nonmagnetic material such as aluminum. The lower clamp member 478 has a length that fits into the recess 472, while the upper clamp member 476 has an end beyond the recess 472 and through which two through holes 482 are drilled. . Two screws 484 pass through each through hole, allowing the screw 484 to be secured in the screw hole 486 of the magnet carrier 470, thereby securing the magnet 462 in place on the magnet carrier 470. The two semicircular magnet carriers 470 assembled in this way are arranged in a ring around the chamber wall 414 and are fixed by conventional fixing means. This structure places the magnet 462 directly adjacent to the exterior of the chamber wall 414.

[0096]Wei Wangの電磁石コイルの内側に形成されたソレノイド磁界は、永久磁石の環状アレイによって形成された周囲の双極子磁界よりも、上記リアクタチャンバの直径にわたってより均一である。しかし、永久磁石462を、図13の断面図に示すような、チャンバ壁の周囲に配置された電磁気コイル490からなる環状アレイと置き換えることによって、同じような形状の双極子磁界を形成することが可能である。コイル490は、一般に、中心軸438と平行な各軸周りのヘリックスとして巻回されており、上記チャンバの内側に、ほぼ同じ磁気双極子界を形成するように電気的に作動される。このようなデザインは、上記補助磁界の強度及び該磁界の極性の迅速な調整を可能にするという利点を有する。   [0096] The solenoid field formed inside the Wei Wang electromagnet coil is more uniform across the diameter of the reactor chamber than the surrounding dipole field formed by the annular array of permanent magnets. However, by replacing the permanent magnet 462 with an annular array of electromagnetic coils 490 arranged around the chamber wall, as shown in the cross-sectional view of FIG. 13, a similarly shaped dipole magnetic field can be formed. Is possible. The coil 490 is typically wound as a helix around each axis parallel to the central axis 438 and is electrically actuated to form substantially the same magnetic dipole field inside the chamber. Such a design has the advantage of allowing quick adjustment of the strength of the auxiliary magnetic field and the polarity of the magnetic field.

[0097]本発明は、銅のSIPスパッタリングに適用してきた。従来のSIPリアクタは、シート抵抗測定値により決まる9%の非均一性を有する銅膜をスパッタするが、上記補助マグネトロンは、ある実施形態においては、1%の非均一性を生じるように最適化できると考えられる。均一性の改善は、ある用途における改良されたプロセス制御のためには好ましい、深いホール内への薄い銅シード層の堆積の場合、ある適用においては、低減された堆積速度を伴う可能性がある。   [0097] The present invention has been applied to copper SIP sputtering. While conventional SIP reactors sputter copper films with 9% non-uniformity determined by sheet resistance measurements, the auxiliary magnetron in one embodiment is optimized to produce 1% non-uniformity. It is considered possible. Improved uniformity is preferred for improved process control in certain applications, and in some applications with a thin copper seed layer deposition in deep holes, may be accompanied by a reduced deposition rate. .

[0098]本発明を、SIPスパッタリアクタでの使用のために説明してきたが、上記補助永久磁石アレイは、米国特許第6,251,242号明細書のSIPリアクタの環状アーチ型のターゲット、米国特許第6,179,973号明細書または2000年7月/8月、J.Vac.Sci TechnologyのKlawuhn等による「進歩したメタライゼーションのための中空カソードマグネトロンソースを用いたイオン化された物理気相堆積」の中空カソードターゲット、米国特許第6,045,547号明細書の誘導結合IMPリアクタ、あるいは、例えば、2000年のIEEEのWada等による「イオンリフレクタを有する自己イオンスパッタリング(SIS)を用いた、0.13μm技術世代のためのCuデュアルダマシンプロセス」に記載されているようなイオンリフレクタを使用して、基板へのイオン流を制御する自己イオンスパッタリング(SIS)システム等の他のターゲット及び電力構成に対して有利に適用することができる。平衡マグネトロン及び静止型等の他のマグネトロン構成も用いることができる。さらに、上記補助磁石の極性は、上部マグネトロンの外側極の磁極性と平行または非平行にしてもよい。Al、Ta、Ti、Co、W等及び耐熱性金属からなる窒化物を含む他の材料物質をスパッタしてもよい。   [0098] Although the present invention has been described for use in a SIP sputter reactor, the auxiliary permanent magnet array is disclosed in US Pat. No. 6,251,242, an annular arched target for a SIP reactor, US Patent No. 6,179,973 or July / August 2000; Vac. Hollow cathode target of “Ionized Physical Vapor Deposition Using Hollow Cathode Magnetron Source for Advanced Metallization” by Klauwn et al., Sci Technology, Inductively Coupled IMP Reactor of US Pat. No. 6,045,547 Or an ion reflector as described in, for example, “Cu dual damascene process for 0.13 μm technology generation using self-ion sputtering (SIS) with ion reflector” by 2000 Wada et al. Can be advantageously applied to other target and power configurations, such as a self-ion sputtering (SIS) system that controls ion flow to the substrate. Other magnetron configurations such as balanced magnetrons and stationary types can also be used. Further, the polarity of the auxiliary magnet may be parallel or non-parallel to the magnetic polarity of the outer pole of the upper magnetron. Other material materials including nitrides made of Al, Ta, Ti, Co, W, etc. and heat-resistant metals may be sputtered.

[0099]従って、上記補助磁石アレイは、マグネトロンスパッタリングに有用な磁界の追加的な制御を実現できる。しかし、部分的に中性なイオン流でより深いホール被覆を実現するには、ターゲット416とウェーハ424との距離を長くすること、すなわち、ロングスローモードで作動させることが好ましい。図4のチャンバと共に上述したように、ロングスローにおいては、ターゲット・基板間の間隔は、通常、該基板の口径の半分よりも大きい。SIP銅シード堆積で使用する場合、好ましくは、200mmウェーハの場合、140%ウェーハ口径よりも大きく(例えば、290mm間隔)、300mmウェーハの場合130%以上(例えば、400mm間隔)であるが、基板口径の90%以上及び100%以上を含む80%以上の間隔が適切であると考えられる。たいていの用途の場合、50〜1000mmのターゲット・ウェーハ間の間隔が適切であると考えられる。従来のスパッタリングにおけるロングスローは、スパッタリング堆積速度を低減するが、イオン化したスパッタ粒子には、そのような大きな減少という欠点がない。   [0099] Thus, the auxiliary magnet array can provide additional control of the magnetic field useful for magnetron sputtering. However, to achieve deeper hole coverage with a partially neutral ion flow, it is preferable to increase the distance between the target 416 and the wafer 424, i.e., operate in the long throw mode. As described above in conjunction with the chamber of FIG. 4, in long throw, the target-substrate spacing is typically greater than half the substrate aperture. When used in SIP copper seed deposition, it is preferably larger than 140% wafer diameter (for example, 290 mm spacing) for 200 mm wafers and 130% or more (for example, 400 mm spacing) for 300 mm wafers. An interval of 80% or more including 90% or more and 100% or more is considered appropriate. For most applications, a target wafer spacing of 50 to 1000 mm is considered appropriate. Long throws in conventional sputtering reduce the sputtering deposition rate, but ionized sputtered particles do not suffer from such a large reduction.

[00100]図4のチャンバ及び図10のチャンバによって形成できる構造からなる一実施形態は、図14aに断面で示すビアである。シード銅層492は、図10のチャンバによって、ビアホール494内で、SIP及びICPを促進する条件下で、上述のTaNバリア351、364及びTaライナー層371、374等の1つまたはそれ以上のバリア及びライナー層を含んでもよい、図4のチャンバ内で形成されたライナー層を覆って堆積される。SIP銅層492は、例えば、50〜300nm、または、より好ましくは80〜200nmの厚みを被覆するために堆積してもよい。SIP銅シード層492は、好ましくは、上記ビア側壁上で、2〜20nm、より好ましくは、7〜15nmの範囲の厚さを有する。狭いホールのため、50nmを超える上記側壁の厚さは、ある用途に対しては最適ではない可能性がある。該膜の品質は、ある用途においては、上記ペデスタルの温度を、0℃以下、好ましくは−40℃以下に低下させることによって改善することができる。このような適用においては、迅速なSIP堆積が有利である。   [00100] One embodiment of a structure that can be formed by the chamber of FIG. 4 and the chamber of FIG. 10 is a via shown in cross section in FIG. 14a. The seed copper layer 492 may be applied to one or more barriers such as the TaN barriers 351 and 364 and the Ta liner layers 371 and 374 described above under conditions that promote SIP and ICP within the via hole 494 by the chamber of FIG. And deposited over the liner layer formed in the chamber of FIG. 4, which may include a liner layer. The SIP copper layer 492 may be deposited to cover a thickness of, for example, 50-300 nm, or more preferably 80-200 nm. The SIP copper seed layer 492 preferably has a thickness in the range of 2-20 nm, more preferably 7-15 nm on the via sidewall. Due to the narrow holes, the sidewall thickness above 50 nm may not be optimal for certain applications. The quality of the membrane can be improved in certain applications by reducing the temperature of the pedestal to 0 ° C. or lower, preferably -40 ° C. or lower. In such applications, rapid SIP deposition is advantageous.

[00101]例えば、スパッタリングチャンバ410が、銅層の堆積のために構成されている場合、銅ターゲット416が使用される。動作中、上記チャンバ排気口に操作可能に結合されたスロットルバルブは、プロセスガスの該チャンバ内への導入前に、堆積チャンバ410を、約1×10-8トルの所望の低い真空レベルに維持するために、中間位置に配置される。スパッタリングチャンバ410内での処理を開始するために、ガスインレット428を介して、スパッタリングチャンバ410内に、アルゴンガスが流入される。ロングスローSIPチャンバ内での銅シードの堆積の場合、0〜2mトル等の非常に低い圧力が好ましい。図示の実施形態においては、0.2mトルの圧力が適切である。DC電力は、DC電源434を介して銅ターゲット416に印加される(同時に、上記ガス混合物は、ガスインレット360を介してスパッタリングチャンバ410内に流入され続け、該チャンバから適当なポンプを介して供給される)。ターゲット416に印加される電力は、銅ターゲットの場合、200mmウェーハに対して20〜60kWの範囲でもよい。一つの実施例においては、電源434は、銅ターゲット416に、−600VDCで38kWを印加することができる。300mmウェーハ等の大きなウェーハの場合、56kW等のより大きな値が適切であることが予想される。特定の用途により、他の値を用いてもよい。 [00101] For example, if the sputtering chamber 410 is configured for copper layer deposition, a copper target 416 is used. In operation, a throttle valve operably coupled to the chamber exhaust maintains the deposition chamber 410 at a desired low vacuum level of about 1 × 10 −8 Torr prior to introduction of process gas into the chamber. In order to do so. In order to start processing in the sputtering chamber 410, argon gas is flowed into the sputtering chamber 410 via the gas inlet 428. For copper seed deposition in a long throw SIP chamber, a very low pressure such as 0-2 mTorr is preferred. In the illustrated embodiment, a pressure of 0.2 mTorr is appropriate. DC power is applied to the copper target 416 via the DC power source 434 (at the same time the gas mixture continues to flow into the sputtering chamber 410 via the gas inlet 360 and is supplied from the chamber via a suitable pump. ) In the case of a copper target, the power applied to the target 416 may be in the range of 20-60 kW for a 200 mm wafer. In one embodiment, the power source 434 can apply 38 kW to the copper target 416 at -600 VDC. For large wafers such as 300 mm wafers, larger values such as 56 kW are expected to be appropriate. Other values may be used depending on the particular application.

[00102]ターゲット416に印加されるDC電力は、アルゴンに、SIPプラズマを形成させ、かつターゲット材料(例えば、銅)をそこから射出させるターゲット416に引き付けられて衝突するアルゴンイオンを生成させる。射出したターゲット材料は、移動して、ペデスタル422によって支持されたウェーハ424上に付着する。上記SIPプロセスによれば、上記不平衡マグネトロンによって生成されたプラズマは、スパッタされた銅の一部をイオン化する。基板支持ペデスタル422に印加されるRF電力信号を調節することにより、基板支持ペデスタル422とプラズマとの間に、負のバイアスを形成することができる。   [00102] The DC power applied to the target 416 causes argon to form a SIP plasma and generate argon ions that are attracted to and collide with the target 416 from which the target material (eg, copper) is ejected. The injected target material moves and deposits on the wafer 424 supported by the pedestal 422. According to the SIP process, the plasma generated by the unbalanced magnetron ionizes a portion of the sputtered copper. By adjusting the RF power signal applied to the substrate support pedestal 422, a negative bias can be formed between the substrate support pedestal 422 and the plasma.

[00103]ペデスタル422に印加される電力は、銅シード堆積の場合、0〜1200Wの範囲であってもよい。一実施例においては、RF電源454は、200mmウェーハの場合、ペデスタル422に300W印加することができる。300mmウェーハ等のより大きなウェーハの場合には、より大きな値が適切であると予想される。特定の用途により、他の値を用いることもできる。   [00103] The power applied to the pedestal 422 may range from 0 to 1200 W for copper seed deposition. In one embodiment, the RF power source 454 can apply 300 W to the pedestal 422 for a 200 mm wafer. For larger wafers, such as 300 mm wafers, larger values are expected to be appropriate. Other values can be used depending on the particular application.

[00104]基板支持ペデスタル422とプラズマとの間の負のバイアスは、銅イオン及びアルゴンイオンを、ペデスタル422及び該ペデスタル上に支持されたウェーハの方へ加速させる。従って、中性及びイオン化した銅を、該ウェーハ上に堆積させることができ、SIPスパッタリングに従って良好な底部、側壁及び上方側壁被覆性を実現できる。また、上記ウェーハ、ターゲット416からの銅材料が、該ウェーハ上に堆積すると同時に、上記アルゴンイオンによってスパッタエッチングしてもよい(例えば、同時堆積/スパッタエッチング)。   [00104] The negative bias between the substrate support pedestal 422 and the plasma accelerates copper ions and argon ions toward the pedestal 422 and the wafer supported on the pedestal. Thus, neutral and ionized copper can be deposited on the wafer and good bottom, sidewall and upper sidewall coverage can be achieved according to SIP sputtering. Further, the copper material from the wafer and target 416 may be sputter-etched by the argon ions simultaneously with the deposition on the wafer (for example, simultaneous deposition / sputter etching).

[00105]シード層492の堆積の後または間に、ビア494の底部496におけるシード層492の一部は、該底部の再配分が好ましい場合、図14Bに示すようなアルゴンプラズマによってスパッタエッチングまたは再スパッタしてもよい。底部496は、図14Bに示すように、上記銅シード層の底部角部領域498の被覆厚を増加させるために再配分してもよい。たいていの用途においては、上記ビア全体にわたる適切なシード層被覆を形成するために、銅シード層の底部496が、完全に除去されていないことが好ましい。   [00105] After or during the deposition of the seed layer 492, a portion of the seed layer 492 at the bottom 496 of the via 494 may be sputter etched or re-applied by argon plasma as shown in FIG. 14B if redistribution of the bottom is preferred. Sputtering may be performed. The bottom 496 may be redistributed to increase the coating thickness of the bottom corner region 498 of the copper seed layer, as shown in FIG. 14B. In most applications, it is preferred that the bottom 496 of the copper seed layer is not completely removed to form a suitable seed layer coating across the via.

[00106]上記アルゴンプラズマは、好ましくは、この再スパッタリング工程において、電力を上記ターゲット及びペデスタルに印加することによってSIPプラズマとして生成される。SIPアルゴンイオンは、電界によってシード層492の方へ加速され(例えば、負の自己バイアスを該ペデスタル上に形成する、図10の第2のRF電源454を介して基板支持ペデスタル422に印加されるRF信号)、シード層492にぶつかり、運動量の伝達により、ビア開口の底からのシード層材料をスパッタし、ビア349の底部角部を被覆するシード層492の一部498に沿って該材料を再配分する。   [00106] The argon plasma is preferably generated as SIP plasma in this resputtering step by applying power to the target and pedestal. SIP argon ions are accelerated toward the seed layer 492 by an electric field (eg, applied to the substrate support pedestal 422 via the second RF power supply 454 of FIG. 10 forming a negative self-bias on the pedestal. RF signal), hits the seed layer 492, and by momentum transfer, sputters the seed layer material from the bottom of the via opening and places the material along the portion 498 of the seed layer 492 that covers the bottom corner of the via 349. Redistribute.

[00107]上記アルゴンイオンは、上記基板とほぼ直角な方向で該基板に引き付けられる。その結果、上記ビアの側壁のスパッタリングはほとんど起きないが、該ビアの底部の実質的なスパッタリングが行われる。この実施形態におけるスパッタリングチャンバ410(図10)内での銅シード層の再スパッタリングの間、ペデスタル422に印加される電力は、例えば、該銅シード層の底部の再配分を容易にするために、例えば600〜1200Wあるいは900Wの高い値まで増加させることができる。すなわち、この実施例においては、上記ペデスタル電力は、上記再スパッタリングの再配分効果を強めるために、600W以下のレベル(例えば、300W)から,600W以上のレベル(例えば、900W)まで上昇する。   [00107] The argon ions are attracted to the substrate in a direction substantially perpendicular to the substrate. As a result, there is little sputtering of the via sidewalls but substantial sputtering of the bottom of the via. During re-sputtering of the copper seed layer in the sputtering chamber 410 (FIG. 10) in this embodiment, the power applied to the pedestal 422 is, for example, to facilitate redistribution of the bottom of the copper seed layer. For example, it can be increased to a high value of 600 to 1200 W or 900 W. That is, in this embodiment, the pedestal power increases from a level of 600 W or less (for example, 300 W) to a level of 600 W or more (for example, 900 W) in order to enhance the redistribution effect of the resputtering.

[00108]他の実施例においては、ターゲット416に印加される電力は、上記銅シード層の底部の再配分を容易にするために、ターゲット416からの付着を妨げるように、例えば、30kWまたは28kW等の低い値に低減することができる。ゼロのターゲット電力ではなく、低いターゲット電力レベルは、より均一なプラズマを生成することができ、シード層の底部の再配分のために、現在ターゲット電力が低減される実施形態においては好ましい。従って、この実施例においては、上記ターゲット電力は、再スパッタリングを強めるために、30000(例えば、38kW)を超えるレベルから30000W(例えば、28kW)未満レベルに低下される。   [00108] In other embodiments, the power applied to the target 416 can be, for example, 30 kW or 28 kW to prevent adhesion from the target 416 to facilitate redistribution of the bottom of the copper seed layer. Etc., can be reduced to a low value. A low target power level, rather than zero target power, is preferred in embodiments where a more uniform plasma can be produced and the current target power is reduced due to the redistribution of the bottom of the seed layer. Thus, in this embodiment, the target power is reduced from a level above 30000 (eg, 38 kW) to a level below 30000 W (eg, 28 kW) to enhance resputtering.

[00109]さらに別の実施例においては、上記銅シード層の底部の再スパッタリングは、上記ターゲット及びペデスタルの電力レベルを、該シード層の堆積中に、比較的一定に維持できるように(例えば、それぞれ38kW及び300kW)、該銅シード層堆積全体にわたって同時に実行してもよい。他の実施形態においては、ターゲット電力の低減は、シード層の底部の再配分を容易にするために、ペデスタル電力の増加と交互に行うか、または該ペデスタル電力の増加と組み合わせてもよい。   [00109] In yet another embodiment, resputtering of the bottom of the copper seed layer allows the power level of the target and pedestal to remain relatively constant during the deposition of the seed layer (eg, 38 kW and 300 kW, respectively), may be performed simultaneously throughout the copper seed layer deposition. In other embodiments, the target power reduction may alternate with or increase the pedestal power increase to facilitate redistribution of the bottom of the seed layer.

[00110]上記再スパッタリングプロセスのパラメータの特定の値は、特定の用途により変化してもよい。同時係属または発行された出願第08/768,058号、同第09/126,890号、同第09/449,202号、同第09/846,581号、同第09/490,026号及び同第09/704,161号は、再スパッタリングプロセスについて記載しており、それらの出願全体を本願明細書に組み入れる。   [00110] The particular values of the resputtering process parameters may vary depending on the particular application. Co-pending or issued applications 08 / 768,058, 09 / 126,890, 09 / 449,202, 09 / 846,581, 09 / 490,026 And 09 / 704,161 describe resputtering processes, the entire applications of which are incorporated herein.

[00111]SIP銅シード層492は、良好な底部及び側壁被覆性と、強化された底部角部被覆性とを有する。銅シード層492が堆積された後、上記ホールは、好ましくは、シード層492を電気メッキ電極のうちの1つとして用いる電気化学メッキにより、図1に示すように、銅層18によって充填される。別法として、SIPシード層492の円滑な構造は、リフローまたは標準的なスパッタリングまたは物理気相堆積(PVD)による銅の高温堆積を促進する。   [00111] The SIP copper seed layer 492 has good bottom and sidewall coverage and enhanced bottom corner coverage. After the copper seed layer 492 is deposited, the holes are filled with the copper layer 18, as shown in FIG. 1, preferably by electrochemical plating using the seed layer 492 as one of the electroplating electrodes. . Alternatively, the smooth structure of the SIP seed layer 492 facilitates high temperature deposition of copper by reflow or standard sputtering or physical vapor deposition (PVD).

[00112]図4及び10のチャンバは、イオン化した及び中性の原子流を共に用いる。その全体を本願明細書に組み入れる米国特許第6,398,929号明細書(代理人登録番号3920)に記載されているように、DCマグネトロンスパッタリングリアクタ内でのイオン化した及び中性の原子流の配分は、絶縁層内のホール内に有利な層を形成するように調整することができる。このような層は、それ自体により、あるいは、化学気相堆積(CVD)により、スパッタした銅核形成層を覆って堆積された銅シード層と共に用いることができる。銅ライナー層は、電気メッキした銅のための薄いシード層として特に有用である。   [00112] The chambers of FIGS. 4 and 10 use both ionized and neutral atomic flows. As described in US Pat. No. 6,398,929 (Attorney Registration No. 3920), which is incorporated herein in its entirety, ionized and neutral atomic flow in a DC magnetron sputtering reactor. The distribution can be adjusted to form an advantageous layer in the holes in the insulating layer. Such a layer can be used with a copper seed layer deposited over the sputtered copper nucleation layer by itself or by chemical vapor deposition (CVD). The copper liner layer is particularly useful as a thin seed layer for electroplated copper.

[00113]従来のDCマグネトロンスパッタリングリアクタは、従来の作用ガススパッタリング、または持続性自己スパッタリングに注力してきた。この2つアプローチは、異なる種類のスパッタリングを強調する。一方、上記銅ライナーのためのリアクタは、イオン化した銅原子と中性粒子の間の配分を制御するために、従来技術の様々な態様を組み合わせることが好ましい。このようなリアクタ550の実施例を、図15の概略断面図に示す。図4、10及び13のリアクタは、カリフォルニア、サンタクララのアプライドマテリアルズ社から入手可能なEndura PVDリアクタの変更に基づいている、図15のリアクタの態様を用いてもよい。リアクタ550は、一般に金属からなり、かつ電気的に接地され、ウェーハ558上にスパッタ堆積される、この場合銅または銅合金である材料物質かなる表面部分を少なくとも有するPVDターゲット556に対して、ターゲットアイソレータ554によって密封された真空チャンバ552を含む。合金元素は、通常、5重量%未満であり、また適切なバリアが別な方法で形成される場合、本質的に純粋な銅を使用してもよい。ウェーハクランプ560は、ウェーハ558をペデスタル電極562上に保持する。ペデスタル562内の図示しない抵抗性ヒータ、冷却流路及び熱伝達ガスキャビティは、該ペデスタルの温度を−40℃未満の温度に制御できるようにし、それにより該ウェーハの温度を同様に制御できるようにする。   [00113] Conventional DC magnetron sputtering reactors have focused on conventional working gas sputtering, or sustained self-sputtering. These two approaches emphasize different types of sputtering. On the other hand, the reactor for the copper liner preferably combines various aspects of the prior art to control the distribution between ionized copper atoms and neutral particles. An example of such a reactor 550 is shown in the schematic cross-sectional view of FIG. The reactor of FIGS. 4, 10 and 13 may use the reactor embodiment of FIG. 15, based on a modification of the Endura PVD reactor available from Applied Materials, Inc., Santa Clara, California. Reactor 550 is a target for PVD target 556, which is typically made of metal and is electrically grounded and sputter-deposited on wafer 558, having at least a surface portion made of a material material, in this case copper or a copper alloy. A vacuum chamber 552 sealed by an isolator 554 is included. The alloying elements are typically less than 5% by weight, and essentially pure copper may be used if a suitable barrier is otherwise formed. Wafer clamp 560 holds wafer 558 on pedestal electrode 562. Resistive heaters, cooling channels and heat transfer gas cavities (not shown) in the pedestal 562 allow the temperature of the pedestal to be controlled to a temperature below -40 ° C., thereby allowing the temperature of the wafer to be controlled as well. To do.

[00114]第2の絶縁シールドアイソレータ568によって絶縁されたフローティングシールド564と接地シールド566は、チャンバ552内に保持されて、チャンバ壁552をスパッタされた材料物質から保護する。接地シールド566は、カソードターゲット556と対向するアノード接地面としても作用し、それによりプラズマを容量的に支持する。いくつかの電子は、フローティングシールド564上に堆積して、そこに負の電荷を蓄積する。該負の電位は、さらなる電子が堆積されるのを防止するだけではなく、該電子を主要なプラズマ領域内に閉じ込めて、電子の損失を低減し、低圧スパッタリングを持続させ、プラズマ密度を増加させる。   [00114] Floating shield 564 and ground shield 566 insulated by second insulation shield isolator 568 are retained in chamber 552 to protect chamber wall 552 from sputtered material material. The ground shield 566 also acts as an anode ground plane facing the cathode target 556, thereby capacitively supporting the plasma. Some electrons accumulate on the floating shield 564 and accumulate a negative charge there. The negative potential not only prevents further electrons from being deposited, but also traps them in the main plasma region, reducing electron loss, sustaining low pressure sputtering, and increasing plasma density. .

[00115]上記ターゲット及びシールドの詳細を、図16の拡大断面図に示す。ターゲット556は、銅ターゲット部572がはんだ接合または拡散接合されるアルミニウムまたはチタン支持プレート570を含む。支持プレート570のフランジ573は、好ましくは、アルミナ等のセラミックで構成されているターゲットアイソレータ554上に載置され、かつ重合ターゲットOリング574を介してターゲットアイソレータ554に対して真空密閉されている。ターゲットアイソレータ554は、実際には、主チャンバ本体に対して密封されたアルミニウムアダプタであってもよいチャンバ552上に載置され、かつアダプタOリング575を介して該チャンバ552に対して真空密封されている。メタルクランプリング576は、その内側径方向側部に、上方に伸びる環状リム577を有する。図示しないボルトは、メタルクランプリング576を、チャンバ552の内側に伸びる棚部578に固定して、接地シールド566のフランジ579を捕捉する。それによって、接地シールド566は、接地されたチャンバ552に、機械的かつ電気的に接続される。   [00115] Details of the target and shield are shown in the enlarged cross-sectional view of FIG. Target 556 includes an aluminum or titanium support plate 570 to which copper target portion 572 is soldered or diffusion bonded. The flange 573 of the support plate 570 is preferably placed on a target isolator 554 made of ceramic such as alumina, and is vacuum-sealed to the target isolator 554 via a polymerization target O-ring 574. The target isolator 554 is actually mounted on a chamber 552 which may be an aluminum adapter sealed to the main chamber body and is vacuum sealed to the chamber 552 via an adapter O-ring 575. ing. The metal clamp ring 576 has an annular rim 577 extending upward on the inner radial side thereof. A bolt (not shown) secures the metal clamp ring 576 to a shelf 578 extending inside the chamber 552 and captures the flange 579 of the ground shield 566. Thereby, the ground shield 566 is mechanically and electrically connected to the grounded chamber 552.

[00116]シールドアイソレータ568は、クランプリング576上に自由に載置し、かつアルミナ等のセラミック材で機械加工できる。該アイソレータは、コンパクトであるが、上記リアクタの温度サイクル中に強度をもたらす小さな幅と比較して、165mm程度の比較的大きな高さを有する。シールドアイソレータ568の低部は、クランプリング576のリム577の外側に嵌合する内側環状凹部を有する。リム577は、クランプリング576に対して、シールドアイソレータ568の内径をセンタリングするように作用するだけではなく、主処理領域に達してから、セラミックシールドアイソレータ568とメタルリングクランプ576との間のスライド面580で生成されたいかなるパーティクルに対してもバリアとして作用する。   [00116] The shield isolator 568 can be freely mounted on the clamp ring 576 and machined with a ceramic material such as alumina. The isolator is compact but has a relatively large height on the order of 165 mm compared to a small width that provides strength during the temperature cycle of the reactor. The lower part of the shield isolator 568 has an inner annular recess that fits outside the rim 577 of the clamp ring 576. The rim 577 not only acts to center the inner diameter of the shield isolator 568 relative to the clamp ring 576, but also reaches the main processing area and then slides between the ceramic shield isolator 568 and the metal ring clamp 576. Acts as a barrier to any particles generated at 580.

[00117]フローティングシールド564のフランジ581は、シールドアイソレータ568上に自由に載置され、かつその外側に、シールドアイソレータ568の上方外側角部に形成された環状凹部内まで下方に伸びるタブまたはリム582を有する。それによって、タブ582は、シールドアイソレータ568の外径において、ターゲット556に対してフローティングシールド564をセンタリングする。シールドタブ582は、プラズマ暗黒部を位置合わせするには充分小さいが、シールドアイソレータ568のジャミングを防ぐには充分大きい狭い間隙によって、シールドアイソレータ568から分離されており、フローティングシールド581は、タブ582の内側上部のスライド接触領域583において、シールドアイソレータ568上に載置されている。   [00117] The flange 581 of the floating shield 564 is free to rest on the shield isolator 568 and extends outwardly to a tab or rim 582 extending outwardly into an annular recess formed in the upper outer corner of the shield isolator 568. Have Thereby, tab 582 centers floating shield 564 relative to target 556 at the outer diameter of shield isolator 568. The shield tab 582 is small enough to align the plasma dark, but is separated from the shield isolator 568 by a narrow gap large enough to prevent jamming of the shield isolator 568. The floating shield 581 is separated from the tab 582. It is placed on the shield isolator 568 in the slide contact region 583 at the upper inner side.

[00118]フローティングシールド564のヘッド585とターゲット556との間には、狭いチャネル584が形成されている。該チャネルは、プラズマ暗黒部として作用する約2mmの幅を有する。狭いチャネル584は、図示よりもさらに径方向内側に伸びる経路内で、支持プレートフランジ574の下方に突出する隆起部586を通って、シールドヘッド585とターゲットアイソレータ554との間の上方裏間隙584aへ続いている。これらの構成要素の構造及びそれらの特性は、Tang等により、1998年10月30日に出願された米国特許出願09/191,253号明細書に開示されているものと同様である。上方裏間隙584aは、室温で約1.5mmの幅を有する。上記シールド要素が温度サイクルにさらされると、該要素は、変形する傾向がある。ターゲット556に隣接する狭いチャネル584よりも小さな幅を有する上方裏間隙584aは、狭いチャネル584内にプラズマ暗黒部を維持するには充分である。該裏間隙584aは、下方に向かって、内側のシールドアイソレータ568及びリングクランプ576と、外側のチャンバ本体552との間の低部裏間隙584b内に続いている。低部裏間隙584bは、セラミックシールドアイソレータ568、クランプリング576、フローティングシールド564間のスライド面580、583で発生したセラミック粒子を集めるキャビティとして機能する。また、シールドアイソレータ568は、その上方内側角部に、その径方向内側のスライド面583からセラミック粒子を集める中空凹部583aを含む。   [00118] A narrow channel 584 is formed between the head 585 of the floating shield 564 and the target 556. The channel has a width of about 2 mm which acts as a plasma dark. The narrow channel 584 passes through a ridge 586 projecting below the support plate flange 574 and into an upper back gap 584a between the shield head 585 and the target isolator 554 in a path extending radially inward from the illustration. in the process of. The structure of these components and their properties are similar to those disclosed by Tang et al. In US patent application Ser. No. 09 / 191,253 filed Oct. 30, 1998. The upper back gap 584a has a width of about 1.5 mm at room temperature. As the shield element is subjected to temperature cycling, it tends to deform. An upper back gap 584 a having a smaller width than the narrow channel 584 adjacent to the target 556 is sufficient to maintain a plasma darkness within the narrow channel 584. The back gap 584a continues downward into the lower back gap 584b between the inner shield isolator 568 and ring clamp 576 and the outer chamber body 552. The lower back gap 584b functions as a cavity for collecting ceramic particles generated on the sliding surfaces 580 and 583 between the ceramic shield isolator 568, the clamp ring 576, and the floating shield 564. The shield isolator 568 includes a hollow recess 583a that collects ceramic particles from the radially inner slide surface 583 at the upper inner corner.

[00119]フローティングシールド564は、フランジ581から下方に伸び、かつその低い方の端部が、接続部592を介して狭い低部円筒形部分590に接続された、下方に伸びる幅広の上部円筒形部分588を含む。同様に、接地シールド566は、フローティングシールド564の上方円筒形部分588の外側、すなわち該上方円筒形部分よりも幅広の上方円筒形部分594を有する。接地された上方円筒形部分594は、その上端部が接地シールドフランジ580に、またその低端部が、上記チャンバの径方向に伸びる接続部598を介して狭い低部円筒形部分596に接続されている。接地された低部円筒形部分596は、フローティング低部円筒形部分590の外側に嵌合し、それに伴って該フローティング低部円筒形部分よりも幅広になっているが、フローティング上方円筒形部分564よりは、約3mmの径方向間隔だけ小さい。2つの接続部分592、598は、垂直方向及び水平方向にずれている。それにより、フローティングシールド564と接地シールド566との間には、2つの垂直チャネル部の間に直進する視線を保障しない、接地低部円筒形部分596とフローティング上方円筒形部分564との間のずれを有する迷路状の狭いチャネル600が形成される。チャネル600の目的は、2つのシールド564、566を電気的に絶縁すると共に、クランプリング576及びシールドアイソレータ568を銅の堆積から保護することである。   [00119] The floating shield 564 extends downward from the flange 581 and has a lower end that is connected to a narrow lower cylindrical portion 590 via a connection 592 and has a wide upper cylindrical shape extending downward. Part 588 is included. Similarly, the ground shield 566 has an upper cylindrical portion 594 that is outside the upper cylindrical portion 588 of the floating shield 564, ie, wider than the upper cylindrical portion. The grounded upper cylindrical portion 594 is connected at its upper end to a ground shield flange 580 and at its lower end to a narrow lower cylindrical portion 596 via a connection 598 extending radially in the chamber. ing. A grounded lower cylindrical portion 596 fits outside the floating lower cylindrical portion 590 and is accordingly wider than the floating lower cylindrical portion 590, but the floating upper cylindrical portion 564. Less by a radial spacing of about 3 mm. The two connecting portions 592 and 598 are offset in the vertical direction and the horizontal direction. Thereby, the gap between the floating lower cylindrical part 596 and the floating upper cylindrical part 564 does not guarantee a line of sight between the two vertical channel parts between the floating shield 564 and the ground shield 566. A maze-like narrow channel 600 is formed. The purpose of the channel 600 is to electrically isolate the two shields 564, 566 and to protect the clamp ring 576 and shield isolator 568 from copper deposition.

[00120]シールド564、566の低部円筒形部分590、596間のチャネル600の低部は、4:1以上、好ましくは、8:1以上のアスペクト比を有する。チャネル600の低部は、具体例としての0.25cmの幅及び2.5cmの長さを有し、好ましい範囲は、0.25〜0.3cm及び2〜3cmである。それによって、チャネル600を通るどのような銅イオン及び散乱した銅原子も、更にクランプリング576及びシールドアイソレータ568の方への経路が見つかる前に、該シールドから数回跳返り、上方の接地円筒形部分594によって少なくとも停止することになる。どの1度の跳返りも、上記シールドにより吸収されるイオンを生じやすい。2つの接続部592、598間のチャネル600における2つの隣接する90度の曲がりまたは湾曲は、更にシールドアイソレータ568を銅プラズマから絶縁する。同様であるが限定された効果は、60度の湾曲や45度の湾曲でも実現することができるが、より効果的な90度の湾曲は、上記シールド材に形成するのに容易である。該90度の曲がりは、あらゆる方向から来る銅粒子が、少なくとも1度の高角度の衝突を有し、それにより、上方接地円筒形部分594により停止されるほとんどのエネルギを失うことになる可能性を増すため、かなり有効である。また該90度の曲がりは、クランプリング576及びシールドアイソレータ568を、銅粒子に直接更されることから保護する。銅は、フローティング接続部592の底部における水平面上、および垂直上方接地円筒形部分594上の、共に上記90度の曲がりのうちの1つの端部に優先的に堆積することが分かってきている。また、入り組んだチャネル600は、接地シールド566の水平接続部598上での処理中に、シールドアイソレータ568から生成されたセラミック粒子を集める。このように集められた粒子は、そこで集められた銅にくっつきやすい。   [00120] The lower portion of the channel 600 between the lower cylindrical portions 590, 596 of the shields 564, 566 has an aspect ratio of 4: 1 or higher, preferably 8: 1 or higher. The lower portion of channel 600 has an exemplary width of 0.25 cm and a length of 2.5 cm, with preferred ranges being 0.25-0.3 cm and 2-3 cm. Thereby, any copper ions and scattered copper atoms that pass through the channel 600 will bounce off the shield several times before further paths to the clamp ring 576 and shield isolator 568 are found, and the upper ground cylindrical shape The portion 594 will stop at least. Any single rebound tends to produce ions that are absorbed by the shield. Two adjacent 90 degree bends or curves in the channel 600 between the two connections 592, 598 further insulate the shield isolator 568 from the copper plasma. Similar but limited effects can be achieved with a 60 degree bend or 45 degree bend, but a more effective 90 degree bend is easy to form in the shield material. The 90 degree bend can cause copper particles coming from all directions to have at least one degree of high angle impact, thereby losing most of the energy stopped by the upper grounded cylindrical portion 594. Is quite effective. The 90 degree bend also protects the clamp ring 576 and shield isolator 568 from being directly applied to the copper particles. It has been found that copper preferentially deposits at one end of the 90 degree bend, both on the horizontal plane at the bottom of the floating connection 592 and on the vertical upper ground cylindrical portion 594. Intricate channel 600 also collects ceramic particles generated from shield isolator 568 during processing on horizontal connection 598 of ground shield 566. The particles collected in this way tend to stick to the copper collected there.

[00121]図15に戻って、接地シールド566の低部円筒形部分596は、ウェーハ558を支持するペデスタル562の上部の裏のウェルに向かって下方に続いている。そして、接地シールド566は、カップ状部分602内で径方向内側に続いた後、ウェーハ558のペデスタル562の径方向外側に離間した略持ち上げのために最も内側の円筒形部分604において垂直上方に続いている。   [00121] Returning to FIG. 15, the lower cylindrical portion 596 of the ground shield 566 continues down toward the wells behind the top of the pedestal 562 that supports the wafer 558. The ground shield 566 then continues radially inward within the cup-shaped portion 602 and then continues vertically upward in the innermost cylindrical portion 604 for generally lifting spaced radially outward of the pedestal 562 of the wafer 558. ing.

[00122]シールド564、566は、一般に、ステンレス鋼で構成されており、それらの内側面は、該面上に堆積した銅スパッタの付着を促進するために、ビードブラストまたは他の方法で粗面化してもよい。しかし、長いスパッタリングの間のある時点において、銅は、剥がれやすい厚さに堆積して、有害な粒子を生成する。この時点に達する前に、上記シールドは、クリーニングするか、あるいは、新しいシールドと交換すべきである。しかし、より高価なアイソレータ554、568は、たいていのメンテナンス期間において交換する必要はない。更に、該メンテナンス期間は、該アイソレータの電気的短絡によってではなく、該シールドの剥がれによって判断される。   [00122] The shields 564, 566 are generally constructed of stainless steel and their inner surfaces are roughened by bead blasting or other methods to promote adhesion of copper sputter deposited on the surfaces. May be used. However, at some point during long sputtering, copper deposits to a thickness that is easy to peel off, creating harmful particles. Before reaching this point, the shield should be cleaned or replaced with a new shield. However, the more expensive isolators 554, 568 need not be replaced during most maintenance periods. Furthermore, the maintenance period is determined not by an electrical short circuit of the isolator but by the peeling of the shield.

[00123]上述したように、フローティングシールド564は、電子電荷を蓄積し、負の電位を蓄積する。それにより、フローティングシールド564に対する更なる電子の損失が防止され、ターゲット556のより近くにプラズマが閉じ込められる。Ding等は、多少似ている構造を用いた同様の効果を、米国特許第5,736,021号明細書に開示している。しかし、図16のフローティングシールド564は、Ding等の対応する部分よりも、ターゲット556からかなり離れて伸びている、その低部円筒形部分590を有しており、それによって、プラズマをより大きな空間にわたって閉じ込める。しかし、フローティングシールド564は、ターゲット556から離れすぎて伸びないように、接地シールド566をターゲット556から電気的にシールドする。長すぎた場合には、プラズマにぶつかるのが困難になるが、短すぎた場合には、電子の損失が増加して、プラズマを低圧で持続させることができず、プラズマ密度が低下する。最適な長さは、フローティングシールド566の総軸長が7.6cmである場合、フローティングシールド566の底部の先端部606が、図16に示すように、ターゲット556の表面から6cm離れている長さであることが分かってきている。銅スパッタリングが持続される最少圧力に対して、3つの異なるフローティングシールドをテストした。1kW及び18kWのターゲット電力に対するその結果を図17に示す。横座標は、総シールド長を示し、シールド先端部606とターゲット556との間の間隔は、1.6cm未満である。該間隔の好ましい範囲は、5〜7cmであり、該長さの好ましい範囲は、6.6〜8.6cmである。該シールド長を10cmまで伸ばすと、上記最少圧力がかなり低減されるが、プラズマにぶつかることの困難さは増す。   [00123] As described above, the floating shield 564 accumulates electronic charge and accumulates a negative potential. Thereby, further electron loss to the floating shield 564 is prevented and the plasma is confined closer to the target 556. Ding et al. Discloses a similar effect using a somewhat similar structure in US Pat. No. 5,736,021. However, the floating shield 564 of FIG. 16 has its lower cylindrical portion 590 extending farther away from the target 556 than the corresponding portion such as Ding, thereby allowing the plasma to pass through more space. Confine over. However, the floating shield 564 electrically shields the ground shield 566 from the target 556 so that it does not extend too far from the target 556. If it is too long, it will be difficult to hit the plasma, but if it is too short, the loss of electrons will increase, making it impossible to sustain the plasma at a low pressure and lowering the plasma density. The optimum length is such that when the total axial length of the floating shield 566 is 7.6 cm, the tip 606 at the bottom of the floating shield 566 is 6 cm away from the surface of the target 556 as shown in FIG. It has been found that Three different floating shields were tested against the minimum pressure at which copper sputtering was sustained. The results for 1 kW and 18 kW target power are shown in FIG. The abscissa indicates the total shield length, and the distance between the shield tip 606 and the target 556 is less than 1.6 cm. A preferable range of the interval is 5 to 7 cm, and a preferable range of the length is 6.6 to 8.6 cm. Increasing the shield length to 10 cm significantly reduces the minimum pressure, but increases the difficulty of hitting the plasma.

[00124]再び図15に戻って、選択可能なDC電源610は、プラズマを点火して維持するために、ターゲット556に、接地シールド566に対して約−400〜−600VDCの負のバイアスをかける。1〜5kWのターゲット電力は、通常、プラズマを点火するのに用いられ、10kW以上の電力は、ここに記載したSIPスパッタリングに好ましい。従来、ペデスタル562及びウェーハ558は、電気的に浮遊されているが、該ペデスタルには、負のDC自己バイアスがかかっている。一方、あるデザインにおいては、ペデスタルに生じる負のDCバイアスを更に制御するために、DCまたはRFバイアスをペデスタル562に印加する制御可能な電源612を使用する。テストした構成においては、バイアス電源612は、13.56MHzで作動するRF電源である。600WまでのRF電力を供給してもよく、200mmウェーハの場合の好ましい範囲は、350〜550Wである。   [00124] Returning again to FIG. 15, the selectable DC power source 610 biases the target 556 negatively about -400 to -600 VDC relative to the ground shield 566 to ignite and maintain the plasma. . A target power of 1-5 kW is typically used to ignite the plasma, and a power of 10 kW or higher is preferred for the SIP sputtering described herein. Conventionally, the pedestal 562 and the wafer 558 are electrically floating, but the pedestal is negatively DC self-biased. On the other hand, in some designs, a controllable power supply 612 that applies a DC or RF bias to the pedestal 562 is used to further control the negative DC bias that occurs in the pedestal. In the tested configuration, the bias power source 612 is an RF power source operating at 13.56 MHz. RF power up to 600 W may be supplied, and a preferred range for a 200 mm wafer is 350-550 W.

[00125]ガスソース614は、一般に、化学的に不活性なアルゴンガスである、スパッタリング作用ガスを、マスフローコントローラ616を介してチャンバ552に供給する。該作用ガスは、シールド接地シールド566の底部を通って、あるいは、接地シールド566、ウェーハクランプ560及びペデスタル562間の間隙618を通って、開口を貫通する1つまたはそれ以上のインレットパイプによって、該チャンバの上部に進入することが可能であり、あるいは、図に示すように、その底部に進入することが可能である。幅広のポンピングポート622を介してチャンバ552に接続された真空ポンプ装置620は、該チャンバを低圧に維持する。ベース圧力は、約10-7トルまたはそれ未満に保持することができるが、上記作用ガスの圧力は、従来のスパッタリングにおいては、通常、約1〜1000ミリトルに、SIPスパッタリングにおいては、約5ミリトル以下に維持される。コンピュータをベースとしたコントローラ624は、DCターゲット電源610、バイアス電源612及びマスフローコントローラ616を含むリアクタを制御する。 [00125] The gas source 614 supplies a sputtering working gas, typically a chemically inert argon gas, to the chamber 552 via the mass flow controller 616. The working gas passes through the bottom of the shield ground shield 566 or through the gap 618 between the ground shield 566, the wafer clamp 560 and the pedestal 562, by one or more inlet pipes through the opening. It can enter the top of the chamber, or it can enter the bottom as shown. A vacuum pump device 620 connected to the chamber 552 via a wide pumping port 622 maintains the chamber at a low pressure. The base pressure can be maintained at or below about 10-7 Torr, but the working gas pressure is typically about 1-1000 millitorr for conventional sputtering and about 5 millitorr for SIP sputtering. Maintained below. A computer-based controller 624 controls a reactor including a DC target power source 610, a bias power source 612, and a mass flow controller 616.

[00126]効果的なスパッタリングを可能にするために、ターゲット556の裏にマグネトロン630が配設されている。該マグネトロンは、磁気ヨーク636に接続され該ヨークによって支持された、対向する磁石632、634を有する。該磁石は、チャンバ552内のマグネトロン630の近傍に磁界を形成する。この磁界は、電子を捕え、電荷が中性の場合、イオン密度も増加して、高密度プラズマ領域638を形成する。マグネトロン630は、通常、モータ駆動軸642によってターゲット556の中心640周りに回転して、ターゲット556のスパッタリングにおける完全なカバレージを実現する。銅の持続性自己スパッタリングを可能にするための充分なイオン化密度の高密度プラズマ638を実現するには、マグネトロン630に隣接する上記領域に供給される電力密度は、高くしなければならない。このことは、Fuが上述の引用特許に記載しているように、DC電源610から供給される電力レベルを増加させることにより、およびマグネトロン630の領域を、例えば、三角形または競馬場の形状に低減することにより実現することができる。その先端部を、ターゲット中心640と略一致させて回転される601三角形マグネトロンは、いつでも上記ターゲットの1/6程度のみをカバーする。SIPスパッタリングが可能な工業用リアクタにおいては、1/4のカバレージが好ましい最大値である。   [00126] A magnetron 630 is disposed behind the target 556 to allow effective sputtering. The magnetron has opposing magnets 632, 634 connected to and supported by a magnetic yoke 636. The magnet creates a magnetic field near the magnetron 630 in the chamber 552. This magnetic field captures electrons, and when the charge is neutral, the ion density also increases to form a high density plasma region 638. The magnetron 630 is typically rotated around the center 640 of the target 556 by the motor drive shaft 642 to achieve complete coverage in sputtering of the target 556. To achieve a high density plasma 638 with sufficient ionization density to enable sustained self-sputtering of copper, the power density supplied to the region adjacent to the magnetron 630 must be high. This reduces the area of magnetron 630 to, for example, the shape of a triangle or a racetrack, by increasing the power level supplied from DC power supply 610, as Fu describes in the above cited patent. This can be realized. The 601 triangular magnetron whose tip is rotated substantially coincident with the target center 640 always covers only about 1/6 of the target. For industrial reactors capable of SIP sputtering, 1/4 coverage is the preferred maximum.

[00127]電子の損失を減らすために、内側の磁石632及び図示しない磁極面によって表わされる内側磁極は、相当数の開口を有するべきではなく、また外側磁石634及び図示しない磁極面によって表わされる連続した外側磁極によって囲むべきである。更に、イオン化したスパッタ粒子をウェーハ558へ案内するために、外側磁極は、内側磁極よりもかなり高い磁束を生成すべきである。伸びている磁界線は、電子を捕え、それによって、プラズマをウェーハ558の近くまで拡げる。磁束の比は少なくとも150%、好ましくは200%を超えるべきである。Fuの三角形状マグネトロンの2つの実施形態は、同じ強度であるが反対極性の、25個の外側磁石と、6個または10個の内側磁石を有する。   [00127] In order to reduce the loss of electrons, the inner magnet 632 and the inner pole represented by the pole face (not shown) should not have a substantial number of apertures, and the continuity represented by the outer magnet 634 and the pole face (not shown). Should be surrounded by an outer magnetic pole. Further, in order to guide the ionized sputtered particles to the wafer 558, the outer pole should generate a much higher magnetic flux than the inner pole. The elongating magnetic field lines capture the electrons, thereby spreading the plasma close to the wafer 558. The ratio of magnetic flux should be at least 150%, preferably above 200%. The two embodiments of the Fu triangular magnetron have 25 outer magnets and 6 or 10 inner magnets of the same strength but opposite polarity.

[00128]アルゴンが、上記チャンバ内に入ると、ターゲット556と接地シールド566とのDC電圧差が、該アルゴンを点火してプラズマにし、正に荷電したアルゴンイオンが、負に荷電したターゲット556に引き付けられる。該イオンは、かなりのエネルギでターゲット556にぶつかって、ターゲット原子または原子群をターゲット556からスパッタさせる。上記ターゲット粒子のうちのいくつかは、ウェーハ558にぶつかり、それによって該ウェーハ上に堆積されて、該ターゲット材料からなる膜を形成する。金属窒化物の反応性スパッタリングにおいては、上記チャンバ内に窒素が追加的に入れられて、スパッタされた金属原子と反応し、金属窒化物をウェーハ558上に形成する。   [00128] When argon enters the chamber, a DC voltage difference between the target 556 and the ground shield 566 ignites the argon into a plasma and positively charged argon ions are applied to the negatively charged target 556. Be attracted. The ions strike the target 556 with significant energy and cause target atoms or groups of atoms to sputter from the target 556. Some of the target particles strike the wafer 558 and are thereby deposited on the wafer to form a film of the target material. In reactive sputtering of metal nitride, nitrogen is additionally placed in the chamber and reacts with the sputtered metal atoms to form metal nitride on the wafer 558.

[00129]図示のチャンバは、持続性自己スパッタリングを含む銅の自己イオン化スパッタリングが可能である。この場合、プラズマが点火された後、アルゴンの供給は、SSSの場合には遮断してもよく、銅イオンは、2以上のイールドで銅ターゲットを再スパッタするのに充分高い密度を有する。別法として、低減された流量及びチャンバ圧力で、あるいは純粋な持続性自己スパッタリングを維持するのに不十分なターゲット電力密度と共に、かなりの量であるが低減された量の自己スパッタリングに対して、ある程度のアルゴンを供給し続けてもよい。アルゴン圧力が5ミリトルを超えていちじるしく増加すると、アルゴンは、銅イオンからエネルギを取り除き、それに伴って自己スパッタリングを減じる。ウェハーバイアスはイオン化された銅粒子をホールの深くまでひきつける。   [00129] The illustrated chamber is capable of self-ionized sputtering of copper, including sustained self-sputtering. In this case, after the plasma is ignited, the supply of argon may be interrupted in the case of SSS, and the copper ions have a high enough density to resputter the copper target with two or more yields. Alternatively, for a significant but reduced amount of self-sputtering at a reduced flow rate and chamber pressure or with insufficient target power density to maintain pure sustained self-sputtering. You may continue supplying a certain amount of argon. As the argon pressure increases significantly above 5 millitorr, the argon removes energy from the copper ions and concomitantly reduces self-sputtering. Wafer bias attracts ionized copper particles deep into the hole.

[00130]しかし、部分てきな中性流でより深いホール被覆を実現するには、ターゲット556とウェーハ558との間の距離を増加させること、すなわち、ロングスローモードで作動させることが好ましい。ロングスローにおいては、ターゲット・基板間の間隔は、通常、基板の口径の半分よりも大きい。使用時には、ウェーハ口径の90%を超えるが好ましいが、基板口径の100%及び140%を含む80%を超えるの間隔が適切であると考えられる。本実施形態の実施例において述べたスローは、200mmウェーハについて説明している。従来のスパッタリングにおけるロングスローは、スパッタリング堆積速度を低減するが、イオン化したスパッタ粒子には、そのような大きな減少という欠点はない。   [00130] However, in order to achieve deeper hole coverage with partial neutral flow, it is preferable to increase the distance between target 556 and wafer 558, ie, operate in long throw mode. In long throw, the distance between the target and the substrate is usually larger than half the diameter of the substrate. In use, it is preferable to exceed 90% of the wafer diameter, but an interval of more than 80% including 100% and 140% of the substrate diameter is considered appropriate. The throw described in the example of this embodiment is for a 200 mm wafer. Long throws in conventional sputtering reduce the sputtering deposition rate, but ionized sputtered particles do not suffer from such a large reduction.

[00131]従来の(アルゴンをベースとした)スパッタリングと持続自己スパッタリング(SSS)との間の制御された分配は、中性のスパッタ粒子とイオン化したスパッタ粒子との間の配分の制御を可能にする。このような制御は、特に、高アスペクト比のビアホールへの銅シード層のスパッタ堆積に有利である。スパッタ原子のイオン化の制御は、自己イオン化プラズマ(SIP)スパッタリングと呼ばれている。   [00131] Controlled distribution between conventional (argon-based) sputtering and sustained self-sputtering (SSS) allows for control of the distribution between neutral and ionized sputtered particles To do. Such control is particularly advantageous for sputter deposition of copper seed layers into high aspect ratio via holes. Control of the ionization of sputtered atoms is called self-ionized plasma (SIP) sputtering.

[00132]本発明によって形成される構造の一実施形態は、図18の断面図に示すビアである。シード銅層650は、例えば、図15のロングスロースパッタリアクタを使用して、SIPを促進する条件下で、バリア層24を覆ってビアホール22内に堆積される。SIP銅層650は、例えば、50〜300nm、より好ましくは、80〜200nmの被覆厚に堆積される。SID銅シード層650は、好ましくは、上記ビアの側壁上に、2〜20nm、より好ましくは、7〜15nmの範囲の厚さを有する。狭いホールのため、上記側壁の厚さは、50nmを越えるべきではない。該膜の品質は、迅速なSIP堆積により与えられる冷たさが重要になるので、上記ペデスタルの温度を、0℃未満、好ましくは−40℃未満に下げることにより改善される。   [00132] One embodiment of the structure formed by the present invention is the via shown in the cross-sectional view of FIG. The seed copper layer 650 is deposited in the via hole 22 over the barrier layer 24 under conditions that promote SIP, for example using the long throw sputter reactor of FIG. The SIP copper layer 650 is deposited to a coating thickness of, for example, 50 to 300 nm, more preferably 80 to 200 nm. The SID copper seed layer 650 preferably has a thickness in the range of 2-20 nm, more preferably 7-15 nm, on the via sidewalls. Due to the narrow holes, the thickness of the side wall should not exceed 50 nm. The quality of the film is improved by lowering the temperature of the pedestal below 0 ° C., preferably below −40 ° C., because the coolness provided by rapid SIP deposition becomes important.

[00133]SIP銅シード層650は、良好な底部被覆性及び強められた側壁被覆性を有する。バリア層24を直接覆って堆積されたIMPまたはCVD銅よりもはるかに滑らかであることが実験的に分かっている。銅シード層650が堆積された後、上記ホールが、好ましくは、シード層650を電気メッキ電極のうちの1つとして用いた電気化学メッキにより、図1に示すように銅層118で充填される。しかし、SIP銅シード層650の滑らかな構造は、一般的なスパッタリングまたは物理気相堆積(PVD)によるリフローまたは銅の高温堆積を促進する。   [00133] The SIP copper seed layer 650 has good bottom coverage and enhanced sidewall coverage. It has been experimentally found to be much smoother than IMP or CVD copper deposited directly over the barrier layer 24. After the copper seed layer 650 is deposited, the holes are filled with a copper layer 118, as shown in FIG. 1, preferably by electrochemical plating using the seed layer 650 as one of the electroplating electrodes. . However, the smooth structure of the SIP copper seed layer 650 facilitates reflow or high temperature copper deposition by conventional sputtering or physical vapor deposition (PVD).

[00134]このようなシード層を、0.20μm幅のビアホール内に1.2μm厚の酸化膜で堆積するいくつかの実験をSIPで行った。ターゲット・基板間の間隔が290mm、チャンバ圧力が0.1ミリトル以下(SSSモードを表わす)、および601三角形状マグネトロンによって上記ターゲットに印加されるDC電力が14kWの場合、上記酸化膜の上面に0.2μmの被覆厚の銅を形成する堆積は、上記ビア底部に18nm、上記ビア側壁に約12nm形成する。30秒以下の堆積時間が一般的である。上記ターゲット電力を18kWに増加させると、上記底部被覆性は、側壁の厚さの顕著な変化を伴うことなく、37nmに増加する。高電力での高い底部被覆性は、高いイオン化量を示す。どちらの場合も、堆積した銅膜は、IMPまたはCVD銅よりもかなり滑らかであることが観察される。   [00134] Several experiments were conducted in SIP to deposit such a seed layer with a 1.2 μm thick oxide film in a 0.20 μm wide via hole. When the distance between the target and the substrate is 290 mm, the chamber pressure is 0.1 millitorr or less (representing the SSS mode), and the DC power applied to the target by the 601 triangular magnetron is 14 kW, 0 is applied to the upper surface of the oxide film. The deposition to form a .2 μm coating thickness of copper is 18 nm on the bottom of the via and about 12 nm on the via sidewall. Deposition times of 30 seconds or less are common. As the target power is increased to 18 kW, the bottom coverage increases to 37 nm without significant changes in sidewall thickness. High bottom coverage at high power indicates high ionization. In both cases, the deposited copper film is observed to be much smoother than IMP or CVD copper.

[00135]0.2μm/分のIMP堆積速度と比較して、SIP堆積は、比較的速く、0.5〜1.0μm/分である。速い堆積速度は、短い堆積期間をもたらし、またアルゴンイオンの加熱がない場合には、熱量をかなり低減する。低温SIP堆積は、非常に滑らかな銅シード層をもたらすと考えられる。   [00135] Compared to the IMP deposition rate of 0.2 μm / min, SIP deposition is relatively fast, 0.5-1.0 μm / min. The fast deposition rate results in a short deposition period and significantly reduces the amount of heat in the absence of argon ion heating. Low temperature SIP deposition is believed to result in a very smooth copper seed layer.

[00136]290mmのスローは、10個の内側磁石及び25個の外側磁石を用いるFuの標準的な三角形状マグネトロンと共に用いられた。イオン電流は、様々な条件下での、上記ターゲットの中心からの半径の関数として測定された。その結果を、図19のグラフにプロットする。曲線660は、16kWのターゲット電力及び0ミリトルのチャンバ圧力の場合に測定された。曲線662、664、664は、18kWのターゲット電力で、それぞれ、0、0.2及び1ミリトルのチャンバ圧力の場合に測定された。これらの電流は、従来のマグネトロン及びスパッタリアクタでの10-9cm-3と比べて、10-11〜10-12cm-3のイオン密度に相当する。ゼロ圧力条件も、銅イオン化量を測定するのに用いられた。空間的な依存性は、DCターゲット電力に対する直接的な依存性によって約10〜20%変化するイオン化量とほぼ同等である。比較的低いイオン化量は、ロングスローを用いないSIPが、従来のPVDの好ましくない深い充填特性を有する可能性がある大量の中性銅流を有する可能性があることを示す。結果は、高電力での動作が、増加したイオン化による良好なステップカバレージに対して好ましいことを示している。 [00136] A 290 mm throw was used with Fu's standard triangular magnetron using 10 inner magnets and 25 outer magnets. The ionic current was measured as a function of the radius from the center of the target under various conditions. The results are plotted on the graph of FIG. Curve 660 was measured for a target power of 16 kW and a chamber pressure of 0 millitorr. Curves 662, 664, and 664 were measured with a target power of 18 kW and chamber pressures of 0, 0.2, and 1 millitorr, respectively. These currents correspond to an ion density of 10 −11 to 10 −12 cm −3 compared to 10 −9 cm −3 in conventional magnetrons and sputter reactors. Zero pressure conditions were also used to measure the amount of copper ionization. Spatial dependence is approximately equivalent to the amount of ionization that varies by about 10-20% due to direct dependence on DC target power. The relatively low ionization amount indicates that a SIP without long throw may have a large amount of neutral copper flow that may have the unfavorable deep filling properties of conventional PVD. The results show that high power operation is favorable for good step coverage due to increased ionization.

[00137]Fuのマグネトロンにおける内側磁石の数を6個に減らして上記テストを繰り返した。すなわち、第2のマグネトロンは、磁束の均一性が改善されており、それにより、均一なスパッタイオン流を上記ウェーハの方へ促進する。その結果を図20にプロットする。曲線668は、12kWのターゲット電力及び0ミリトルの圧力の場合のイオン電流を、曲線670は、18kWの場合のイオン電流を示す。14kW及び16kWの場合の曲線は、中間である。すなわち、変更したマグネトロンは、上記ウェーハの全域でより均一なイオン電流を生成し、これも、好ましい高電力を有するターゲット電力に依存する。   [00137] The above test was repeated with the number of inner magnets in the Fu magnetron reduced to six. That is, the second magnetron has improved magnetic flux uniformity, thereby promoting a uniform sputter ion flow toward the wafer. The results are plotted in FIG. Curve 668 shows the ionic current for a target power of 12 kW and a pressure of 0 millitorr, and curve 670 shows the ionic current for 18 kW. The curves for 14 kW and 16 kW are intermediate. That is, the modified magnetron generates a more uniform ion current across the wafer, which also depends on the target power having a preferred high power.

[00138]10%〜20%の比較的低いイオン化量は、90%〜100%のIMPと比較すると、中性の銅のかなりの流れを示す。ウェーハバイアスは、銅イオンを上記ホール内の深部に案内することができるが、ロングスローは、中性の銅に対して同様のことを行う。   [00138] The relatively low ionization of 10% to 20% indicates a significant flow of neutral copper when compared to 90% to 100% IMP. Wafer bias can guide copper ions deep into the hole, while long throw does the same for neutral copper.

[00139]一連のテストは、スパッタ粒子の配分時に、スローとチャンバ圧力を組み合わせた効果を判断するのに用いられた。ゼロチャンバ圧力では、140mmのスローは、約45度の配分を形成し、190mmのスローは、約35度の配分を形成し、290mmのスローは、約25度の配分を形成する。上記圧力は、190mmのスローの場合変化した。中央の配分は、0、0.5及び1ミリトルの場合、ほぼ同じままである。しかし、低レベルの末端は、最も高い圧力の場合、いくつかの粒子の散乱を示す、ほとんど101にふくらむ。これらの結果は、許容できる結果は、5ミリトル未満で得られるが、好ましい範囲は、2ミリトル未満であり、より好ましい範囲は、1ミリトル未満であり、最も好ましい範囲は、0.2ミリトル以下であることを示す。また、予想されるように、上記配分は、ロングスローの場合に最良となる。   [00139] A series of tests were used to determine the combined effect of throw and chamber pressure during sputter particle distribution. At zero chamber pressure, a 140 mm throw forms a distribution of about 45 degrees, a 190 mm throw forms a distribution of about 35 degrees, and a 290 mm throw forms a distribution of about 25 degrees. The pressure changed with a 190 mm throw. The central distribution remains approximately the same for 0, 0.5 and 1 millitorr. However, the low level end bulges to almost 101, showing some particle scattering at the highest pressure. These results are obtained with acceptable results less than 5 millitorr, but the preferred range is less than 2 millitorr, the more preferred range is less than 1 millitorr, and the most preferred range is 0.2 millitorr or less. It shows that there is. Also, as expected, the distribution is best for long throws.

[00140]高アスペクト比のホール内に堆積されたSIP膜は、好ましい上方側壁被覆性を有し、オーバハングを生じにくい。一方、そのようなホール内に堆積されたIMP膜は、良好な底部被覆性及び底部角部被覆性を有するが、側壁膜は、不十分な被覆性を有しやすく、また粗くなりやすい傾向がある。両タイプのスパッタリングの利点は、2工程銅シードスパッタ堆積を用いることによって組み合わせることができる。第1の工程においては、銅が、高密度プラズマを生成するIMPリアクタ内で、例えば、RF誘導電源を使用することにより堆積される。例示的な堆積条件は、20〜60ミリトルの圧力、1〜3kWのRFコイル電力、1〜2kWのDCターゲット電力、および150Wのバイアス電力である。該第1の工程は、良好であるが粗い底部被覆性及び底部側壁被覆性をもたらす。第2の及び好ましくは次の工程において、より少ない銅イオン化を生じる上述したタイプのSIPリアクタ内で、銅が堆積される。例示的な堆積条件は、1トル圧力、18〜24kWのDCターゲット電力及び500Wのバイアス電力である。該第2の工程は、良好で滑らかな上方側壁被覆性をもたらし、そのうえ、すでに堆積されたIMP層を平滑にする。該2つの工程の場合の被覆性膜厚さは、IMP堆積に対して50〜100nm、SIP層に対して100〜200nmの範囲であることが好ましい。被覆厚さは、30:70〜70:30の比率であってもよい。別法として、上記SIP層は、上記IMP層の前に堆積することができる。上記銅シード層が上記2工程プロセスによってスパッタ堆積された後、上記ホールの残りの部分が、例えば、電気メッキによって充填される。   [00140] SIP films deposited in high aspect ratio holes have favorable upper sidewall coverage and are less likely to overhang. On the other hand, IMP films deposited in such holes have good bottom coverage and bottom corner coverage, but sidewall films tend to have insufficient coverage and tend to be rough. is there. The advantages of both types of sputtering can be combined by using two-step copper seed sputter deposition. In the first step, copper is deposited in an IMP reactor that produces a high density plasma, for example, by using an RF induction power source. Exemplary deposition conditions are 20-60 millitorr pressure, 1-3 kW RF coil power, 1-2 kW DC target power, and 150 W bias power. The first step provides good but rough bottom coverage and bottom sidewall coverage. In the second and preferably the next step, copper is deposited in a SIP reactor of the type described above that produces less copper ionization. Exemplary deposition conditions are 1 Torr pressure, 18-24 kW DC target power and 500 W bias power. The second step provides good and smooth upper sidewall coverage, and also smoothes the already deposited IMP layer. The covering film thickness in the two steps is preferably in the range of 50 to 100 nm for IMP deposition and 100 to 200 nm for the SIP layer. The coating thickness may be a ratio of 30:70 to 70:30. Alternatively, the SIP layer can be deposited before the IMP layer. After the copper seed layer is sputter deposited by the two-step process, the remaining portion of the hole is filled, for example, by electroplating.

[00141]上記SIP側壁被覆性は、非常に狭い高アスペクト比のビアの場合に、問題となる可能性がある。0.13μm以下のビアのための技術が開発されている最中である。約100nmの被覆厚未満で、側壁被覆は、不連続となる可能性がある。図21の断面図に示すように、好ましくない構造が、SIP銅膜680を、ビア側壁30上にボイドまたは他の欠陥682を含む不連続な膜として形成する可能性がある。欠陥682は、銅の欠乏、あるいは、電気メッキカソードとして局所的に作用できない、銅からなる薄い層の可能性がある。それにもかかわらず、SIP銅膜680は欠陥682を除いて平坦であり、また良好に核を形成する。これらの挑戦的な構造においては、銅CVDシード層684を、SIP銅核形堆積680を覆って堆積することが有利である。該膜は、化学気相堆積によって堆積されるため、該膜は、概して等角であり、SIP銅膜680によって良好に核形成される。CVDシード層684は、ホール22の充填を完全にするために、欠陥682を修繕して、後の銅の電気メッキのために、連続的な、粗くないシード層をもたらす。該CVD層は、アプライドマテリアルズ社から入手できるCuxZチャンバ等の銅堆積のためにデザインされたCVDチャンバ内で、上述した熱プロセスを用いて堆積することができる。   [00141] The SIP sidewall coverage can be a problem for very narrow high aspect ratio vias. A technology for vias of 0.13 μm or less is being developed. Below a coating thickness of about 100 nm, the sidewall coating can be discontinuous. As shown in the cross-sectional view of FIG. 21, undesired structures may form the SIP copper film 680 as a discontinuous film on the via sidewall 30 that includes voids or other defects 682. Defect 682 can be a lack of copper or a thin layer of copper that cannot act locally as an electroplating cathode. Nevertheless, the SIP copper film 680 is flat except for the defects 682 and well nucleates. In these challenging structures, it is advantageous to deposit a copper CVD seed layer 684 over the SIP copper kernel deposition 680. Since the film is deposited by chemical vapor deposition, the film is generally conformal and well nucleated by the SIP copper film 680. The CVD seed layer 684 repairs the defect 682 to complete the filling of the holes 22 and provides a continuous, non-rough seed layer for subsequent copper electroplating. The CVD layer can be deposited using the thermal process described above in a CVD chamber designed for copper deposition, such as the CuxZ chamber available from Applied Materials.

[00142]20nmのCVD銅をSIP銅核形成層及びIMP核形成層に交互に堆積する実験を行った。SIPとの組合せは、比較的滑らかなCVDシード層を形成し、また、IMPとの組合せは、不連続といってもよいかなり粗い面をCVD層内に形成した。   [00142] An experiment was performed in which 20 nm CVD copper was alternately deposited on a SIP copper nucleation layer and an IMP nucleation layer. The combination with SIP formed a relatively smooth CVD seed layer, and the combination with IMP formed a fairly rough surface in the CVD layer that could be called discontinuous.

[00143]CVD層684は、例えば、5〜20nmの範囲の厚さに堆積することができる。上記ホールの残りの部分は、他の方法により銅で充填してもよい。SIP銅の核形成層の上面のCVD銅によって形成された非常に平坦なシード層は、形成されている狭いビア内での電気メッキまたは従来のPVD技術により、銅の効率的なホール充填を実現できる。特に電気メッキの場合、上記滑らかな銅核形成層及びシード層は、電気メッキプロセスを促進する連続的でほぼ均一な電極を形成する。   [00143] The CVD layer 684 can be deposited, for example, to a thickness in the range of 5-20 nm. The remaining portion of the hole may be filled with copper by other methods. A very flat seed layer formed by CVD copper on top of the SIP copper nucleation layer enables efficient hole filling of copper by electroplating in the narrow vias formed or by conventional PVD techniques it can. Especially in the case of electroplating, the smooth copper nucleation layer and seed layer form a continuous, substantially uniform electrode that facilitates the electroplating process.

[00144]非常に高いアスペクト比を有するビアまたは他のホールの充填においては、電気メッキを省くこと、およびその代わりに、図22の断面図に示すように、充分に厚いCVD銅層688を、SIP銅核形成層680を覆って堆積して、該ビアを完全に充填することが有利である。CVD充填の利点は、別々の電気メッキ工程の必要性を排除できることである。また、電気メッキは、0.13μm未満の幅のホールでは制御しにくい流体フローを要する。   [00144] In filling vias or other holes with very high aspect ratios, omit electroplating, and instead use a sufficiently thick CVD copper layer 688 as shown in the cross-sectional view of FIG. It is advantageous to deposit over the SIP copper nucleation layer 680 to completely fill the via. The advantage of CVD filling is that the need for a separate electroplating process can be eliminated. Electroplating also requires a fluid flow that is difficult to control in holes less than 0.13 μm wide.

[00145]本発明のこの実施形態の銅の二重層の利点は、銅の堆積を、比較的低い熱量で実行できるようにすることである。タンタルは、高い熱量で酸化膜からディウェッティングされる傾向がある。IMPは、深いホール充填に対して多くの同じ被覆利点を有するが、IMPは、堆積される層内でエネルギを放散する、強力なアルゴンイオン流を生成するため、かなりの高温で作用する傾向がある。更に、IMPは、ある程度のアルゴンを、堆積された膜内に常に埋め込む。一方、比較的薄いSIP層は、比較的高速で堆積され、SIPプロセスは、アルゴンがないため、本質的に熱くない。また、SIP堆積速度は、どの熱い堆積も、半分くらい短いため、IMPの場合よりもかなり速い。   [00145] An advantage of the copper bilayer of this embodiment of the present invention is that it allows copper deposition to be performed with a relatively low amount of heat. Tantalum tends to dewet from the oxide film with a high amount of heat. IMP has many of the same coating advantages over deep hole filling, but IMP tends to work at fairly high temperatures because it produces a strong stream of argon ions that dissipates energy within the deposited layer. is there. In addition, IMP always embeds some argon in the deposited film. On the other hand, a relatively thin SIP layer is deposited at a relatively high rate, and the SIP process is essentially not hot because there is no argon. Also, the SIP deposition rate is much faster than with IMP because any hot deposition is about half as short.

[00146]上記熱量は、SIPプラズマの冷点火によっても低減される。冷プラズマ点火及び処理シーケンスを、図23のフロー図に示す。ウェーハが、ロードロックバルブを介して上記スパッタリアクタ内に挿入された後、該ロードロックバルブが閉じられ、工程690において、ガス圧力が釣り合わせられる。アルゴンチャンバ圧力は、点火に用いられる圧力まで、通常、2ミリトルから約5〜10ミリトルの間に増加され、アルゴン裏面冷却ガスが、約5〜10トルの裏面圧力で上記ウェーハの裏面に供給される。工程692において、該アルゴンは、通常1〜5kWの範囲の低レベルのターゲット電力で点火される。プラズマが点火するのが検知された後、工程694において、上記チャンバ圧力が、上記ターゲット電力を低レベルに保持した状態で急速に、例えば3秒間で低減される。持続性自己スパッタリングを行う場合、チャンバへのアルゴンの供給は停止されるが、プラズマは、SSSモードで継続する。自己イオン化プラズマスパッタリングの場合には、上記アルゴンの供給は低減される。上記裏面冷却ガスは、供給し続けられる。該アルゴン圧力が、一旦、低減されると、工程696において、上記ターゲット電力が、SIP又はSSSスパッタリングのために選定された、200mmウェーハの場合の例えば10〜24kW以上の意図されたスパッタリングレベルまで急速に増加される。圧力を低減すると同時に、上記電力を増加させることにより、工程694、696を組み合わせることが可能である。工程698において、上記ターゲットは、選定した厚さの材料物質をスパッタ堆積するのに必要な時間に対して選定されたレベルで作動し続ける。この点火シーケンスは、点火のために意図されたスパッタリング電力レベルを用いることよりも冷たい。スパッタ堆積に所望される高い電力レベルで続けられる場合、より高いアルゴン圧力は、点火を容易にするが、スパッタされた中性粒子に有害な影響を及ぼす。低い点火電力において、非常に少ない銅が、低減された電力で低い堆積速度により堆積される。また、ペデスタル冷却は、点火プロセスの間、上記ウェーハを冷たく保つ。   [00146] The amount of heat is also reduced by cold ignition of SIP plasma. The cold plasma ignition and processing sequence is shown in the flow diagram of FIG. After the wafer is inserted into the sputter reactor via a load lock valve, the load lock valve is closed and in step 690 the gas pressure is balanced. The argon chamber pressure is typically increased between 2 millitorr and about 5-10 millitorr to the pressure used for ignition, and an argon backside cooling gas is supplied to the backside of the wafer at a backside pressure of about 5-10 torr. The In step 692, the argon is ignited with a low level target power, typically in the range of 1-5 kW. After detecting that the plasma has ignited, in step 694, the chamber pressure is rapidly reduced, for example, in 3 seconds, with the target power held at a low level. When performing sustained self-sputtering, the argon supply to the chamber is stopped, but the plasma continues in SSS mode. In the case of self-ionized plasma sputtering, the supply of argon is reduced. The back surface cooling gas is continuously supplied. Once the argon pressure is reduced, in step 696, the target power is rapidly increased to the intended sputtering level, eg, 10-24 kW or higher for a 200 mm wafer selected for SIP or SSS sputtering. Will be increased. It is possible to combine steps 694 and 696 by increasing the power while reducing the pressure. In step 698, the target continues to operate at the selected level for the time required to sputter deposit the material material of the selected thickness. This ignition sequence is cooler than using the intended sputtering power level for ignition. A higher argon pressure facilitates ignition but has a detrimental effect on the sputtered neutral particles if continued at the high power level desired for sputter deposition. At low ignition power, very little copper is deposited at low power with reduced power. Pedestal cooling also keeps the wafer cool during the ignition process.

[00147]本発明の装置及びプロセスのほとんどの特徴は、ロングスローを伴わないスパッタリングにも適用することができる。   [00147] Most features of the apparatus and process of the present invention can also be applied to sputtering without long throw.

[00148]本発明は、現在、銅層間メタライゼーション、およびバリア及びライナー堆積に対して特に有用であるが、本発明の異なる態様は、他の材料物質のスパッタリング、および他の目的に対しても適用することができる。   [00148] Although the present invention is currently particularly useful for copper interlayer metallization and barrier and liner deposition, different aspects of the present invention may be used for sputtering other materials and for other purposes. Can be applied.

[00149]その全体を本願明細書に組み入れる、2002年7月25日に出願された同時係属出願第10/202,778号(代理人登録番号4044)に記載されているように、上記配線層は、SIP及びICPプラズマの両方を生成するチャンバ152(図4)と同様のスパッタチャンバ内で堆積することもできる。チャンバ152のようなチャンバ内で堆積する場合、ターゲット156は、例えば、銅等の堆積材料で形成される。また、ICPコイル151は、特に、配線金属の堆積の一部又は全てに対して、コイルスパッタリングが所望される場合には、同じ堆積材料で形成してもよい。   [00149] As described in co-pending application No. 10 / 202,778 (attorney registration number 4044) filed July 25, 2002, which is incorporated herein in its entirety. Can also be deposited in a sputter chamber similar to chamber 152 (FIG. 4) that produces both SIP and ICP plasma. When depositing in a chamber such as chamber 152, target 156 is formed of a deposition material such as, for example, copper. Further, the ICP coil 151 may be formed of the same deposition material, particularly when coil sputtering is desired for a part or all of the wiring metal deposition.

[00150]上述したように、図示のチャンバ152は、持続性自己スパッタリングを含む銅の自己イオン化スパッタリングが可能である。この場合、プラズマが点火された後、アルゴンの供給は、SSSの場合、遮断してもよく、銅イオンは、2以上のイールドで銅ターゲットを再スパッタするのに充分高い密度を有する。別法として、低減された流速及びチャンバ圧力、あるいは、相当だが低減された量の自己スパッタリングと共に、純粋な持続性自己スパッタリングを持続するのには不十分なターゲット電力密度で、ある程度のアルゴンを供給し続けてもよい。アルゴン圧力が、約5ミリトルを超えて増加すると、アルゴンは、銅イオンからエネルギを除去し、自己スパッタリングを減少させる。上記ウェーハバイアスは、イオン化された銅粒子を上記ホール深部に引き付ける。   [00150] As described above, the illustrated chamber 152 is capable of self-ionized sputtering of copper, including sustained self-sputtering. In this case, after the plasma is ignited, the supply of argon may be interrupted in the case of SSS, and the copper ions have a high enough density to resputter the copper target at two or more yields. Alternatively, provide some argon at a target power density that is insufficient to sustain pure sustained self-sputtering with a reduced flow rate and chamber pressure, or a substantial but reduced amount of self-sputtering. You may keep doing. As the argon pressure increases above about 5 millitorr, the argon removes energy from the copper ions and reduces self-sputtering. The wafer bias attracts ionized copper particles to the deep hole.

[00151]しかし、一部の中性流で深いホール被覆を実現するには、ターゲット156とウェーハ158との間の距離を増加させること、すなわち、上述したようなロングスローモードで作動させることが好ましい。自己イオン化プラズマ(SIP)スパッタリング、誘導結合プラズマ(ICP)スパッタリング及び持続性自己スパッタリング(SSS)の間の制御された割り振りは、中性のスパッタ粒子とイオン化されたスパッタ粒子との間の配分の制御を可能にする。このような制御は、高アスペクト比のビアホール内への銅シード層のスパッタ堆積に対して特に有利である。スパッタ粒子のイオン化量の制御は、自己イオン化プラズマ(SIP)スパッタリングと誘導結合プラズマ(ICP)スパッタリングとを混合することによって実現される。   [00151] However, to achieve deep hole coverage with some neutral flow, increasing the distance between the target 156 and the wafer 158, ie, operating in the long throw mode as described above. preferable. Controlled allocation between self-ionized plasma (SIP) sputtering, inductively coupled plasma (ICP) sputtering and sustained self-sputtering (SSS) controls the distribution between neutral and ionized sputtered particles Enable. Such control is particularly advantageous for sputter deposition of copper seed layers in high aspect ratio via holes. Control of the ionization amount of sputtered particles is realized by mixing self-ionized plasma (SIP) sputtering and inductively coupled plasma (ICP) sputtering.

[00152]本発明による構造の一実施形態は、図24の断面図に示すビアである。銅シード層700は、例えば、図4に示すタイプのロングスロースパッタリアクタを用いて、SIP及びICPの組合せをおよび/またはSIP及びICPを交互に促進する条件下で、(上述したTaNバリア層及びTaライナー層等の1つ又はそれ以上のバリア層及びライナー層を含んでもよい)ライナー層704を覆って、ビアホール702内に堆積される。ここで、上記リアクタは、銅又は他のシード層堆積材料を含むターゲットを有する。SIP−ICP銅層700は、例えば、50〜300nm、またはより好ましくは、80〜200nmの被覆厚さに堆積してもよい。SIP−ICP銅シード層700は、好ましくは、上記ビアの側壁上で、2〜20nm、より好ましくは、7〜15nmの範囲の厚さを有する。狭いホールのため、上記側壁の厚さは、50nmを越えるべきではない。該膜の品質は、速いSIP堆積によって与えられる冷たさが重要になるため、上記ペデスタルの温度を、0℃未満、好ましくは、−40℃未満に低下させることによって改善される。   [00152] One embodiment of the structure according to the invention is the via shown in the cross-sectional view of FIG. The copper seed layer 700 can be formed using, for example, a long throw sputter reactor of the type shown in FIG. 4 under conditions that promote a combination of SIP and ICP and / or alternating SIP and ICP (the TaN barrier layer and One or more barrier layers such as a Ta liner layer and a liner layer (which may include a liner layer) are deposited in the via hole 702 over the liner layer 704. Here, the reactor has a target comprising copper or other seed layer deposition material. The SIP-ICP copper layer 700 may be deposited to a coating thickness of, for example, 50-300 nm, or more preferably 80-200 nm. The SIP-ICP copper seed layer 700 preferably has a thickness in the range of 2-20 nm, more preferably 7-15 nm, on the via sidewalls. Due to the narrow holes, the thickness of the side wall should not exceed 50 nm. The quality of the film is improved by reducing the temperature of the pedestal below 0 ° C., preferably below −40 ° C., because the coolness provided by fast SIP deposition becomes important.

[00153]SIP−ICP銅シード層700は、良好な底部被覆性及び向上した側壁被覆性を有すると考えられる。以下に詳細に説明するように、銅シード層700は、銅堆積材料を再配分して、上記ビアの内側底部角部における被覆を増加させると共に、該ビアの底部の中心部分は薄い被覆のままにするように、別の工程で、あるいは、初期の堆積中のいずれかにおいて再スパッタすることができる。銅シード層700が堆積され(必要に応じて再配分され)た後、上記ホールは、好ましくは、シード層700を電気メッキ電極のうちの1つとして用いた電気化学メッキにより、図14bの銅層347b’と同様の銅層で充填することができる。しかし、SIP−ICP銅シード層700の滑らかな構造も、一般的なスパッタリングまたは物理気相堆積(PVD)による銅のリフローまたは高温堆積を促進する。   [00153] The SIP-ICP copper seed layer 700 is believed to have good bottom coverage and improved sidewall coverage. As will be described in detail below, the copper seed layer 700 redistributes the copper deposition material to increase the coverage at the inner bottom corners of the via, while leaving the central portion of the via bottom at a thin coating. As such, it can be re-sputtered either in a separate process or during the initial deposition. After the copper seed layer 700 has been deposited (redistributed as needed), the holes are preferably formed by electrochemical plating using the seed layer 700 as one of the electroplating electrodes, as shown in FIG. It can be filled with a copper layer similar to layer 347b '. However, the smooth structure of the SIP-ICP copper seed layer 700 also facilitates copper reflow or high temperature deposition by conventional sputtering or physical vapor deposition (PVD).

[00154]一実施形態において、SIP−ICP層は、SIP及びICPの両堆積方法の選択された態様を1つの工程に組み合わせるプロセスで形成することができる。また、代替の実施形態によるリアクタ715は、図25に示すように、第2のコイル716及びコイル151を有する。コイル151と同様の方法で、コイル716の一方の端部は、フィードスルースタンドオフ182により暗黒部シールド164’を介して、増幅器及び整合ネットワーク717の出力に絶縁結合されている(図26)。整合ネットワーク717の入力は、RFジェネレータ718に結合されている。コイル716の他端は、DCバイアスをコイル716に対してかけるために、阻止コンデンサ719を介して、フィードスルースタンドオフ182によりシールド164’を介してアースに絶縁結合されている。DCバイアスは、独立したDC電源721によって制御することができる。   [00154] In one embodiment, the SIP-ICP layer can be formed in a process that combines selected aspects of both SIP and ICP deposition methods into one step. In addition, the reactor 715 according to the alternative embodiment includes a second coil 716 and a coil 151 as shown in FIG. In a manner similar to coil 151, one end of coil 716 is insulatively coupled to the output of amplifier and matching network 717 via feedthrough standoff 182 and dark shield 164 '(FIG. 26). The input of matching network 717 is coupled to RF generator 718. The other end of coil 716 is insulatively coupled to ground via shield 164 ′ via feedthrough standoff 182 via blocking capacitor 719 to apply a DC bias to coil 716. The DC bias can be controlled by an independent DC power source 721.

[00155]ICP工程又は組み合わせたSIP−ICP工程において、例えば、1〜3kW及び2Mhzの周波数で、RFエネルギが、RFコイル151及び716のうちの一方又は両方に印加される。コイル151及び716は、作動されると、RFエネルギを上記リアクタの内部に誘導結合する。上記コイルによって生成されたRFエネルギは、プラズマを維持して、スパッタされた堆積材料をイオン化するために、アルゴン等の前駆体ガスをイオン化する。しかし、プラズマを、比較的高い圧力、例えば、一般的に高密度IMPプロセスの場合に20〜60mトルに維持するよりは、該圧力は、好ましくは、かなり低い圧力、例えば、2mトルに維持される。従って、リアクタ150内でのイオン化速度は、一般的な高密度IMPプロセスのイオン化速度よりもかなり低くなると考えられる。   [00155] In an ICP process or a combined SIP-ICP process, RF energy is applied to one or both of the RF coils 151 and 716, for example at a frequency of 1-3 kW and 2 Mhz. Coils 151 and 716, when activated, inductively couple RF energy into the interior of the reactor. The RF energy generated by the coil ionizes a precursor gas, such as argon, to maintain the plasma and ionize the sputtered deposition material. However, rather than maintaining the plasma at a relatively high pressure, eg, 20-60 mTorr, typically for high density IMP processes, the pressure is preferably maintained at a much lower pressure, eg, 2 mTorr. The Thus, the ionization rate in reactor 150 is believed to be significantly lower than the ionization rate of a typical high density IMP process.

[00156]更に、上述したように、図示したリアクタ150も、ロングスローモードで自己イオン化スパッタリングが可能である。従って、堆積材料は、上記RFコイルにより維持される低圧プラズマの結果としてイオン化できるだけではなく、上記ターゲットのDCマグネトロンスパッタリングにより自己生成されたプラズマによってもイオン化することができる。SIP及びICPイオン化プロセスの組合せは、充分なイオン化した材料に良好な底部及び底部角部被覆性をもたらすと考えられる。しかし、RFコイル151及び716によって生成された低圧プラズマの低イオン化速度が、充分な中性スパッタ材料を、上記リアクタのロングスロー能力によって上方側壁に堆積するように、イオン化しないままとすることを可能にするとも考えられる。すなわち、イオン化した堆積材料のSIP及びICPソースの組合せは、良好な上方側壁被覆性、および良好な底部及び底部角部被覆性を実現できると考えられる。別の実施形態においては、コイル151及び716への電力は、1つの工程において、上方のコイル726への電力が、下方のコイル151に印加される電力に対して除去または低減されるように、交互に印加される。この工程において、誘導結合したプラズマの中心は、上記ターゲットから離れて上記基板の近くにずれる。このような構成は、該ターゲットの近くで生成された自己イオン化されたプラズマと、上記コイルのうちの1つ又はそれ以上によって維持される誘導結合したプラズマとの間の相互作用を低減できる。従って、中性のスパッタされた材料物質の高い割合を維持することができる。   [00156] Furthermore, as described above, the illustrated reactor 150 is also capable of self-ionized sputtering in long throw mode. Thus, the deposited material can be ionized not only by ionization as a result of the low pressure plasma maintained by the RF coil, but also by plasma self-generated by DC magnetron sputtering of the target. The combination of SIP and ICP ionization processes is believed to provide good bottom and bottom corner coverage for fully ionized material. However, the low ionization rate of the low-pressure plasma generated by the RF coils 151 and 716 can leave enough neutral sputter material unionized to deposit on the upper sidewall due to the long throw capability of the reactor. It can be considered to be. That is, it is believed that a combination of ionized deposition material SIP and ICP sources can achieve good upper sidewall coverage and good bottom and bottom corner coverage. In another embodiment, the power to the coils 151 and 716 is such that in one step, the power to the upper coil 726 is removed or reduced relative to the power applied to the lower coil 151. Applied alternately. In this step, the center of the inductively coupled plasma is shifted away from the target and close to the substrate. Such a configuration can reduce the interaction between self-ionized plasma generated near the target and inductively coupled plasma maintained by one or more of the coils. Thus, a high proportion of neutral sputtered material material can be maintained.

[00157]第2の工程において、上記電力は、下方のコイル151への電力が、上方コイル716へ印加される電力に対して除去又は低減されるように、逆にしてもよい。この工程において、上記誘導結合されたプラズマの中心は、上記基板から離れて上記ターゲットの方へずれる。このような構成は、イオン化したスパッタ材料の割合を増加させる。   [00157] In the second step, the power may be reversed so that the power to the lower coil 151 is removed or reduced relative to the power applied to the upper coil 716. In this step, the center of the inductively coupled plasma is moved away from the substrate toward the target. Such a configuration increases the proportion of ionized sputter material.

[00158]他の実施形態においては、上記層は、本願明細書中でSIP工程と呼ぶ1つの工程において、RF電力が、どちらかのコイルに少しだけ印加される、あるいはまったく印加されない、2つ又はそれ以上の工程で形成してもよい。また、上記圧力は、比較的低いレベル、好ましくは、5mトル未満、より好ましくは、例えば、1mトルなどの2mトル未満に維持される。更に、上記ターゲットに印加される電力は、例えば、18〜24kWDCの範囲のように比較的高くなる。例えば、500Wの電力レベルで、上記基板支持部にバイアスをかけてもよい。これらの条件下では、上記堆積材料のイオン化は、主に、自己イオン化プラズマ(SIP)の結果として行われると考えられる。上記リアクタのロングスローモード構成と組み合わせると、良好な側壁被覆性を、低オーバハングで実現できると考えられる。この最初の工程で堆積された層の一部は、例えば、1000〜2000Åの範囲であってもよい。   [00158] In other embodiments, the layers are formed in a single process, referred to herein as a SIP process, with little or no RF power applied to either coil. Or you may form by the process beyond it. Also, the pressure is maintained at a relatively low level, preferably less than 5 mTorr, more preferably less than 2 mTorr, such as 1 mTorr. Furthermore, the power applied to the target is relatively high, for example in the range of 18-24 kWDC. For example, the substrate support may be biased at a power level of 500W. Under these conditions, it is believed that ionization of the deposited material occurs primarily as a result of self-ionized plasma (SIP). When combined with the long-throw mode configuration of the reactor, it is believed that good sidewall coverage can be achieved with low overhang. A portion of the layer deposited in this first step may be in the range of 1000-2000 mm, for example.

[00159]本願明細書中でICP工程と呼び、かつ好ましくは同じチャンバ内における第2の工程において、RF電力は、コイル151及び716のうちの一方又は両方に印加してもよい。また、一つの実施形態において、上記圧力は、高密度プラズマを維持できるように、実質的に上昇させてもよい。例えば、該圧力は、20〜60mトルに、上記コイルへの上記RF電力は、1〜3kWの範囲に上昇させてもよく、また、上記ターゲットへのDC電力は、1〜2kWに、上記基板支持部へのバイアスは、150Wに低減してもよい。これらの条件下では、上記堆積材料のイオン化は、高密度ICPの結果として行われると考えられる。その結果、良好な底部及び底部角部被覆性を、上記第2の工程において実現できる。上述したように、電力は、上記両方のコイルに同時にまたは交互に印加することができる。   [00159] In a second step, referred to herein as an ICP step, and preferably in the same chamber, RF power may be applied to one or both of coils 151 and 716. In one embodiment, the pressure may be substantially increased so that a high density plasma can be maintained. For example, the pressure may be increased to 20-60 mTorr, the RF power to the coil may be increased to a range of 1-3 kW, and the DC power to the target may be increased to 1-2 kW The bias to the support may be reduced to 150W. Under these conditions, ionization of the deposited material is believed to occur as a result of high density ICP. As a result, good bottom and bottom corner coverage can be achieved in the second step. As mentioned above, power can be applied to both coils simultaneously or alternately.

[00160]上記銅シード層が、SIP及びICPを組み合わせたプロセスによってスパッタ堆積された後、上記ホールの残りの部分は、同じ又は別のプロセスによって充填することができる。例えば、該ホールの残りの部分は、電気メッキ又はCVDによって充填することができる。   [00160] After the copper seed layer is sputter deposited by a combined SIP and ICP process, the remaining portions of the holes can be filled by the same or another process. For example, the remaining portion of the hole can be filled by electroplating or CVD.

[00161]上記SIP工程及びICP工程の順序は、逆にしてもよいこと、および該SIP工程において、一定のRF電力を1つ又はそれ以上のコイルに印加してもよいこと、および一定の自己イオン化は、該ICP工程に含まれてもよいことを認識すべきである。また、持続性自己スパッタリング(SSS)は、1つ又はそれ以上の工程に含まれてもよい。すなわち、圧力、電力及びターゲット・ウェーハ間の距離を含むプロセスパラメータは、所望の結果を実現するために、特定の用途により変化してもよい。   [00161] The order of the SIP and ICP steps may be reversed, and in the SIP step, a constant RF power may be applied to one or more coils, and a constant self It should be appreciated that ionization may be included in the ICP process. Sustained self-sputtering (SSS) may also be included in one or more steps. That is, process parameters including pressure, power, and target wafer distance may vary depending on the particular application to achieve the desired result.

[00162]上述したように、コイル151及び516は、独立して、または一緒に作動させることができる。一実施形態においては、上記コイルは、一緒に作動させることができ、この場合、一方のコイルに印加されるRF信号は、ヘリコン波を生成するように、他方のコイルに印加される別のRF信号に対して位相がずれている。例えば、該RF信号は、米国特許第6,264,812号明細書に記載されているように、1波長の何分の一か位相がずれていてもよい。   [00162] As described above, the coils 151 and 516 can be operated independently or together. In one embodiment, the coils can be operated together, in which case the RF signal applied to one coil is another RF applied to the other coil so as to generate a helicon wave. The signal is out of phase. For example, the RF signal may be out of phase by a fraction of a wavelength as described in US Pat. No. 6,264,812.

[00163]本発明の一実施形態は、図27の平面図に概略的に示すEndura 5500プラットフォーム等のインテグレーテッドマルチチャンバツールに対して好ましくは実施される統合プロセスを含む。該プラットホームは、Tepman等により、米国特許第5,186,718号明細書に機能的に記載されている。   [00163] One embodiment of the present invention includes an integration process that is preferably implemented for an integrated multi-chamber tool, such as the Endura 5500 platform schematically illustrated in the plan view of FIG. The platform is functionally described in US Pat. No. 5,186,718 by Tepman et al.

[00164]ビアホールまたは絶縁層内の他の構造によってすでにエッチングされているウェーハは、各ロードロックチャンバに装填されたウェーハカセットから、ウェーハをシステムに出し入れするように構成された、2つの独立して作動されるロードロックチャンバ732、734を介して、該システムに対して取り入れ及び取り出しされる。ウェーハカセットが、ロードロックチャンバ732、734内に装填された後、上記チャンバは、適度に低圧に、例えば、10-3〜10-4トルに加圧され、該ロードロックチャンバと第1のウェーハ移送チャンバ736との間のスリットバルブが開かれる。第1のウェーハ移送チャンバ736の圧力は、その後、該低圧に維持される。 [00164] Wafers that are already etched by via holes or other structures in the insulating layer are two independently configured to move wafers into and out of the system from wafer cassettes loaded into each load lock chamber. Intake and removal from the system via activated load lock chambers 732, 734. After the wafer cassette is loaded into the load lock chambers 732, 734, the chamber is pressurized to a moderately low pressure, for example, 10 −3 to 10 −4 torr, the load lock chamber and the first wafer. A slit valve between the transfer chamber 736 is opened. The pressure in the first wafer transfer chamber 736 is then maintained at the low pressure.

[00165]第1の移送チャンバ736内に配設された第1のロボット738は、上記ウェーハを、上記カセットから、2つの脱気/指向チャンバ740、742のうちの一方に移送した後、水素またはアルゴンプラズマが、該ウェーハの表面をクリーニングする第1のプラズマプリクリーンチャンバ744へ移送する。CVDバリア層が堆積されている場合、第1のロボット738は、上記ウェーハをCVDバリアチャンバ746へ渡す。CVDバリア層が堆積された後、ロボット738は、該ウェーハを通路チャンバ748内に移し、そこから第2のロボット750が、該ウェーハを第2の移送チャンバ752へ移送する。スリットバルブは、処理レベルと圧力レベルを分離するように、チャンバ744、746、748を第1の移送チャンバ736と分離する。   [00165] The first robot 738 disposed in the first transfer chamber 736 transfers the wafer from the cassette to one of the two degassing / directing chambers 740, 742, and then hydrogen Alternatively, argon plasma is transferred to a first plasma preclean chamber 744 that cleans the surface of the wafer. If a CVD barrier layer is deposited, the first robot 738 passes the wafer to the CVD barrier chamber 746. After the CVD barrier layer is deposited, the robot 738 moves the wafer into the passage chamber 748, from which the second robot 750 transfers the wafer to the second transfer chamber 752. The slit valve separates the chambers 744, 746, 748 from the first transfer chamber 736 so as to separate the processing level and the pressure level.

[00166]第2のロボット750は、周囲に配置された反応チャンバへ及び該チャンバからウェーハを選択的に移送する。第1のIMPスパッタチャンバ754は、銅の堆積専用のものである。上述したチャンバ410と同様のSIPスパッタチャンバ756は、SIP銅シード層または核形成層の堆積専用のものである。このチャンバは、底部及び側壁被覆のためのSIPと、1つの工程または、上述したようなマルチステッププロセスのいずれかで底部角部被覆性を改善するための再スパッタリングとを兼ねる。また、例えば、Ta/TaNからなるバリア層の少なくとも一部は、SIPスパッタリング及びコイルスパッタリング及びICP再スパッタリングによって堆積されており、そのため、SIP−ICPスパッタチャンバ760は、可能な限り反応性窒素プラズマ内での耐熱性金属のスパッタリング専用になっている。同様のSIP−ICPチャンバ760は、耐熱性金属及びその窒化物を堆積するのに使用することができる。CVDチャンバ758は、銅核形成、シードまたはライナー層の堆積のための、あるいは、上記ホールの充填を完了するための、またはその両方のための専用のものである。チャンバ754、756、758、760の各々は、スリットバルブにより、第2の移送チャンバ752に対して選択的に開かれる。異なる構成を用いることも可能である。例えば、IMPチャンバ754は、特に、上記ホールの充填を完了するためにCVDを用いる場合には、第2のCVD銅チャンバと置き換えてもよい。   [00166] The second robot 750 selectively transfers wafers to and from the reaction chamber located around. The first IMP sputter chamber 754 is dedicated to copper deposition. A SIP sputter chamber 756, similar to chamber 410 described above, is dedicated to the deposition of a SIP copper seed layer or nucleation layer. This chamber combines SIP for bottom and sidewall coating and resputtering to improve bottom corner coverage in one step or in a multi-step process as described above. Further, for example, at least a part of the barrier layer made of Ta / TaN is deposited by SIP sputtering, coil sputtering, and ICP resputtering. Therefore, the SIP-ICP sputtering chamber 760 is formed in a reactive nitrogen plasma as much as possible. Specially designed for sputtering of heat-resistant metal. A similar SIP-ICP chamber 760 can be used to deposit refractory metals and their nitrides. The CVD chamber 758 is dedicated for copper nucleation, seed or liner layer deposition, or to complete the hole filling, or both. Each of the chambers 754, 756, 758, 760 is selectively opened relative to the second transfer chamber 752 by a slit valve. Different configurations can also be used. For example, the IMP chamber 754 may be replaced with a second CVD copper chamber, particularly if CVD is used to complete the hole filling.

[00167]低圧処理の後、第2のロボット750は、前の処理が熱かった場合には冷却チャンバであってもよく、あるいは、メタライゼーションのアニーリングが必要な場合には、瞬時熱プロセス(RTP)であってもよい、中間部に配置された熱チャンバ762へ上記ウェーハを移送する。熱処理後、第1のロボット738は、該ウェーハを取り出して、該ウェーハをロードロックチャンバ732、734のうちの一方においてカセットへ戻す。それを用いて本発明を統合プロセスの工程により実施することができる他の構成も、当然可能である。   [00167] After low pressure processing, the second robot 750 may be a cooling chamber if the previous processing was hot, or an instantaneous thermal process (RTP) if metallization annealing is required. The wafer may be transferred to a thermal chamber 762 located in the middle. After heat treatment, the first robot 738 removes the wafer and returns the wafer to the cassette in one of the load lock chambers 732, 734. Of course other configurations are possible with which the invention can be implemented by means of an integrated process.

[00168]上記システム全体は、上記チャンバの各々と関連付けられたサブコントローラと接続される制御バス772を通じて作動する、コンピュータをベースとしたコントローラ770によって制御される。プロセス方法は、コントローラ770に挿入可能な、または通信リンク776と通じる、磁気フロッピーディスクまたはCD−ROM等の読み取り可能なメディア774によってコントローラ770に読み込まれる。   [00168] The entire system is controlled by a computer-based controller 770 that operates through a control bus 772 that is connected to sub-controllers associated with each of the chambers. The process method is read into the controller 770 by a readable medium 774 such as a magnetic floppy disk or CD-ROM that can be inserted into the controller 770 or communicated with the communication link 776.

[00169]本発明の装置及びプロセスのほとんどの特徴は、ロングスローを伴わないスパッタリングにも適用することができる。本発明は、現在、タンタル及びタンタルナイトライドライナー層の堆積及び銅層間メタライゼーションに対して特に有用であるが、本発明の異なる態様を、他の材料物質のスパッタリングに、および他の目的のために適用することもできる。2001年8月30日に出願された仮出願第60/316,137号は、スパッタリング及び再スパッタリング技術に注力しており、該出願を本願明細書に組み入れる。   [00169] Most features of the apparatus and process of the present invention can also be applied to sputtering without long throw. While the present invention is currently particularly useful for deposition of tantalum and tantalum nitride liner layers and copper intermetallization, different aspects of the present invention can be used for sputtering other materials and for other purposes. It can also be applied to. Provisional application 60 / 316,137, filed August 30, 2001, is focused on sputtering and resputtering techniques, which are incorporated herein.

[00170]本発明の変更が、その様々な態様において、当業者には明らかであり、いくつかは研究後に明らかになり、他のものは、普通の機械的かつプロセスのデザインであることは、当然理解されるであろう。他の実施形態も可能であり、それらの特定のデザインは、特定の用途に依存する。従って、本発明の範囲は、本願明細書に記載した特定の実施形態によって限定すべきではなく、添付クレーム及びその均等物のみによって定義すべきである。   [00170] Modifications of the present invention, in its various aspects, will be apparent to those skilled in the art, some will become apparent after research, and others are ordinary mechanical and process designs, Naturally it will be understood. Other embodiments are possible and their specific design will depend on the specific application. Accordingly, the scope of the invention should not be limited by the specific embodiments described herein, but should be defined only by the appended claims and their equivalents.

410…プラズマスパッタリングリアクタ、412…真空チャンバ、414…側壁、416…スパッタリングターゲット、418…電気的アイソレータ、422…ペデスタル電極、424…ウェーハ、426…ガス供給部、428…マスフローコントローラ、434…DC電源、436…マグネトロン、438…中心軸、440…内側磁極、442…外側極、444…磁気ヨーク、446…回転アーム、448…回転軸、450…モータ、454…RF電源、460…補助アレイ、462…補助磁石、BA1、BA2…補助磁界、BM…半トロイダル磁界 410 ... plasma sputtering reactor, 412 ... vacuum chamber, 414 ... side wall, 416 ... sputtering target, 418 ... electrical isolator, 422 ... pedestal electrode, 424 ... wafer, 426 ... gas supply unit, 428 ... mass flow controller, 434 ... DC power supply 436 ... Magnetron, 438 ... Center axis, 440 ... Inner magnetic pole, 442 ... Outer pole, 444 ... Magnetic yoke, 446 ... Rotating arm, 448 ... Rotating shaft, 450 ... Motor, 454 ... RF power supply, 460 ... Auxiliary array, 462 ... Auxiliary magnet, BA1, BA2 ... Auxiliary magnetic field, BM ... Semi-toroidal magnetic field

Claims (22)

少なくとも4:1のアスペクト比を有し、かつ基板の絶縁層内に形成されたホール内に金属を堆積させる方法であって、
金属を含む堆積材料を、チャンバ内の誘導結合プラズマ中で、前記ホール内にスパッタ堆積することと、
金属を含む堆積材料を、チャンバ内の自己イオン化したプラズマ中で、前記ホール内にスパッタ堆積することとを備え、
少なくとも一方の前記スパッタ堆積は、前記ホールの底部に堆積した堆積材料を除去するために前記ホール内の堆積材料を再スパッタリングすることを含み、少なくとも一方の前記スパッタ堆積は、ターゲットの背後に配置されたマグネトロンを用いて、中心軸の周りに配置された側壁を有する真空チャンバ内で、前記ターゲットをスパッタリングすることを含み、前記方法は更に、
前記中心軸に沿って前記ターゲットと対向するペデスタルによって支持された基板の方へ処理空間を介してイオンを向けることを含み、
前記ターゲットは、前記基板の口径の50%を超えるスロー距離だけ、前記ペデスタルから離れており、
前記イオンを基板の方へ向けることは、前記中心軸に沿って第1の磁極を有し、前記処理空間の周りに少なくとも部分的に配置された補助磁石を用い、
前記補助磁石は、前記ターゲットに向かって前記処理空間の半分を取り囲むチャンバ壁の周りの領域には延びていない方法。
A method of depositing a metal in a hole having an aspect ratio of at least 4: 1 and formed in an insulating layer of a substrate,
Sputter depositing a deposition material comprising a metal into the hole in an inductively coupled plasma in a chamber;
Sputter depositing a deposition material comprising a metal into the hole in a self-ionized plasma in a chamber;
At least one of the sputter depositions includes resputtering the deposited material in the holes to remove deposited material deposited at the bottom of the holes, wherein at least one of the sputter depositions is disposed behind the target. Sputtering the target using a magnetron in a vacuum chamber having a sidewall disposed about a central axis, the method further comprising:
Directing ions through a processing space toward a substrate supported by a pedestal opposite the target along the central axis;
The target is separated from the pedestal by a throw distance that exceeds 50% of the diameter of the substrate,
Directing the ions toward the substrate uses an auxiliary magnet having a first magnetic pole along the central axis and at least partially disposed around the processing space;
The method wherein the auxiliary magnet does not extend into a region around the chamber wall surrounding half of the processing space towards the target .
前記ホールを金属で充填することを更に含む、請求項1に記載の方法。   The method of claim 1, further comprising filling the hole with a metal. 前記充填は、電気メッキを含む、請求項2に記載の方法。   The method of claim 2, wherein the filling comprises electroplating. 前記自己イオン化したプラズマ中でのスパッタ堆積は、前記誘導結合プラズマ中でのスパッタ堆積に先行する、請求項1に記載の方法。   The method of claim 1, wherein sputter deposition in the self-ionized plasma precedes sputter deposition in the inductively coupled plasma. 前記自己イオン化したプラズマ中でのスパッタ堆積、および前記誘導結合プラズマ中でのスパッタ堆積は、同じチャンバ内で行われる、請求項1に記載の方法。   The method of claim 1, wherein sputter deposition in the self-ionized plasma and sputter deposition in the inductively coupled plasma are performed in the same chamber. 前記誘導結合プラズマ中でのスパッタ堆積は、前記自己イオン化したプラズマ中で堆積した堆積材料を、前記誘導結合プラズマ中で再スパッタリングすることを更に含む、請求項4に記載の方法。   The method of claim 4, wherein sputter deposition in the inductively coupled plasma further comprises resputtering deposited material deposited in the self-ionized plasma in the inductively coupled plasma. 前記再スパッタリングは、前記ホールの側壁に材料を堆積しながら前記ホールの底部に堆積した堆積材料を除去することを含む、請求項6に記載の方法。   The method of claim 6, wherein the resputtering includes removing deposited material deposited at the bottom of the hole while depositing material on a sidewall of the hole. 前記自己イオン化したプラズマ中でのスパッタ堆積は、タンタルおよびタンタルナイトライドのうちの少なくとも一つを含む堆積材料を堆積する、請求項1に記載の方法。   The method of claim 1, wherein the sputter deposition in the self-ionized plasma deposits a deposition material comprising at least one of tantalum and tantalum nitride. 前記自己イオン化したプラズマ中でのスパッタ堆積は、銅を含む堆積材料を堆積する、請求項1に記載の方法。   The method of claim 1, wherein the sputter deposition in the self-ionized plasma deposits a deposition material comprising copper. 前記誘導結合プラズマ中でのスパッタ堆積は、タンタルおよびタンタルナイトライドのうちの少なくとも一つを含む堆積材料を堆積する、請求項1に記載の方法。   The method of claim 1, wherein sputter deposition in the inductively coupled plasma deposits a deposition material comprising at least one of tantalum and tantalum nitride. 前記誘導結合プラズマ中でのスパッタ堆積は、銅を含む堆積材料を堆積する、請求項1に記載の方法。   The method of claim 1, wherein the sputter deposition in the inductively coupled plasma deposits a deposition material comprising copper. 前記誘導結合プラズマ中でのスパッタ堆積は、前記誘導結合プラズマを形成するために前記誘導結合プラズマを含む前記チャンバ内部のコイルとのRF誘導結合を少なくとも部分的に用いる、請求項1に記載の方法。   The method of claim 1, wherein sputter deposition in the inductively coupled plasma at least partially uses RF inductive coupling with a coil inside the chamber containing the inductively coupled plasma to form the inductively coupled plasma. . 少なくとも4:1のアスペクト比を有し、かつ基板の絶縁層内に形成されたホール内に金属を堆積させるツールであって、
移送チャンバと、
前記移送チャンバと結合され、かつIMPチャンバ内で誘導結合プラズマを形成するように適合された、かつ金属を含む堆積材料を、前記誘導結合プラズマ中で、前記ホール内にスパッタ堆積させるように適合されたIMPスパッタチャンバであって、RFエネルギを前記誘導結合プラズマに誘導結合するように適合された内部RFコイルを有する前記IMPスパッタチャンバと、
前記移送チャンバに結合され、かつSIPチャンバ内に自己イオン化したプラズマを形成するように適合され、かつ金属を含む堆積材料を、前記自己イオン化したプラズマ中で前記ホール内にスパッタ堆積させるように適合されたSIPチャンバとを備え、
前記IMPチャンバ及び前記SIPチャンバの少なくとも一つは、前記基板を支持しかつ前記基板にバイアスをかけるように適合されたペデスタルを含み、側壁が中心軸の周りに配置され、スパッタリングターゲットが前記ペデスタルと対向して前記中心軸に沿って配置され、前記スパッタリングターゲットは、前記基板の口径の50%を超えるスロー距離だけ前記ペデスタルから離れており、前記ペデスタルと、前記ターゲットと、前記側壁との間の領域に処理空間が形成されており、マグネトロンが前記ターゲットに対して前記処理空間とは反対側に配置され、補助磁石が前記中心軸に沿って第1の磁極性を有し、前記処理空間の周囲に少なくとも部分的に配置されており、前記補助磁石は、前記ターゲットに向かって前記処理空間の半分を取り囲むチャンバ壁の周りの領域には延びておらず、
前記ペデスタルを制御して、前記ホールの底部に堆積した堆積材料を除去するためにプラズマのイオンを引き付けて前記ホール内の堆積材料を再スパッタするように、前記基板にバイアスをかけるように適合されたコントローラをさらに備える、ツール。
A tool for depositing metal in a hole having an aspect ratio of at least 4: 1 and formed in an insulating layer of a substrate,
A transfer chamber;
A deposition material coupled to the transfer chamber and adapted to form an inductively coupled plasma in the IMP chamber and comprising a metal is adapted to sputter deposit in the holes in the inductively coupled plasma. An IMP sputter chamber having an internal RF coil adapted to inductively couple RF energy to the inductively coupled plasma;
Coupled to the transfer chamber and adapted to form a self-ionized plasma in the SIP chamber and adapted to sputter deposit a metal-containing deposition material in the holes in the self-ionized plasma. A SIP chamber,
At least one of the IMP chamber and the SIP chamber includes a pedestal adapted to support the substrate and bias the substrate, a sidewall is disposed about a central axis, and a sputtering target is coupled to the pedestal. Oppositely disposed along the central axis, the sputtering target is separated from the pedestal by a throw distance that is greater than 50% of the aperture of the substrate, between the pedestal, the target, and the sidewall. A processing space is formed in the region, a magnetron is disposed on the opposite side of the processing space with respect to the target, an auxiliary magnet has a first magnetic pole property along the central axis, being at least partially disposed about the auxiliary magnet is half of the processing space toward the target It does not extend to the area around the chamber wall surrounding the,
Adapted to bias the substrate to control the pedestal to attract plasma ions and resputter the deposited material in the hole to remove deposited material deposited at the bottom of the hole. A tool further comprising a controller.
前記SIPチャンバは、
中心軸周りに配置された側壁と、
前記基板を前記SIPチャンバ内で支持するペデスタルと、
前記中心軸に沿って、前記ペデスタルと対向して配置されたスパッタリングターゲットであって、処理空間が、前記ペデスタルと、前記ターゲットと、前記側壁との間の領域内に形成されているスパッタリングターゲットと、
前記処理空間と対向する、前記ターゲットの側部に配置されたマグネトロンと、
前記中心軸に沿って第1の磁極を有する、前記処理空間の周りに少なくとも部分的に配置された補助磁石とを有する、請求項13に記載のツール。
The SIP chamber is
Side walls arranged around the central axis;
A pedestal that supports the substrate in the SIP chamber;
A sputtering target disposed opposite to the pedestal along the central axis, wherein a processing space is formed in a region between the pedestal, the target, and the sidewall; ,
A magnetron disposed on the side of the target facing the processing space;
14. A tool according to claim 13, comprising an auxiliary magnet having a first magnetic pole along the central axis and disposed at least partially around the processing space.
前記IMPチャンバは、前記基板を支持し、かつ前記基板にバイアスをかけるように適合されたペデスタルを有し、前記ツールは、前記ペデスタルを制御して、前記誘導結合プラズマのイオンを引き付けて堆積材料を再スパッタするように、前記基板にバイアスをかけるように適合されたコントローラを備える、請求項13に記載のツール。   The IMP chamber has a pedestal adapted to support and bias the substrate, and the tool controls the pedestal to attract ions of the inductively coupled plasma to deposit material. 14. A tool according to claim 13, comprising a controller adapted to bias the substrate to resputter. 前記再スパッタリングは、前記ホールの側壁に材料を堆積しながら前記ホールの底部に堆積した堆積材料を除去することを含む、請求項15に記載のツール。   The tool of claim 15, wherein the resputtering includes removing deposited material deposited at the bottom of the hole while depositing material on a sidewall of the hole. 前記SIPチャンバは、タンタルを含むスパッタターゲットを有する、請求項13に記載のツール。   The tool of claim 13, wherein the SIP chamber has a sputter target comprising tantalum. 前記SIPチャンバは、銅を含むスパッタターゲットを有する、請求項13に記載のツール。   The tool of claim 13, wherein the SIP chamber has a sputter target comprising copper. 前記IMPチャンバは、タンタルを含むスパッタターゲットを有する、請求項13に記載のツール。   The tool of claim 13, wherein the IMP chamber has a sputter target comprising tantalum. 前記IMPチャンバは、銅を含むスパッタターゲットを有する、請求項13に記載のツール。   The tool of claim 13, wherein the IMP chamber has a sputter target comprising copper. 前記スロー距離は、前記基板の口径の140%を超える請求項1に記載の方法。   The method of claim 1, wherein the throw distance is greater than 140% of the substrate aperture. 前記スロー距離は、前記基板の口径の140%を超える請求項13に記載のツール。   The tool of claim 13, wherein the throw distance exceeds 140% of the diameter of the substrate.
JP2013082450A 2001-11-14 2013-04-10 Self-ionized and inductively coupled plasmas for sputtering and resputtering Expired - Lifetime JP5960087B2 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US09/993,543 US6610184B2 (en) 2001-11-14 2001-11-14 Magnet array in conjunction with rotating magnetron for plasma sputtering
US09/993,543 2001-11-14
US34260801P 2001-12-21 2001-12-21
US60/342,608 2001-12-21
US10/202,778 2002-07-25
US10/202,778 US20030116427A1 (en) 2001-08-30 2002-07-25 Self-ionized and inductively-coupled plasma for sputtering and resputtering

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003544237A Division JP5296956B2 (en) 2001-11-14 2002-11-14 Method for depositing metal, tool for depositing metal, method for forming wiring, and plasma sputter reactor

Publications (2)

Publication Number Publication Date
JP2013189711A JP2013189711A (en) 2013-09-26
JP5960087B2 true JP5960087B2 (en) 2016-08-02

Family

ID=34468346

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2003544237A Expired - Fee Related JP5296956B2 (en) 2001-11-14 2002-11-14 Method for depositing metal, tool for depositing metal, method for forming wiring, and plasma sputter reactor
JP2010153219A Expired - Lifetime JP5876213B2 (en) 2001-11-14 2010-07-05 Method for forming wiring and plasma sputter reactor
JP2013082450A Expired - Lifetime JP5960087B2 (en) 2001-11-14 2013-04-10 Self-ionized and inductively coupled plasmas for sputtering and resputtering
JP2015125418A Expired - Fee Related JP6336945B2 (en) 2001-11-14 2015-06-23 Self-ionized and inductively coupled plasmas for sputtering and resputtering.

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2003544237A Expired - Fee Related JP5296956B2 (en) 2001-11-14 2002-11-14 Method for depositing metal, tool for depositing metal, method for forming wiring, and plasma sputter reactor
JP2010153219A Expired - Lifetime JP5876213B2 (en) 2001-11-14 2010-07-05 Method for forming wiring and plasma sputter reactor

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2015125418A Expired - Fee Related JP6336945B2 (en) 2001-11-14 2015-06-23 Self-ionized and inductively coupled plasmas for sputtering and resputtering.

Country Status (3)

Country Link
JP (4) JP5296956B2 (en)
KR (4) KR101312690B1 (en)
CN (2) CN1656243B (en)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR101312690B1 (en) * 2001-11-14 2013-09-27 어플라이드 머티어리얼스, 인코포레이티드 Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7901545B2 (en) * 2004-03-26 2011-03-08 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
US20060172536A1 (en) * 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
JP4967354B2 (en) 2006-01-31 2012-07-04 東京エレクトロン株式会社 Seed film formation method, plasma film formation apparatus, and storage medium
US8936702B2 (en) * 2006-03-07 2015-01-20 Micron Technology, Inc. System and method for sputtering a tensile silicon nitride film
JP2008010532A (en) * 2006-06-28 2008-01-17 Sony Corp Manufacturing method of semiconductor device
JP4336739B2 (en) 2007-06-04 2009-09-30 キヤノンアネルバ株式会社 Deposition equipment
CN101447274B (en) * 2008-09-26 2011-05-11 东莞宏威数码机械有限公司 Magnetic circuit mechanism, magnetron sputtering cathode therewith and production method thereof
US9752228B2 (en) * 2009-04-03 2017-09-05 Applied Materials, Inc. Sputtering target for PVD chamber
US8795487B2 (en) * 2010-03-31 2014-08-05 Applied Materials, Inc. Physical vapor deposition chamber with rotating magnet assembly and centrally fed RF power
GB2486941C (en) * 2010-12-08 2014-07-30 Oc Oerlikon Balters Ag Apparatus and method for depositing a layer onto asubstrate
CN102820255A (en) * 2011-06-08 2012-12-12 无锡华润上华半导体有限公司 Method for physics vapor deposition (PVD) film
US9159964B2 (en) 2012-09-25 2015-10-13 Front Edge Technology, Inc. Solid state battery having mismatched battery cells
US8753724B2 (en) * 2012-09-26 2014-06-17 Front Edge Technology Inc. Plasma deposition on a partially formed battery through a mesh screen
US9580795B2 (en) * 2013-03-05 2017-02-28 Applied Materials, Inc. Sputter source for use in a semiconductor process chamber
WO2014187939A1 (en) * 2013-05-23 2014-11-27 Oerlikon Advanced Technologies Ag Method for filling vias and substrate-via filling vacuum processing system
US9779920B2 (en) * 2013-08-14 2017-10-03 Applied Materials, Inc. Sputtering target with backside cooling grooves
CN104593735B (en) * 2013-11-01 2017-10-13 北京北方华创微电子装备有限公司 A kind of shielding construction for reaction chamber
CN103572239B (en) * 2013-11-15 2015-10-28 清华大学 A kind of collimation method improving eccentric rotary magnetic control sputtering system
CN105810545B (en) * 2014-12-30 2017-09-29 中微半导体设备(上海)有限公司 A kind of inductively coupled plasma reactor
US10008739B2 (en) 2015-02-23 2018-06-26 Front Edge Technology, Inc. Solid-state lithium battery with electrolyte
GB201505578D0 (en) 2015-03-31 2015-05-13 Spts Technologies Ltd Method and apparatus for depositing a material
KR20180091948A (en) * 2016-01-06 2018-08-16 어플라이드 머티어리얼스, 인코포레이티드 Systems and methods for shielding features of a workpiece during electrochemical deposition
GB201706284D0 (en) 2017-04-20 2017-06-07 Spts Technologies Ltd A method and apparatus for controlling stress variation in a material layer formed via pulsed DC physical vapour deposition
KR101985830B1 (en) * 2017-05-23 2019-06-05 (주)울텍 physical vapor deposition apparatus
CN110112094B (en) * 2018-02-01 2021-06-04 长鑫存储技术有限公司 Aluminum film low-temperature sputtering method, aluminum conductor layer manufacturing method and structure with aluminum conductor layer
WO2020004619A1 (en) * 2018-06-28 2020-01-02 株式会社アルバック Sputter deposition device
US11257677B2 (en) 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
WO2021245893A1 (en) 2020-06-04 2021-12-09 国立大学法人東北大学 Semiconductor device
FR3130851A1 (en) * 2021-12-21 2023-06-23 Societe Des Ceramiques Techniques Process for producing a sub-stoichiometric oxygen layer of an oxide of titanium, vanadium, tungsten or molybdenum
CN114686831B (en) * 2022-03-11 2023-11-07 中国电子科技集团公司第四十八研究所 Metal self-ionization device for deep hole PVD and film plating method

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JPH04297575A (en) * 1991-03-25 1992-10-21 Bridgestone Corp Thin-film coating method
JP2973058B2 (en) * 1992-07-27 1999-11-08 日本真空技術株式会社 High vacuum / high speed ion processing equipment
JPH06158299A (en) * 1992-11-19 1994-06-07 Hitachi Ltd Method and device for forming thin film and integrated circuit device
US5496455A (en) * 1993-09-16 1996-03-05 Applied Material Sputtering using a plasma-shaping magnet ring
KR970002891A (en) * 1995-06-28 1997-01-28 배순훈 Sputtering device for thin film deposition
US5907220A (en) * 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
JP3847866B2 (en) * 1996-11-21 2006-11-22 株式会社アルバック Sputtering equipment
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
JPH111770A (en) * 1997-06-06 1999-01-06 Anelva Corp Sputtering apparatus and sputtering method
KR20010032498A (en) * 1997-11-26 2001-04-25 조셉 제이. 스위니 Damage-free sculptured coating deposition
JP3500564B2 (en) * 1997-12-19 2004-02-23 富士通株式会社 Method for manufacturing semiconductor device
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
JP3448227B2 (en) * 1998-10-30 2003-09-22 アプライド マテリアルズ インコーポレイテッド Self-sputtering method
US6254745B1 (en) * 1999-02-19 2001-07-03 Tokyo Electron Limited Ionized physical vapor deposition method and apparatus with magnetic bucket and concentric plasma and material source
JP2000332106A (en) * 1999-05-19 2000-11-30 Sony Corp Semiconductor device for its manufacture
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
SG87187A1 (en) * 1999-10-18 2002-03-19 Applied Materials Inc Pvd-imp tungsten and tungsten nitride as a liner, barrier and/or seed layer for tungsten, aluminium and copper applications
US6228236B1 (en) * 1999-10-22 2001-05-08 Applied Materials, Inc. Sputter magnetron having two rotation diameters
US6350353B2 (en) * 1999-11-24 2002-02-26 Applied Materials, Inc. Alternate steps of IMP and sputtering process to improve sidewall coverage
KR100365643B1 (en) * 2000-10-09 2002-12-26 삼성전자 주식회사 Method for forming damascene interconnection of semiconductor device and damascene interconnection fabricated thereby
KR101312690B1 (en) * 2001-11-14 2013-09-27 어플라이드 머티어리얼스, 인코포레이티드 Self-ionized and inductively-coupled plasma for sputtering and resputtering
JP2010153219A (en) * 2008-12-25 2010-07-08 Toyota Motor Corp Fuel cell system

Also Published As

Publication number Publication date
KR20100049710A (en) 2010-05-12
CN1656243B (en) 2010-06-16
KR20100051882A (en) 2010-05-18
CN1656243A (en) 2005-08-17
KR20040065222A (en) 2004-07-21
JP2005510045A (en) 2005-04-14
JP5296956B2 (en) 2013-09-25
JP2010283360A (en) 2010-12-16
KR101312690B1 (en) 2013-09-27
JP2015201662A (en) 2015-11-12
JP5876213B2 (en) 2016-03-02
JP6336945B2 (en) 2018-06-06
CN101847598B (en) 2012-06-20
KR100993046B1 (en) 2010-11-08
JP2013189711A (en) 2013-09-26
KR101179726B1 (en) 2012-09-04
KR20120043163A (en) 2012-05-03
KR101179727B1 (en) 2012-09-04
CN101847598A (en) 2010-09-29

Similar Documents

Publication Publication Date Title
JP6336945B2 (en) Self-ionized and inductively coupled plasmas for sputtering and resputtering.
US8696875B2 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7504006B2 (en) Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6398929B1 (en) Plasma reactor and shields generating self-ionized plasma for sputtering
US20030116427A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7048837B2 (en) End point detection for sputtering and resputtering
US20180327893A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
TWI328258B (en) Aluminum sputtering while biasing wafer
WO2003042424A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140704

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140827

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20141127

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20141202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141217

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150323

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150722

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150901

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151026

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160126

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160226

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160328

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160420

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160523

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160622

R150 Certificate of patent or registration of utility model

Ref document number: 5960087

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term