KR101167893B1 - 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 - Google Patents

주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 Download PDF

Info

Publication number
KR101167893B1
KR101167893B1 KR1020057016642A KR20057016642A KR101167893B1 KR 101167893 B1 KR101167893 B1 KR 101167893B1 KR 1020057016642 A KR1020057016642 A KR 1020057016642A KR 20057016642 A KR20057016642 A KR 20057016642A KR 101167893 B1 KR101167893 B1 KR 101167893B1
Authority
KR
South Korea
Prior art keywords
test object
delete delete
information
scanning
scan
Prior art date
Application number
KR1020057016642A
Other languages
English (en)
Other versions
KR20050119642A (ko
Inventor
로버트 스토너
Original Assignee
지고 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 지고 코포레이션 filed Critical 지고 코포레이션
Publication of KR20050119642A publication Critical patent/KR20050119642A/ko
Application granted granted Critical
Publication of KR101167893B1 publication Critical patent/KR101167893B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02001Interferometers characterised by controlling or generating intrinsic radiation properties
    • G01B9/0201Interferometers characterised by controlling or generating intrinsic radiation properties using temporal phase variation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02056Passive reduction of errors
    • G01B9/02057Passive reduction of errors by using common path configuration, i.e. reference and object path almost entirely overlapping
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02084Processing in the Fourier or frequency domain when not imaged in the frequency domain
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02088Matching signals with a database
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/70Using polarization in the interferometer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Mathematical Physics (AREA)
  • Databases & Information Systems (AREA)
  • Instruments For Measurement Of Length By Optical Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

시험 물체의 제1 표면 위치에 대한 주사 간섭측정 신호로부터 생성 가능한 정보를, 상기 시험 물체의 다수의 모델에 대응하는 정보와 비교하는 단계를 포함하는 방법으로서, 상기 다수의 모델은 상기 시험 물체의 일련의 특성에 의해 파라미터화 되어 있다. 상기 다수의 모델에 대응하는 정보는 상기 시험 물체의 상기 모델 각각에 대응하는 주사 간섭측정 신호의 변환의 적어도 하나의 진폭 성분을 포함한다.
Figure R1020057016642
간섭계, 주사, 간섭측정, 모델, 변환, 표면구조, 프로파일링

Description

주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 {PROFILING COMPLEX SURFACE STRUCTURES USING SCANNING INTERFEROMETRY}
관련출원의 상호 참조
본 출원은 35 U.S.C. 119 (e)에 의한 다음의 미국 가특허출원: "높이 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링(PROFILING COMPLEX SURFACE STRUCTURES USING HEIGHT SCANNING INTERFEROMETRY)"이라는 명칭으로 2003년 3월 6일에 출원된 미국 특허출원 제60/452,615호, "높이 주사 간섭측정으로부터의 신호를 이용한 복합 표면 구조의 프로파일링(PROFILING COMPLEX SURFACE STRUCTURES USING SIGNALS FROM HEIGHT SCANNING INTERFEROMETRY)"이라는 명칭으로 2003년 3월 6일에 출원된 미국 특허출원 제60/452,465호, 및 "간섭 패턴 매칭 템플릿을 이용한 표면 프로파일링(SURFACE PROFILING USING AN INTERFERENCE PATTERN MATCHING TEMPLATE)"이라는 명칭으로 2004년 1월 26일에 출원된 미국 특허출원 제60/539,437호를 기초로 우선권을 주장하며, 이들 모두는 참조에 의해 본 명세서에 통합된다.
본 발명은 박막(들), 이종 물질의 이산 구조, 또는 간섭 현미경의 광학 해상도로 분석되지 않는 이산 구조와 같은, 복합 표면 구조를 갖는 물체의 표면 토포그래피(surface topography) 및/또는 다른 특징을 측정하기 위해 주사 간섭계를 사용하는 것에 관한 것이다. 이러한 측정은 평판 디스플레이 구성요소의 특징화, 반도 체 웨이퍼 도량형(metrology), 그리고 원 위치(in-situ)에서의 박막 및 유사한 재료 분석에 관련된다.
간섭측정 기술은 물체 표면의 프로파일을 측정하기 위해 보통 사용된다. 그렇게 하기 위해, 간섭계는 기준면으로부터 반사된 기준 등위상면(reference wavefronts)과 (관심) 대상면(surface of interest)으로부터 반사된 측정 등위상면을 결합하여, 간섭영상(interferogram)을 생성한다. 간섭영상의 프린지(fringe)는 대상면과 기준면 사이의 공간 변화를 나타낸다.
주사 간섭계는 간섭 등위상면의 코히어런스 길이(coherence length)와 유사하거나 그보다 큰 범위에 걸쳐 간섭계의 기준 구간(reference leg)과 측정 구간(measurement leg) 사이의 광 경로차(optical path length difference, OPD)를 조사하여, 간섭영상을 측정하는데 사용된 각각의 카메라 픽셀에 대해 주사 간섭측정 신호를 생성한다. 예를 들어, 주사 백색광 간섭측정(scanning white light interferometry, SWLI)라고도 불리는 백색 광원을 사용하여 제한된 코히어런스 길이를 생성할 수 있다. 전형적인 주사 백색광 간섭측정(SWLI) 신호는 0(영) 광 경로차(OPD) 위치 가까이에 국부화(localized)된 소수의 프린지이다. 이 신호는 전형적으로 종모양의 프린지 콘트라스트 포락선(bellshaped fringe-contrast envelope)을 갖는 사인 캐리어 변조("프린지")에 특징이 있다. SWLI 계측학의 기초를 이루는 종래의 사상은 표면 프로파일을 측정하기 위해 프린지의 국부화를 사용하는 것이다.
SWLI 처리 기술은 두 가지 원리 경향(principle trend)을 포함한다. 첫 번째 접근법은 포락선의 최고점(peak) 또는 중앙을, 하나의 빔은 물체 표면으로부터 반사하는 것인 두 개의 빔 간섭계의 0 광 경로차(OPD)에 대응하는 위치로 가정하여 배치하는 것이다. 두 번째 접근법은 필연적으로 직선 기울기가 물체 위치에 직접 비례하는 것으로 가정하여 신호를 주파수 도메인으로 변환하고 파장에 따른 위상의 변화율을 계산하는 것이다. 예를 들어, 피터 드 그루트(Peter de Groot)의 미국특허 제5,398,113호를 참조하기 바란다. 이 두 번째 접근법을 주파수 도메인 분석법(Frequency Domain Analysis, FDA)이라고도 한다.
유감스럽게도, 이러한 가정은, 박막 상부면과 그 아래의 막/기판 계면에 의한 반사로 인해, 박막을 가지는 시험 물체에 적용될 때 깨질 수 있다. 최근에 이러한 구조에 대한 방법이 에스. 더블유. 킴(S. W. Kim) 및 지. 에이치. 킴(G. H. Kim)의 미국특허 제6,545,763호에 개시되었다. 이 방법은 박막 구조에 대한 SWLI 신호의 주파수 도메인 위상 프로파일을 다양한 막 두께와 면 높이에 대한 추정된 주파수 도메인 위상 프로파일에 맞춘다. 동시 최적화법(simultaneous optimization)이 보정 막 두께와 면 높이를 결정하였다.
본 발명자들은 주사 간섭측정 신호에 정보가 풍부하고, 그 중 많은 것이 종래의 처리에 있어 무시된다는 것을 알았다. 그런데 박막과 같은 복합 표면 구조는 프린지 콘트라스트 포락선의 최고점 위치를 식별하거나 또는 주파수 도메인 위상 프로파일에 대한 기울기를 계산하는 것에 기초한 종래의 처리 기술에 오류를 일으킬 수 있지만, 여기에 개시된 새로운 처리 기술은 면 높이 정보 및/또는 복합 면 구조에 대한 정보를 추출할 수 있다.
예를 들어, 면 높이 정보가 프린지 콘트라스트 포락선의 최고점과 직접적으로 관련된다고 가정하지 않더라도, 본 발명의 몇몇 실시예는 면 높이의 변화를 기준 주사 위치에 대한 주사 간섭측정 신호로 변화시키지만, 그렇지 않다면 주사 간섭측정 신호의 형상을 보존한다. 따라서, 주사 간섭측정 신호의 형상은 면 높이와 관계없기(독립적) 때문에 복합 표면 구조를 특징짓는 데 특히 유용하다. 유사하게, 주파수 도메인에서, 몇몇 실시예는 주파수 도메인 프로파일 그 자체가 선형이 아닐 수 있더라도 주파수 도메인 위상 프로파일에서 선형 항을 도입하는 면 높이의 변화를 가정한다. 그러나, 면 높이의 변화는 주파수 도메인 진폭 프로파일을 변화시키지 않은 채로 둔다. 따라서, 주파수 도메인 진폭 프로파일은 복합 표면 구조를 특징화 하는 데 특히 유용하다.
복합 표면 구조를 특징화 한 이후에, 면 높이를 효율적으로 결정할 수 있다.
예를 들어, 주사 간섭측정 신호와 복합 표면 구조에 대응하는 형상을 갖는 모델 신호 사이의 교차 상관(cross-correlation)은 면 높이에 대응하는 주사 좌표에서 최고점을 생성할 수 있다. 마찬가지로, 주파수 영역에서, 복합 표면 구조에 기인한 위상 기여분(phase contribution)은 주파수 도메인 위상 파일로부터 감산될 수 있으며, 면 높이는 종래의 FDA 분석법을 사용하여 추출할 수 있다.
복합 표면 구조의 예는 단순한 박막(이 경우에, 예를 들어 중요한 가변 파라미터는 막 두께, 막의 굴절율, 기판의 굴절율 또는 이들의 임의의 조합일 수 있다); 다층 박막; 회절이나 아니면 복합 간섭 효과를 발생시키는 예리한 에지 및 면 특성; 미정의(분석되지 않은) 표면 거칠기; 예를 들어, 다른 매끈한 면 상의 부파장 폭 그루브(sub-wavelength width groove)와 같은 미정의 표면 특성; 이종(異種) 물질(예를 들어, 표면이 박막과 고체 금속의 조합을 포함할 수 있고, 이 경우에 라이브러리는 양쪽의 표면 구조 형태를 포함할 수 있고 대응하는 주파수 도메인 스펙트럼을 대조하여 막 또는 고체 금속을 자동으로 식별할 수 있다); 형광 발광과 같은 광 활동의 근원이 되는 표면 구조; 컬러 및 파장 의존성 반사율과 같은 분광 특성(spectroscopic property); 표면의 편광 의존성 특성; 및 간섭 신호의 섭동(perturbation)을 초래하는 편향, 표면의 진동이나 움직임 또는 변형 가능한 표면 특성을 포함한다.
몇몇 실시예에서, 주사 간섭측정 신호를 생성하기 위해 사용된 광의 제한된 코히어런스 길이는 백색 광원 또는 더욱 일반적으로는 광대역 광원에 기초한다. 다른 실시예에서, 광원은 단색성일 수 있고, 제한된 코히어런스 길이는 시험 물체로 향하는 광 및/또는 시험 물체로부터 수신한 광에 대해 높은 개구수(numerical aperture, NA)를 사용한 결과에 기인할 수 있다. 높은 NA는 광선이 각도 범위 이상으로 시험 표면에 접촉하도록 하고, OPD가 주사될 때 기록된 신호에 있어 상이한 공간 주파수 성분을 생성한다. 또 다른 실시예에서, 제한된 코히어런스는 두가지 효과 모두의 조합에 기인할 수 있다.
제한된 코히런스 길이의 기원은 또한 주사 간섭측정 신호에 정보가 존재하기 위한 물리적인 근거이다. 구체적으로, 주사 간섭측정 신호는 많은 상이한 파장 및/또는 많은 상이한 각도로 시험 표면에 접촉하는 광선에 의해 생성되기 때문에, 복합 표면 구조에 대한 정보를 포함한다.
여기에 기술한 처리 기술에서는, 시험 물체의 제1 표면 위치에 대한 주사 간섭측정 신호(주사 간섭측정 신호 그 자체를 포함)로부터 생성 가능한 정보를, 시험 물체의 복수의 모델에 대응하는 정보와 비교한다. 복수의 모델은 시험 물체의 일련의 특징에 의해 파라미터화 된다. 예를 들어, 시험 물체는 박막으로서 성형될 수 있으며, 일련의 특징은 박막의 두께에 대한 일련의 값일 수 있다. 비교되는 정보는 예를 들어 주파수 도메인 위상 프로파일에 관한 정보를 포함할 수 있는 한편, 주사 간섭측정 데이터의 형상에 관한 정보 및/또는 주파수 도메인 진폭 프로파일에 관한 정보를 포함할 수도 있다. 또한 제1 표면 위치에서의 표면 높이가 아니라 복합 표면 구조에 비교를 집중하기 위해, 다수의 모델은 모두 제1 표면 위치에서 시험 물체의 고정된 표면 높이에 대응할 수 있다. 비교 자체는 실제 주사 간섭측정 신호로부터의 정보와 각각의 모델로부터의 정보 사이의 유사성을 나타내는 메리트 함수(merit function)의 계산에 기초할 수 있다. 예를 들어, 메리트 함수는 주사 간섭측정 데이터로부터 생성 가능한 정보와 일련의 특징에 의해 파라미터화된 함수 사이의 적합성(fit)을 나타낼 수 있다.
또한, 몇몇 실시예에서, 예를 들어 제1 표면 위치의 계면 신호에 기여하는 회절성의 표면 구조를 포함하는, 일련의 특징은 제1 위치와는 다른 제2 위치에서의 시험 물체의 특성에 대응한다. 따라서, 종종 복합 표면 구조를 주사 간섭측정 신호에 대응하는 제1 표면 위치에서의 표면 높이 이외의 다른 어떤 것이라고 하지만, 복합 표면 구조는 주사 간섭측정 신호에 대응하는 제1 표면 위치로부터 떨어져 있는(spaced) 표면 높이 특성에 대응할 수 있다.
여기에 기술하는 방법 및 기술을 반도체 칩의 공정 내(in-process) 도량형(metrology) 측정에 사용할 수 있다. 예를 들어, 주사 간섭계 측정은 웨이퍼 상의 유전층의 화학 기계적 연마(chemical mechanical polishing, CMP) 중에 반도체 웨이퍼의 비접촉 표면 토포그래피 측정에 사용될 수 있다. CMP는 유전층의 표면을 매끄럽게 만들기 위해 사용되며, 정밀 광학 리소그래피에 적합하다.
간섭 형태(interferometric topography)의 결과에 기초하여, CMP의 공정 조건(예를 들어, 패드 압력, 연마 슬러리 구성 등)은 표면 비균일성(non-uniformities)을 용인할 수 있는 한도 내로 유지하기 위해 조정될 수 있다.
이제, 본 발명의 다양한 관점 및 특징을 개괄한다.
일반적으로, 일 관점에서, 본 발명은 시험 물체의 제1 표면 위치에 대한 주사 간섭측정 신호로부터 생성 가능한 정보를 상기 시험 물체의 다수의 모델에 대응하는 정보와 비교하는 단계를 포함하며, 상기 다수의 모델은 상기 시험 물체의 일련의 특성에 의해 파라미터화 되어 있는 방법에 특징이 있다.
본 발명의 실시예들은 다음의 특징 중 어느 것을 포함할 수 있다.
상기 방법은 상기 비교에 기초하여 상기 시험 물체의 정확한 특성(accurate charater)을 결정하는 단계를 더 포함할 수 있다.
상기 방법은 상기 비교에 기초하여 상기 제1 표면 위치의 상대적인 표면 높이를 결정하는 단계를 더 포함할 수 있다. 또한, 상기 상대적인 표면 높이를 결정하는 단계는, 상기 비교에 기초하여 어느 모델이 상기 시험 물체의 특징의 정확한 특성에 대응하는지를 결정하는 단계, 및 상기 상대적인 표면 높이를 계산하기 위해 상기 정확한 특성에 대응하는 모델을 사용하는 단계를 포함할 수 있다.
예를 들어, 상기 정확한 특성에 대응하는 모델을 사용하는 단계는, 상기 정확한 특성으로부터 발생하는 기여분을 감소시키기 위해 상기 주사 간섭측정 신호로부터의 데이터를 보상하는 단계를 포함할 수 있다. 상기 데이터를 보상하는 단계는 상기 정확한 특성으로부터 발생하는 위상 기여분을 상기 시험 물체의 상기 주사 간섭측정 신호의 변환의 위상 성분으로부터 제거하는 단계를 포함할 수 있고, 상기 정확한 특성에 대응하는 모델을 사용하는 단계는 상기 정확한 특성으로부터 발생하는 위상 기여분이 제거된 이후에 상기 변환의 위상 성분으로부터 상기 상대적인 표면 높이를 계산하는 단계를 더 포함할 수 있다.
다른 예에서, 상기 상대적인 표면 높이를 계산하기 위해 상기 정확한 특성에 대응하는 모델을 사용하는 단계는, 상기 시험 물체에 대한 상기 정보와 상기 정확한 특성에 대응하는 모델에 대한 상기 정보를 비교하기 위해 사용된 상관 함수의 최고점의 위치를 결정하는 단계를 포함할 수 있다.
상기 방법은 추가적인 표면 위치에 대한 상기 주사 간섭측정 신호로부터 생성 가능한 정보를 상기 다수의 모델에 대응하는 정보와 비교하는 단계를 더 포함할 수 있다. 또한, 상기 방법은 상기 비교에 기초하여 상기 시험 물체에 대한 표면 높이 프로파일을 결정하는 단계를 더 포함할 수 있다.
상기 비교하는 단계는 상기 주사 간섭측정 신호로부터 생성 가능한 상기 정보와 상기 모델 각각에 대응하는 상기 정보 사이의 유사성을 나타내는 하나 이상의 메리트 함수를 계산하는 단계를 포함할 수 있다.
상기 비교 단계는 상기 주사 간섭측정 신호로부터 생성 가능한 정보를 상기 모델들에 대응하는 상기 정보에 대한 표현(expression)과 맞춰보는(fitting) 단계를 포함할 수 있다.
상기 다수의 모델에 대응하는 정보는 상기 시험 물체의 상기모델 각각에 대응하는 주사 간섭측정 신호의 변환(예를 들어, 푸리에 변환)의 적어도 하나의 진폭 성분에 대한 정보를 포함할 수 있다. 마찬가지로, 상기 주사 간섭측정 신호로부터 생성 가능한 상기 정보는 상기 시험 물체의 상기 주사 간섭측정 신호의 변환의 적어도 하나의 진폭 성분에 대한 정보를 포함한다.
상기 비교하는 단계는 상기 시험 물체의 상기 적어도 하나의 진폭 성분의 상대적인 세기를 상기 모델 각각의 상기 적어도 하나의 진폭 성분의 상대적인 세기와 비교하는 단계를 포함한다.
상기 다수의 모델에 대응하는 상기 정보는 상기 변화에 대한 좌표의 함수일 수 있다. 예를 들어, 상기 다수의 모델에 대응하는 상기 정보는 상기 모델 각각의 상기 변환의 진폭 프로파일을 포함할 수 있다. 또한, 상기 비교하는 단계는 상기 시험 물체의 상기 주사 간섭측정 신호의 변환의 진폭 프로파일을 상기 모델의 진폭 프로파일 각각에 비교하는 단계를 포함할 수 있다.
상기 비교하는 단계는 또한 상기 시험 물체의 상기 주사 간섭측정 신호의 상기 변환의 위상 프로파일 내의 정보를 상기 모델 각각의 상기 변환의 위상 프로파일 내의 정보와 비교하는 단계를 포함할 수 있다. 예를 들어, 상기 프로파일 내의 상기 정보는 상기 변환 좌표에 대한 상기 위상 프로파일의 비선형성에 관한 정보 및/또는 위상 갭 값(phase gap value)에 관한 정보를 포함할 수 있다.
상기 주사 간섭측정 신호로부터 생성 가능한 정보 및 비교되는 정보는 수(number)일 수 있다. 이와는 달리, 상기 주사 간섭측정 신호로부터 생성 가능한 정보 및 비교되는 정보는 함수일 수 있다. 예를 들어, 정보는 주사 위치의 함수 또는 공간 주파수의 함수일 수 있다.
상기 시험 물체에 대한 정보는 상기 시험 물체의 상기 주사 간섭측정 신호를 공간 주파수 도메인으로의 변환(예를 들어, 푸리에 변환)으로부터 생성할 수 있다. 상기 시험 물체에 대한 정보는 상기 변환의 진폭 프로파일 및/또는 상기 변환의 위상 프로파일에 관한 정보를 포함할 수 있다.
상기 시험 물체에 대한 정보는 제1 위치에서의 상기 시험 물체에 대한 상기 주사 간섭측정 신호의 형상에 관련이 있을 수 있다. 예를 들어, 상기 시험 물체에 대한 정보는 상기 주사 간섭측정 신호의 상기 형상의 프린지 콘트라스트 크기(fringe contrast magnitude)에 관련이 있을 수 있다. 상기 시험 물체에 대한 정보는 또한 상기 주사 간섭측정 신호의 상기 형상의 0 교차들(zero-crossings) 사이의 상대적인 거리에 관련이 있을 수 있다. 상기 시험 물체에 대한 정보는 또한 주사 위치의 함수로서 표현될 수 있다. 상기 함수는 상기 주사 간섭측정 신호의 상기 형상으로부터 얻는다.
상기 비교하는 단계는 상기 물체에 대한 상기 정보와 상기 모델 각각에 대한 상기 정보 사이의 상관 함수, 예를 들어 복소 상관 함수(complex correlation function)를 계산하는 단계를 포함할 수 있다. 상기 비교하는 단계는 각각의 상기 상관 함수에서 하나 이상의 최고점 값을 결정하는 단계를 더 포함할 수 있다. 상기 방법은 그 후 상기 최대 최고점 값에 대응하는 상기 모델의 파라미터화에 기초하여 상기 시험 물체에 대한 정확한 특성을 결정하는 단계를 더 포함할 수 있다. 이와는 달리 또는 이에 더해, 상기 방법은 상기 상관 삼수의 적어도 하나의 최고점 값에 대한 좌표에 기초하여, 상기 제1 표면 위치에서의 상기 시험 물체에 대한 상대적인 표면 높이를 결정하는 단계를 더 포함할 수 있다.
상기 복수의 모델은 상기 제1 위치에서의 상기 시험 물체의 고정된 표면 높이에 대응할 수 있다.
상기 일련의 특성은 상기 시험 물체에 대한 적어도 하나의 물리적 파라미터에 대한 일련의 값을 포함할 수 있다. 예를 들어, 상기 시험 물체는 두께가 있는 박막층을 포함할 수 있으며, 상기 물리적 파라미터는 제1 위치에서의 상기 박막의 두께일 수 있다.
상기 일련의 특성은 상기 제1 표면 위치와 상이한 제2 표면 위치에서의 상기 시험 물체의 일련의 특성을 포함할 수 있다. 예를 들어, 상기 시험 물체는 상기 제1 표면 위치에 대한 상기 주사 간섭측정 신호에 기여하도록 광을 회절시키는 상기 제2 표면 위치에서의 구조를 포함할 수 있다. 일례에서, 상기 제2 표면 위치에서의 일련의 특성은 상기 제2 위치에의 계단 높이(step height)와 상기 제2 위치의 지점(position)의 크기의 교환(permutations)을 포함할 수 있다. 다른 예에서, 상기 제2 표면 위치에서의 일련의 특성은 격자(grating)의 변조 깊이와 상기 격자의 오프셋 지점의 치환을 포함할 수 있으며, 상기 격자는 상기 제2 위치를 넘어 확장된다.
상기 일련의 특성은 상기 시험 물체에 대한 일련의 표면 재료일 수 있다.
상기 일련의 특성은 상기 시험 물체에 대한 일련의 표면 층 구성일 수 있다.
상기 주사 간섭측정 신호는 주사 간섭측정 시스템에 의해 생성될 수 있으며, 상기 비교하는 단계는 상기 주사 간섭측정 시스템으로부터 발생되는 상기 주사 간섭측정 신호에 대한 계통적인 기여분(systematic contributions)을 고려하는 단계를 포함할 수 있다. 예를 들어, 상기 계통적인 기여분은 상기 주사 간섭측정 시스템의 구성요소로부터의 반사 상의 위상 변화에 있어서의 분산(dispersion)에 관한 정보를 포함할 수 있다. 또한 상기 방법은 추가적인 표면 위치들에 대한 상기 주사 간섭측정 신호로부터 생성 가능한 정보를 상기 다수의 모델에 대응하는 정보와 비교하는 단계를 또한 포함할 수 있으며, 이 경우에 상기 체계적인 기여분은 상기 표면 위치들에 대해 다수의 것으로 분해될 수 있다. 상기 방법은 기지의 특성을 갖는 다른 시험 물체를 사용하여 상기 주사 간섭측정 시스템의 상기 계통적인 기여분을 교정하는(calibrating) 단계를 더 포함할 수 있다.
상기 주사 간섭측정 신호는, 검출기 상에 기준광과 간섭을 일으키도록 상기 시험 물체로부터 나타나는 시험 광을 결상하는(imaging) 단계, 및 상기 시험광과 간섭광의 간섭 부분들 사이의 상기 공통 소스에서 상기 검출기까지의 광 경로차를 변화시키는 단계에 의해 생성될 수 있고, 상기 시험광 및 상기 기준광은 상기 공통 소스(예들들어, 공간적으로 확장되는 소스)로부터 얻을 수 있으며, 상기 주사 간섭측정 신호는 상기 광 경로차가 변화될 때 상기 검출기에 의해 측정된 간섭 세기에 대응한다.
상기 시험광 및 상기 기준광은, 상기 시험광 및 상기 기준광의 중심 주파수보다 약 5% 큰 스펙트럼 대역폭을 가질 수 있다.
상기 공통 소스는 스펙트럼 코히어런스 길이를 가질 수 있으며, 상기 광 경로차는 상기 주사 간섭측정 신호를 생성하기 위해 상기 스펙트럼 코히어런스 길이보다 큰 범위에 걸쳐 변화된다.
시험 물체 상에 시험 광을 전달하여 상기 검출기에 결상하기 위해 사용된 광학기(optics)는 상기 시험광에 대한 개구수를 약 0.8로 정할 수 있다.
상기 방법은 또한 주사 간섭측정 신호를 생성하는 단계를 더 포함할 수 있다.
다른 관점에 있어, 본 발명은 컴퓨터 내의 프로세서로 하여금, 시험 물체의 제1 표면 위치에 대한 주사 간섭측정 신호로부터 생성 가능한 정보를, 상기 시험 물체의 다수의 모델에 대응하는 정보와 비교하게 하는 프로그램을 구비하는 컴퓨터로 판독 가능한 매체를 포함하는 장치에 특징이 있으며, 상기 다수의 모델은 상기 시험 물체의 일련의 특성에 의해 파라미터화 되어 있다.
상기 장치는 방법과 관련하여 앞서 설명한 임의의 특징을 포함할 수 있다.
또 다른 관점에 있어서, 본 발명은 주사 간섭측정 신호를 생성하도록 구성된 주사 간섭측정 시스템; 및 상기 주사 간섭측정 신호를 수신하도록 상기 주사 간섭측정 시스템에 연결되고 시험 물체의 제1 표면 위치에 대한 주사 간섭측정 신호로부터 생성 가능한 정보를 상기 시험 물체의 다수의 모델에 대응하는 정보와 비교하도록 프로그램된 전자적 프로세서를 포함하는 장치에 특징이 있으며, 상기 다수의 모델은 상기 시험 물체의 일련의 특성에 의해 파라미터화 되어 있다.
상기 장치는 방법과 관련하여 앞서 설명한 임의의 특징을 포함할 수 있다.
일반적으로, 또 다른 관점에 있어서, 본 발명은 시험 물체를 화학 기계적으로 연마하는 단계; 상기 시험 물체의 표면 토포그래피에 대한 주사 간섭측정 데이터를 수집하는 단계; 및 상기 시험 물체를 화학 기계적으로 연마하는 단계의 공정 조건을, 상기 주사 간섭측정 신호로부터 얻은 정보에 기초하여 조정하는 단계를 포함하는 방법에 특징이 있다. 예를 들어 상기 공정 조건은 패드 압력, 및/또는 연마 슬러리 구성 일 수 있다. 바람직한 실시예에서, 상기 주사 간섭측정 신호로부터 얻은 정보 기초하여 상기 공정 조건을 조정하는 단계는, 시험 물체의 적어도 제1 표면 위치에 대한 주사 간섭측정 신호로부터 생성 가능한 정보를 상기 시험 물체의 다수의 모델에 대응하는 정보와 비교하는 단계를 포함할 수 있으며, 상기 다수의 모델은 상기 시험 물체에 대한 일련의 특성에 의해 파라미터화 되어 있다. 상기 주사 간섭측정 신호의 분석은 첫 번째 언급한 방법에서 설명한 임의의 특징을 더 포함할 수 있다.
다르게 규정되지 않는 한, 본 명세서에서의 모든 기술적 및 과학적인 용어는 본 발명이 속하는 기술분야의 당업자가 통상 알고 있는 것과 동일한 의미를 가진다. 참조에 의해 여기에 통합된 전술한 간행물(publication), 특허출원, 특허 및 기타 참조문헌과 충돌되는 경우, 정의들을 포함하여 본 명세서는 관리할 것이다.
도 1은 간섭측정 방법의 흐름도이다.
도 2는 도 1의 간섭측정 방법의 변형예를 나타내는 흐름도이다.
도 3은 리닉 타입(Linnik-type) 주사 간섭계의 개략도이다.
도 4는 미라우 타입(Mirau-type) 주사 간섭계의 개략도이다.
도 5는 대물 렌즈를 통한 시험 샘플의 조명을 나타낸 것이다.
도 6은 두 개의 극한(limit) 내의 주사 간섭측정 데이터에 대한 이론적인 푸리에 진폭 스펙트럼을 나타낸 것이다.
도 7은 박막을 구비하는 것과 박막을 구비하지 않는 것의 두 개의 표면 타입을 나타낸 것이다.
도 8은 박막 두께 0(영)인 Si 기판 상의 Si02 막을 시뮬레이션하기 위한 메리트 함수 검색 절차를 나타낸 것이다.
도 9는 박막 두께 50 nm인 Si 기판 상의 Si02 막을 시뮬레이션하기 위한 메리트 함수 검색 절차를 나타낸 것이다.
도 10은 박막 두께 100 nm인 Si 기판 상의 Si02 막을 시뮬레이션하기 위한 메리트 함수 검색 절차를 나타낸 것이다.
도 11은 박막 두께 300 nm인 Si 기판 상의 Si02 막을 시뮬레이션하기 위한 메리트 함수 검색 절차를 나타낸 것이다.
도 12는 박막 두께 600 nm인 Si 기판 상의 Si02 막을 시뮬레이션하기 위한 메리트 함수 검색 절차를 나타낸 것이다.
도 13은 박막 두께 1200 nm인 Si 기판 상의 Si02 막을 시뮬레이션하기 위한 메리트 함수 검색 절차를 나타낸 것이다.
도 14는 최상부 면을 항상 0(영)으로 하여, 막 두께가 0에서 1500 nm까지 픽셀당 10nm 증분으로 일정하게 변화하는 Si 박막 상의 Si02의 시뮬레이션을 위해 결정된 표면 및 기판 프로파일을 나타낸 것이다.
도 15는 랜덤 노이즈가 부가된 것(평균 128 세기 비트 중에서 2비트 rms)을 제외하고는 도 14와 동일한 시뮬레이션을 위해 결정된 표면 기판 프로파일을 나타낸 것이다.
도 16은 종래의 FDA 분석법을 사용하여 결정된 표면 높이 프로파일(도 16 (a)) 및 실제 최고점 대 최저점(peak-to-valley) 변조 깊이가 120 nm인 mm당 2400 라인 격자에 대한, 여기에 개시된 라이브러리 검색 방법(도 16 (b))을 나타낸 것이다.
도 17은 스텝 높이 가까이 여러 표면 위치에 대응하는 픽셀들의 주사 간섭측정 신호 내의 분석되지 않은 계단 높이(under-resolved step height)에 의해 발생된 왜곡을 나타낸 것이다.
도 18은 도 17의 분석되지 않은 계단 높이의 왼쪽(도 18 (a)) 및 오른쪽(도 18 (b)) 표면 위치에 대응하는 픽셀에 대한 주파수 도메인 위상 스펙트럼 내의 비 선형 왜곡을 나타낸 것이다.
도 19는 종래의 FDA 분석법을 사용하여 결정된 표면 높이 프로파일(도 19 (a)), 및 분석되지 않은 계단 높이에 대한, 여기에 개시된 라이브러리 검색 방법((도 19 (b))를 나타낸 것이다.
도 20은 박막이 없는 베이스 Si 기판의 실제 주사 간섭측정 신호를 나타낸 것이다.
도 21 및 도 22는 베어(bare)Si 기판, 및 Si 상에 1 미크론의 SiO2를 구비하는 박막 구조에 대한 간섭 템플릿 패턴을 각각 나타낸 것이다.
도 23 및 도 24는 메리트 함수를 도 21 및 도 22의 템플릿 함수의 주사 위치의 함수로 각각 나타낸 것이다
상이한 도면들 내의 동일한 도면 부호는 공통된 요소를 가리킨다.
도 1은 주사 간섭측정 데이터의 분석을 공간 주파수 도메인에서 수행하는 본 발명의 일 실시예를 전체적으로 설명하는 흐름도를 나타낸 것이다.
도 1을 참조하면, 시험 물체 표면으로부터 데이터를 측정하기 위해, 간섭계를 사용하여 기준 경로와 측정 경로 사이의 광 경로차(OPD)를 기계적으로 또는 전기 광학적으로 주사한다. 측정 경로는 물체 표면에 똑바로 나아간다. 주사를 시작할 때의 광 경로차는 물체 표면의 국부적인 높이(local height)의 함수이다. 컴퓨터는 물체 표면의 상이한 표면 위치에 대응하는 다수의 카메라 픽셀 각각에 대해 광 경로차를 주사하는 동안에 간섭 세기 신호를 기록한다. 상이한 표면 위치 각각에 대한 광 경로차 주사 위치의 함수인 간섭 세기 신호를 저장한 다음, 컴퓨터는 변환(예를 들어, 푸리에 변환)을 수행하여 그 신호의 주파수 도메인 스펙트럼을 생성한다. 주파수 도메인 스펙트럼은 주사 치수(scanning dimension) 내의 신호의 공간 주파수의 함수로서 크기 및 위상 정보 모두를 포함한다. 예를 들어, 스펙트럼 등을 생성하기 위한 적당한 주파수 도메인 분석법은, 피터 드 그루트(Peter de Groot)가 소유하고 명칭이 "간섭영상의 공간 주파수 분석에 의한 표면 토포그래피를 측정하는 방법 및 장치(Method and Apparatus for Surface Topography Measurements by Spatial-Frequency Analysis of Interferegrams)"인 미국특허 제5,398,113호에 개시되어 있으며, 그 개시 내용 전부는 참조에 의해 본 명세서에 통합된다.
별개의 단계에서, 컴퓨터는 여러 표면 파라미터의 주파수 도메인 스펙트럼 및 간섭계의 모델에 대한 이론적 예측의 라이브러리를 생성한다. 이들 스펙트럼은, 예를 들어 가능한 박막 두께, 표면 재료 및 표면 조직(texture)의 범위에 미친다. 바람직한 실시예에서, 컴퓨터는 일정한 표면 높이(예를 들어, 높이 = 0)에 대한 라이브러리 스펙트럼을 생성한다. 따라서, 이러한 실시예에서, 라이브러리는 표면 토포그래피에 관한 정보를 포함하지 않고, 주파수 도메인 시스템의 특이한 특징들(distinctive features)을 생성할 때의 표면 구조 및 이 표면 구조의 상호작용의 타입, 광학 시스템, 조명 및 검출 시스템에 관련된 정보만을 포함한다. 대안으로, 예측 라이브러리는 샘플 가공품들(sample artifacts)을 사용하여 경험적으로 생성될 수 있다. 다른 대안으로, 예측 라이브러리는 미지의 표면 파라미터의 수를 줄이기 위해, 예를 들어 타원편광 측정기(ellipsometer, 엘립소미터)와 같은 다른 장비에 의해 제공된, 물체 표면의 이전의 추가 측정으로부터의 정보, 및 물체 표면의 알려진 특성에 대해 사용자의 기타 입력을 사용할 수 있다. 라이브러리 생성, 이론적인 모델링, 경험적인 데이터 또는 추가 측정에 의한 강화된(augmented) 이론에 대한 이러한 기술은 무엇이든지, 라이브러리 생성의 일부로서 또는 라이브러리 검색 중에 실시간으로 중간값(intermediate value)을 생성하기 위해 보간(interpolation)에 의해 확장될 수 있다.
다음 단계에서, 라이브러리 검색을 이용하여 실험 데이터를 예측 라이브러리와 비교한다. 막의 두께가 미지인 경우의 예에 있어, 단일 표면 타입(예를 들어, Si 상의 SiO2)의 라이브러리는 최상부 표면 높이를 항상 0으로 하는 많은 가능한 막 두께 범위에 미칠 것이다. 다른 예의 경우는, 조정 가능한 파라미터가 거칠기의 깊이(roughness depth) 및/또는 공간 주파수일 수 있는 표면 거칠기일 것이다. 라이브러리 검색은, 예를 들어 표면의 전체 반사율에 관계되는 크기 스펙트럼의 평균값, 또는 반사된 광의 산란 각도에 관계가 있는 단색성(monochromatic)의 높은 개구수 시스템에서 공간 주파수의 함수로서 크기의 변화와 같은, 표면 높이에 독립한 FDA 스펙트럼의 특성들에 일치(match)하는 것을 찾아낸다(lead).
이 분석은 또한 시스템 특징화를 포함할 수 있다. 시스템 특징화는 이론적인 모델에는 포함될 수 없는 효율, 분산, 및 시스템 등위상면 오차(system wavefront error)와 같은 파라미터들을 결정하도록, 예를 들어 기지의 표면 구조 및 표면 토포그래피를 갖는 하나 이상의 기준 가공품의 측정을 포함한다.
또한, 이 분석은 전체 교정을 포함할 수 있다. 이 교정은, 라이브러리 검색에 의해 결정된 때의 막 두께와 같은 측정된 표면 파라미터들과, 예를 들어 타원편광 측정 분석법에 의해 독립적으로 결정된 때의 이들 파라미터의 값 사이의 교정을 결정하기 위해, 예를 들어 하나 이상의 기준 가공품의 측정을 포함할 수 있다.
실험 데이터와 예측 라이브러리의 비교에 기초하여, 컴퓨터는 최고로 정합하는 것에 대응하는 표면 모델을 식별한다. 그런 다음, 추가적인 분석 또는 데이터 저장을 위해, 표면 파라미터 결과를 수치 또는 그래픽으로 사용자에게 또는 호스트 컴퓨터에 디스플레이 또는 전송할 수 있다. 표면 파라미터 결과를 사용하여, 컴퓨터는 그 후 표면 높이 정보에 부가하여 라이브러리 검색에 의해 식별된 특성들을 결정할 수 있다. 몇몇 실시예에서, 컴퓨터는, 예를 들어 대응하는 이론 위상 스펙트럼을 실험 위상 스펙트럼에서 직접 감산함으로써 보상된 위상 스펙트럼을 생성한다. 그런 다음 컴퓨터는 공간 주파수의 함수인 보상된 위상의 분석에 의해, 예를 들어 선형 맞춤(linear fit)에 의해 생성된 계수들의 분석에 의해, 하나 이상의 표면 지점에 대한 국부적인 표면 높이를 결정한다. 이 후에, 컴퓨터는 라이브러리 검색에 의해 결정된 바와 같은 표면 특성의 그래픽 또는 수치적인 표시와 함께, 높이 데이터와 대응하는 이미지 평면 좌표들로 구성되는 완성된 3차원 이미지를 생성한다.
일부 경우에, 결과를 더욱 향상시키기 위해 라이브러리 검색 및 데이터 수집 을 반복하여 수행할 수 있다. 구체적으로, 라이브러리 검색은 픽셀 단위(pixel-by-pixel) 또는 지역 단위(regional basis)로 세분할 수 있다. 예를 들어, 예비 라이브러리 검색에서 표면이 대략 1미크론의 박막을 가진다는 것을 알았으면, 컴퓨터는 검색을 더욱 세분하기 위해 1미크론에 가까운 예시적인 값의 미세형(fine-grain) 라이브러리를 생성할 수 있다.
다른 실시에에서, 사용자는 표면 높이가 아니라, 예측 라이브러리에 의해 모델링된 표면 특성에만 관심이 있을 수 있고, 이 경우에 표면 높이를 결정하는 단계를 수행하지 않는다. 반대로, 사용자는 예측 라이브러리에 의해 모델링된 표면 특성이 아니라, 표면 높이에만 관심이 있을 수 있고, 이 경우에 컴퓨터는 시험 데이터와 예측 라이브러리 사이의 비교를 사용하여 표면 특성들의 기여분에 대해 실험 데이터를 보상하여, 표면 높이를 더욱 정확하게 결정하지만, 표면 특성들을 명시적으로 결정하거나 표시할 필요는 없다.
이 분석법은 단순한 박막(이 경우에, 예를 들어 중요한 가변 파라미터는 막 두께, 막의 반사율, 기판의 반사율, 또는 이들 몇몇의 조합일 수 있다); 다층 박막; 회절 또는 다른 복합 간섭 효과를 발생시키는 예리한 에지 및 표면 특징; 예를 들어 다른 매끈한 표면상의 파장폭 이하(sub-wavelength width)의 그루브와 같은 미정(unresolved)의 표면 특징; 이종 물질(예를 들어, 표면은 박막과 금속을 포함할 수 있고, 이 경우에 라이브러리는 표면 구조 타입과 대응하는 주파수 도메인 스펙트럼과 대조하여 막 또는 고체 금속을 자동 식별하는 것 둘 다를 포함할 수 있다); 형광 발광과 같은 광 활동; 컬러 및 파장 의존 반사율과 같은 표면의 분광 특 성들; 표면의 편광 의존 특성들; 표면의 휨(deflection), 진동 또는 이동이나 간섭 신호의 섭동을 초래하는 변경 가능한 표면 특징들; 그리고 예를 들어 간섭 세기 데이틀 완전히 포함하지 않는 데이터 수집 영역(data acquisition window)과 같은 데이터 수집 절차에 관련된 데이터 왜곡을 포함하는, 다양한 표면 분석 문제들에 적용될 수 있다.
간섭계는 높은 개구수(NA)의 대물렌즈를 구비한 스펙트럼 협대역(spectrally narrow-band) 광원; 스펙트럽 광대역 광원; 높은 개구수의 대물렌즈와 스펙트럼 광대역 광원의 조합; 간섭 현미경 대물렌즈; 예를 들어 마이켈슨(Michelson), 미라우(Mirau) 또는 리닉(Linnik) 기하(geometry)에 있어 기름/물 담금 및 고체 담금 타입; 다중 파장에서의 일련의 측정;편광되지 않은 광(unpolarized light); 그리고 선형, 원형 또는 조직화된(structured) 것을 포함하는 편광된 광(polarized light과 같은, 특징 중에서 어느 것이든 포함할 수 있다. 예를 들어, 조직화된 편광된 광은 표면 특성에 기인할 수 있는 편광 의존 광학 효과를 밝히기 위해, 예컨대 조명 또는 결상 동공(imaging pupil)의 상이한 세그먼트들에 대해 상이한 편광을 생성하는 편광 마스크(polarization mask)를 포함할 수 있다. 간섭계는 또한 전술한 바와 같이 시스템 전체의 교정을 포함할 수 있다.
이론적인 데이터와 실험적인 데이터를 비교할 때, 라이브러리 검색은 평균 크기와 평균 위상, 평균 크기 자체, 및 평균 위상 자체의 곱 또는 이들간의 차를 포함하는, 주파수 스펙트럼의 크기 및/또는 위상 데이터의 곱 또는 차; 크기 스펙트럽의 기울기, 폭 및/또는 높이;간섭 콘트라스트; DC 또는 0 공간 주파수에서의 주파수 스펙트럼의 데이터; 크기 스펙트럼의 비선형성 또는 형상; 위상의 0 주파수 절편(intercept); 위상 스펙트럼의 비선형성 또는 형상; 및 이들 기준의 임의의 조합 중, 어느 것에 기초할 수 있다. 본 명세서에 사용된 것처럼 크기와 진폭은 상호 교환하여 사용될 수 있는 것에 유의하기 바란다.
도 2는 주사 간섭측정 데이터의 분석에 대한 다른 실시예를 전체적으로 설명하는 흐름도이다. 이 분석 방법은 실험적 데이터와 예측 데이터의 비교가 주사 좌표 영역에서의 정보에 기초하는 것을 제외하고는 도 1에 대해 기술한 것과 유사하다.
실험적인 신호는 주사 좌표에 대한 포락선 함수(envelope function)에 의해 진폭으로 변조된 준주기적 캐리어(quasi-periodic carrier)에 특징이 있을 수 있다. 이론적인 신호와 실험적인 신호를 비교할 때, 라이브러리 검색은 평균 신호 세기; 가우시안과 같은 몇몇 이상적인 또는 기준 형상으로부터의 일탈(deviation)과 같은 신호 포락선의 형상; 포락선 함수에 대한 캐리어 신호의 위상; 0 교차들(zero crossings) 및/또는 신호 최대값들 및 최소값의 상대적인 간격; 최적의 상대적 주사 위치의 조정 후, 라이브러리와 측정된 신호들 사이의 상관의 최고점 값; 및 이들 기준의 임의의 조합 중 어느 것에 기초할 수 있다.
이하에 분석에 대한 상세한 수학적인 설명과 예를 제공한다. 첫 번째, 주사 간섭계의 예를 설명한다. 두 번째, 주사 간섭측정 데이터의 수학적인 모델을 결정한다. 세 번째, 표면의 광 특성을 설명하고, 상이한 표면 특성에 대한 주사 간섭측정 데이터의 정확한 모델을 생성하기 위해 이러한 정보를 사용하는 방법을 설명 한다. 네 번째, 시험 물체에 관한 정보를 제공하기 위해 실험적인 간섭 데이터를 예측 라이브러리와 비교할 수 있는 방법을 설명한다. 처음에, 박막 응용예에 대해 설명하고, 나중에 다른 복합 표면 구조, 특히 광학적으로 분석되지 않은 계단 높이 및 격자 패턴에 대해 설명한다. 또한 처음에는 공간 주파수 도메인에서의 분석에 초점을 맞춰 설명하고, 나중에 주사 좌표 도메인에서의 분석에 대해 설명한다.
도 3은 리닉 타입의 주사 간섭계를 나타낸 것이다. 소스(도시되지 않음)로부터의 조명광(102)은 빔 스플리터(104)에 의해 부분적으로 투과되어 기준광(reference light)(106)을 규정하고, 빔 스플리터(104)에 의해 부분적으로 반사되어 측정광(measurement light)(108)을 규정한다. 측정광은 측정 대물렌즈(110)에 의해 시험 샘플(112)(예를 들어, 단일 박막층 또는 하나 이상의 이종 물질의 다중 박막층을 포함하는 샘플) 상에 집속된다. 유사하게, 기준광은 기준 대물렌즈(114)에 의해 기준 미러(116) 상에 집속된다. 바람직하게는 측정 대물렌즈 및 기준 대물렌즈는 공통된 광 특성들(예를 들어, 정합된 개구수)을 가지고 있다. 시험 샘플(112)로부터 반사(또는 산란 또는 회절)된 측정광은 측정 대물렌즈(110)를 통해 다시 전파되어 빔 스플리터(104)에 의해 투과되고 결상 렌즈(118)에 의해 검출기(120) 상에 결상된다. 마찬가지로, 기준 거울(116)로부터 반사된 기준광은 기준 대물렌즈(114)를 통해 다시 전파되어 빔 스플리터(104)에 의해 반사되고 결상 렌즈(118)에 의해 검출기(120) 상에 결상되며, 거기서 측정광과 간섭을 일으킨다.
간결하도록, 도 3은 측정광과 기준광이 시험 샘플과 기준 미러 상의 특정 지점에 각각 집속하고, 이어서 검출기 상의 대응하는 지점에서 간섭하는 것으로 나 타낸다. 이러한 광은 간섭계의 측정 구간과 기준 구간의 동공면들(pupil planes)에 수직으로 전파하는 조명 광의 부분에 대응한다. 조명광의 다른 부분들은 결국 테스트 샘플과 기준 미러의 다른 부분들을 조명하며, 그런 다음 검출기 상의 대응하는 지점에 결상된다. 도 3에서, 이것은 점선(122)으로 도시되어 있으며, 검출기 상의 대응하는 지점들에 결상되는, 시험 샘플 상의 상이한 지점들에서 나오는 주요 광선들(chief rays)에 대응한다. 주요 광선들은 측정 구간의 동공면(124)의 중앙에서 교차하며, 측정 구간은 측정 대물렌즈(110)의 후방 집속면(back focal plane)이다. 주요 광선의 각도와 상이한 각도로 시험 샘플로부터 나오는 광은 동공면(124)의 상이한 위치에서 교차한다.
바람직한 실시예에서, 검출기(120)는 시험 샘플과 기준 미러 상의 상이한 지점에 대응하는 측정 광과 기준광 사이의 간섭을 독자적으로 측정하기 위한(즉, 간섭 패턴의 공간 해상도를 제공하기 위한) 다수의 소자(예를 들어, 다수의 픽셀)를 갖는 카메라이다.
시험 샘플(112)과 연결된 주사 스테이지(scanning stage)(126)는 도 3에서 주사 좌표(ζ)로 표시한 바와 같이, 측정 대물렌즈(110)에 상대적인 시험 샘플의 위치를 주사한다. 예를 들어, 주사 스테이지는 압전 변환기(piezoelectric transducer, PZT)에 기초할 수 있다. 검출기(120)는 시험 샘플의 상대적인 위치가 주사될 때, 검출기의 하나 이상의 픽셀에서의 광 간섭의 세기를 측정하여, 그 정보를 분석을 위해 컴퓨터(128)에 전송한다.
측정광이 시험 샘플 상에 집속되고 있는 영역(region)에서 주사가 일어나기 때문에, 이 주사는 소스로부터 검출기로 가는 측정광의 광 경로를, 시험 샘플에 입사하는 측정광의 각도와 시험 샘플로부터 나오는 측정광의 각도에 따라 다르게 변화시킨다. 그 결과, 측정광과 기준광의 간섭 부분들 사이의 소스에서 검출기까지의 광 경로차(OPD)는 시험 샘플에 입사하는 측정광의 각도와 시험 샘플로부터 나오는 측정광의 각도에 따라 주사 좌표(ζ)가 다르다. 본 발명의 다른 실시예에서, 측정 대물렌즈(110)에 대한 시험 샘플(112)을 주사하는 대신에 기준 대물렌즈(114)에 대한 기준 미러의 위치를 주사함으로써 동일한 결과를 얻을 수 있다.
주사 좌표(ζ)에 따라 광 경로차(OPD)를 변화시키는 방법에 있어 이러한 차이는 검출기의 각 픽셀에서 측정된 간섭 신호의 제한된 코히어런스 길이를 도입한다.
예를 들어, 주사 좌표의 함수인 간섭 신호는 전형적으로 대략 λ/2(NA)2의 공간 코히어런스 길이를 갖는 포락선에 의해 변조되며, 위에서 λ는 조명광의 명목상(공칭) 파장이고, NA는 측정 대물렌즈 및 기준 대물렌즈의 개구수이다. 이하에 더 설명하는 바와 같이, 간섭 신호의 변조는 시험 샘플의 반사율에 관한 각도 의존 정보를 제공한다. 제한된 공간 코히어런스를 증가시키기 위해, 주사 간섭계의 대물렌즈들은 큰 개구수, 예를 들어 약 0.7 이상(또는 더욱 바람직하게는 약 0.8 이상 또는 약 0.9 이상)으로 정하는 것이 바람직하다. 간섭 신호는 또한 조명 소스의 스펙트럼 대역폭과 연관된 제한된 시간 코이런스 길이에 의해 변조될 수 있다.
간섭계의 구성에 따라서는, 이들 제한된 코히런스 길이 효과들 중 하나 또는 그 나머지가 우위를 차지할 수 있고, 또는 그들 모두가 전체 코히어런스 길이에 실질적으로 기여할 수 있다.
주사 간섭계의 다른 예는 도 4에 도시된 미라우 타입 간섭계이다.
도 4를 참조하면, 소스 모듈(205)은 빔 스플리터(208)에 조명광(206)을 제공하며, 조명광을 미라우 간섭 대물렌즈 조립체(210)에 보낸다. 조립체(210)는 대물렌즈(211), 기준 미러(215)를 규정하는 소규모 중앙부 상에 반사 코팅을 구비하는 기준 평면(reference flat)(212), 및 빔 스플리터(213)를 포함한다. 동작중에, 대물렌즈(211)는 기준 평면(212)을 통해 조명광을 시험 샘플(220)에 집속한다. 빔 스플리터(213)는 집속광(focusing light)의 제1 부분을 기준 거울(215)로 반사하여 기준광(222)을 규정하고, 집속광의 제2 부분을 시험 샘플(220)로 투과하여 측정광(224)을 규정한다. 그런 다음, 빔 스플리터(213)는 시험 샘플(220)로부터 반사된(또는 산란된) 측정광과 기준 미러(215)로부터 반사된 기준광을 재결합시키고, 대물렌즈(211)와 결상 렌즈(230)는 결합된 광을 검출기(예를 들어, 다중 픽셀 카메라)(240) 상에 간섭을 일으키도록 결상한다. 도 3의 시스템에서와 같이, 검출기로부터의 측정 신호(들)은 컴퓨터(도시되지 않음)로 전송된다.
도 4의 실시예에서의 주사는 미라우 간섭 대물렌즈 조립체(210)에 연결된 압전 변환기(PZT)(260)를 포함하며, 이것은 카메라의 각 픽셀에서의 주사 간섭측정 데이터 I(ζ, h)를 제공하기 위해 대물렌즈(211)의 광축을 따라 시험 샘플(220)에 대해 전체로서 조립체(210)를 주사하도록 구성된다. 다르게는, 압전 변환기(PZT)는 압전 변환기 액추에이터(270)로 나타낸 바와 같이, 그 사이의 상대적인 움직임 을 제공하기 위해 조립체(210)가 아니라 시험 샘플에 연결될 수 있다. 또 다른 실시예에서, 주사는 대물렌즈(211)의 광축을 따른 대물렌즈(211)에 대한 기준 미러(215)와 빔 스플리터(213) 중 하나 또는 둘 다의 이동에 의해 제공될 수 있다.
소스 모듈(205)은 공간적으로 확장되는 소스(201), 렌즈(202, 203)로 형성된 망원경, 렌즈(202)의 전방 집속면(렌즈(203)의 후방 집속면과 일치함)에 위치된 조리개(stop)(204)를 포함한다. 이 장치는 공간적으로 확장되는 소스를 미라우 간섭 대물렌즈 조립체(210)의 동공면(245)에 결상하며, 이것이 콜러 결상(Koehler imaging)의 일례이다. 조리개의 크기는 시험 샘플(220) 상의 조명 필드의 크기를 조절한다. 다른 실시예에서, 소스 모듈은 공간적으로 확장되는 소스를 시험 샘플 상에 직접 결상하는 장치를 포함하는데, 이것은 임계 결상으로 알려져 있다. 어떤 형태의 소스 모듈이든 도 1의 리닉 타입의 주사 간섭측정 시스템과 함께 사용될 수 있다.
본 발명의 다른 실시예에서, 주사 간섭측정 시스템은 시험 샘플에 대한 각도-의존 산란 또는 회절 정보, 즉 산란(scatterometry)을 결정하기 위하여 사용될 수 있다. 예를 들어, 주사 간섭측정 시스템은 시험 샘플에 의해 산란 또는 회절될 수 있는, 오직 매우 좁은 입사 각도에 걸친 시험 입사(예를 들어, 실질적으로 수직 입사 또는 그렇지 않을 경우 시준된)로 시험 샘플을 조사하는데 사용될 수 있다. 샘플로부터 나오는 광은 전술한 바와 같이 기준광과 간섭하도록 카메라에 결상된다. 주사 간섭측정 신호의 각 성분의 공간 주파수는 시험 샘플로부터 나오는 시험 광의 각도에 따라 변화할 것이다. 따라서, 수직 주사(즉, 대물렌즈의 광축을 따른 주 사)에 이은 푸리에 변환은 대물렌즈의 후방 집속면을 직접 액세스하거나 결상하지 않고, 나오는 각도의 함수로서 회절 및/또는 산란된 광을 측정할 수 있게 해준다. 실질적으로 수직 입사 조명을 제공하기 위하여, 예를 들어 소스 모듈은, 점 소스(point source)를 동공면 상에 결상하도록, 또는 그렇지 않을 경우 조명광이 측정 대물렌즈의 개구수를 채우는 정도를 감소시키도록 구성될 수 있다.
산란측정 기술(scatterometry technique)은, 광을 더 큰 각도로 회절 및/또는 산란시키는 격자 라인, 에지, 또는 일반 표면의 거칠기와 같은 샘플 표면의 이산 구조를 분석하는데 유용할 수 있다.
여기에서의 많은 분석에 있어, 동공면에서의 광의 편광 상태는 랜덤한 것으로, 즉 s 편광(입사면에 직교함)과 p 편광(입사면에 직교함) 모두와 대략 동일한 양으로 이루어지는 것으로 가정한다. 동공면(예를 들어, 리닉 간섭계의 경우에 측정 대물렌즈의 후방 집속면과, 미라우 간섭계에의 공통 대물렌즈의 후방 집속면)에 위치한 방사 편광자(polarizer)를 이용하여 구현될 수 있는 것과 같은, 순수한 s 편광을 포함하는 다른 편광도 가능하다. 다른 가능한 편광은 방사 p 편광, 원형 편광, 및 타원 편광 측정(ellipsometric measurement)을 위한 변조된(예를 들어, 한 상태가 다른 상태에 뒤따르는 두 상태) 편광을 포함한다. 다시 말해, 시험 샘플의 광 특성은 각도 또는 파장 의존성에 대해서 뿐 아니라, 편광 의존성 또는 선택된 편광에 대해서도 분석될 수 있다. 이러한 정보는 또한 박막 구조 특징화의 정확도를 개선하는데 사용될 수 있다.
이러한 타원 편광 측정을 위하여, 주사 간섭측정 시스템은 동공면 내에 고정 또는 가변 편광자를 포함할 수 있다. 다시 도 4를 참조하면, 미라우-타입의 간섭측정 시스템은, 예를 들어 시험 샘플에 입사하는 광과, 시험 샘플로부터 나오는 광에 대한 원하는 편광을 선택하기 위하여, 동공면 내에 편광 광학기(polarization optic)(280)를 포함한다. 또한, 편광 광학기는 선택된 편광을 변화시키기 위하여 재구성될 수 있다. 편광 광학기는 편광자, 파장판, 어포다이제이션 개구(apodization aperture), 및/또는 주어진 편광을 선택하기 위한 변조 소자를 포함한 하나 이상의 소자를 포함할 수 있다. 또한, 편광 광학기는 타원편광 측정기의 데이터와 유사한 데이터를 생성하기 위하여, 고정, 조직화 또는 재구성될 수 있다. 예를 들어, s 편광에 대해 방사 방향으로 편광된 동공을 사용한 제1 측정은 p 편광에 대해 방사 방향으로 편광된 동공을 수반한다. 다른 예에서, 임의의 원하는 선형 편광 상태를 물체로 향하게 하도록 동공면 내에서 회전할 수 있는, 예를 들어 슬릿이나 쐐기 모양의 선형으로 편광된 광을 갖는 어포다이즈된(apodized) 동공면을 사용할 수 있거나, 또는 액정 디스플레이와 같은 재구성 가능한 스크린을 사용할 수 있다.
또한, 편광 광학기는 (예를 들어, 다중 편광자 또는 공간 변조기를 포함함으로써) 동공면에 걸쳐 가변적인 편광을 제공할 수 있다. 따라서, 예를 들어 얕은 각도보다도 더 큰 입사각도에 대해 다른 편광을 제공함으로써, 공간 주파수에 따라 편광 상태를 "구별(tag)"할 수 있다.
또 다른 실시예에서, 선택 가능한 편광은 편광의 함수로서 위상 이동(phase shift)과 결합될 수 있다. 예를 들어, 선형 편광자를 포함할 수 있는 편광 광학기 는 동공면에 위치하고, 대향하는 사분 동공면에 2개의 파장판(예를 들어, 8개의 파장판)을 수반한다. 선형 편광은 대물렌즈의 입사면에 대해 전 범위의 편광 각도를 초래한다. 예를 들어 s 편광된 광이 주도적으로 고정된 위상 이동을 갖도록 파장판이 정렬된다면, 방사 s 편광된 광 및 p 편광된 광 모두 동시에 제공되지만, 서로에 대해 동위상으로 예를 들어, π(pi)만큼 이동되어, 간섭계가 이들 두 편광 상태 사이의 차이를 기본 신호로서 효과적으로 검출한다.
다른 실시예에서, 편광 광학기는 장치 내의 다른 곳에 위치할 수 있다. 예를 들어, 선형 편광은 시스템 내의 어느 곳에서라도 얻어질 수 있다.
이제 주사 간섭측정 신호의 물리적 모델을 설명한다.
물체 표면은 좌표 x, y로 표시된 영역에 걸쳐 프로파일링하고자 하는 높이 특징 h를 갖는다. 스테이지는 간섭 대물렌즈 또는 도시된 바와 같은 물체 그 자체 중 어느 것이든 원활하고 계속적인 주사(ζ)를 제공한다. 주사하는 동안에, 컴퓨터는 연속하는 카메라 프레임의 이미지 점 또는 카메라 픽셀 각각에 대한 세기 데이터(Iζ, h)를 기록한다. 주사 위치상의 세기(Iζ, h)의 주요 의존성과 표면 높이는 첨자로 나타내며, 명세서 전체에 걸쳐 이 표시법을 사용함에 유의하기 바란다.
광원의 부분적인 코히어런스, 간섭계에서 혼합하는 편광, 높은 개구수의 대물렌즈의 결상 특성, 그리고 큰 입사 각도의 전계 벡터와 불연속 표면 특징의 존재의 상호 작용을 고려한, 광학기의 적절한 물리적 모델은 매우 힘든 것일 수 있다. 편의를 위해, 랜덤한 편광 및 확산, 낮은 코히어런스로 확산되는 소스를 가정함으 로써 모델을 단순화한다. 간섭 신호의 모델링은, 도 5에 도시된 바와 같이, 대물렌즈의 동공면을 통과하고 입사각(ψ)에서 물체 표면으로부터 반사하는 모든 광선 다발(ray bundles)의 기여분을 더하는 것으로 단순화시킨다.
광학 시스템을 통한 단일 광선 다발의 간섭 기여는 다음 식에 비례한다:
Figure 112005049844413-pct00001
위 식에서 Zβ, k는, 예를 들어 빔 스플리터의 효과를 포함하는 유효 물체 세기 반사율이고, Rβ, k는 빔 스플리터와 기준 미러 둘 다를 포함하는 유효 기준 반사율이다. 공기 매질(ambient medium)의 굴절률은 n0이며, 입사각(ψ)에 대한 지향성 코사인(directional cosine)은
Figure 112005049844413-pct00002
이고, 소스 조명의 파수는
Figure 112005049844413-pct00003
이다.
위상의 사인 규정(sign convention)은 위상의 포지티브한 변화에 대응하는 표면 높이의 증가를 초래한다. 위상 항은 물체 표면으로부터의 박막 효과를 포함하는 간섭계 내의 물체 경로에 대한 기여분 ωβ, k와 대물렌즈 내의 기준 미러 및 다른 광학기를 포함하는 기준 경로에 대한 기여분 υβ, k를 가지고 있다.
동공면을 통해 합성된(integrated) 전체 간섭 신호는 다음 식에 비례하고,
Figure 112005049844413-pct00004
위 식에서 Uβ는 동공면의 광 분포이고, Vk는 광 스펙트럼 분포이다. 식 (4)의 가중 인자(weighting factor) β는 투사 각도에 기인하는 cos(ψ) 항을 따르고, 동공면의 폭 ψ인 고리(annulus)의 직경에 대한 sin(ψ) 항은 다음의 식 (5)를 따른다:
Figure 112005049844413-pct00005
여기서, 대물렌즈는 도 5에 도시된 바와 같이 Abbe 사인 조건을 따르는 것으로 가정한다. 이 상당히 단순한 가중치 부가(weighting)는 모든 광선 다발이 서로 독립하는, 불규칙하게 편광되고 공간적으로 비간섭성(incoherent)의 조명에 가능하다. 끝으로 모든 입사각에 걸친 적분 한계는 0 ≤ β ≤ 1을 포함하고, 모든 파수에 걸친 스펙트럼 적분은 0 ≤ k ≤ ∞ 이다.
주파수 도메인 분석법(FDA)에서, 먼저 간섭 세기 신호 Iζ,h의 푸리에 변환을 계산한다. 문자 그대로의(비 수치적인) 분석의 경우, 다음 식 (6)의 비정규화(un-normalized) 푸리에 적분을 사용할 것이다.
Figure 112005049844413-pct00006
위 식에서, K는 공간 주파수이고 예를 들어 ㎛당 복수 사이클이다. 주파수 도메인 값 qK,h는 역 파수(inverse wavenumber)의 단위를 가지고, 예를 들어 ㎛이다. 이로부터 식 (7)의 파워 스펙트럼 및,
Figure 112005049844413-pct00007
식 (8)의 위상 스펙트럼이 온다.
Figure 112005049844413-pct00008
Figure 112005049844413-pct00009
의 이중 프라임은 주사 시작 지점에 대해 픽셀에서 픽셀로 그리고 전체 양쪽에서, 프린지 차원에 이중의 불확실성(two-fold uncertainty)이 존재하는 것을 의미한다. 종래의 FDA는 그런 다음 파워 스펙트럼 QK ,h 에 의해 가중된 위상 스펙트럼
Figure 112005049844413-pct00010
에 선형 맞춤으로 표면 토포그래피의 결정으로 바로 진행된다. 선형 맞춤은 각각의 픽셀에 식 (9)의 기울기와,
Figure 112005049844413-pct00011
식 (10)의 절편을 제공한다.
Figure 112005049844413-pct00012
절편 또는 "위상 갭" A"는 높이 h에 독립하지만, 위상 데이터의 프린지 차수 불확실성으로부터 물려받은 이중 프라임을 가지고 있다는 것에 유의하여야 한다. 기울기 σ는 이 불확실성으로부터 자유롭다. 절면 A"와 기울기 σ로부터, 특정 평균(mean) 또는 명목상의 공간 주파수 K0에 대해 식 (11)의 "코히어런스 프로파일" 과,
Figure 112005049844413-pct00013
식 (12)의 "위상 프로파일"을 규정할 수 있다.
Figure 112005049844413-pct00014
박막 및 이종 물질 효과에 자유로운 완전히 균일하고 균질한 유전체 표면 및 분산이 완전히 균형을 이룬 광학 시스템의 단순 이상적인 경우에, 위상 프로파일과 코히어런스 파일은 식 (13), (14)와 같이 표면 높이에 선형적으로 비례한다:
Figure 112005049844413-pct00015
Figure 112005049844413-pct00016
두 높이의 계산 중에서, 위상에 기초한 높이 값
Figure 112005049844413-pct00017
이 더욱 정확하지만, 단색성 간섭측정의 프린지 차수 특성에서의 불확실성이 있다. 고 해상도의 경우, 이러한 불확실성을 없애기 위해 모호하지는 않지만 덜 정확한 코히어런스에 기초한 높이 값
Figure 112005049844413-pct00018
를 사용하여 최종 값 hθ를 얻을 수 있다.
종래의 FDA는 좀 덜 이상화된 상황에서조차, 간섭 위상
Figure 112005049844413-pct00019
는 여전히 공간 주파수의 선형 함수 가까이에 있다고 가정한다. 하지만, 본 실시예의 경우, 막 두께와 같은 표면 구조의 핵심 파라미터들을 실험적인 데이터와, 고도의 비선형 위상 스펙트럼 및 파워 스펙트럼의 관련된 변조를 포함할 수 있는 이론적인 예측을 비교 하여 결정한다. 이 때문에, 식 (4)의 간섭 신호를 갖는 식 (6)의 푸리에 변환의 정의를 예측되는 FDA 스펙트럼에 대한 다음 식에 결합한다:
Figure 112005049844413-pct00020
계산 효율을 향상시키기 위해, 식 (15)의 삼중 적분의 부분적인 문자 값을 구할 수 있다.
식 (15)의 문자의 분석은 고정된 β 및 k에서 모든 주사 위치 ζ에 걸쳐 개별적인 간섭 신호 gβ,k,ζ,h를 먼저 구하기 위해 적분 순서의 변경으로 시작한다:
Figure 112005049844413-pct00021
식 (17)을 사용하는 보통의 방법으로 gβ,k,ζ,h의 코사인 항을 전개한 후,
Figure 112005049844413-pct00022
ζ에 걸친 내 적분(inner integral)은 식 (18)을 구한다.
Figure 112005049844413-pct00023
위 식에서
Figure 112005049844413-pct00024
Figure 112005049844413-pct00025
를 사용하였다.
δ함수는 인수(argument)의 물리 단위의 역수(inverse)를 가지며, 이 경우에 파수의 역수이다.
이들 δ(델타) 함수는 공간 주파수 K와 곱 βkn0 사이의 등가성을 확인한다. 그러므로 다음 적분에 대한 변수들의 논리적 변화는
Figure 112005049844413-pct00026
Figure 112005049844413-pct00027
이다.
위 식에서
Figure 112005049844413-pct00028
는 공간 주파수 K와 동일한 의미를 갖지만, 자유로운 적분 변수로 사용될 것이다. 식 (18)은
Figure 112005049844413-pct00029
으로 쓸 수 있고, 위 식에서
Figure 112005049844413-pct00030
변수의 변화에 의해, 식 (23)의 R, Z,υ,ω 항의 β 의존성은
Figure 112005049844413-pct00031
와 k에 의존하게 된다는 것에 유의하기 바란다.
다음 단계에서, 먼저
Figure 112005049844413-pct00032
Figure 112005049844413-pct00033
Figure 112005049844413-pct00034
를 기록하며, 위 식에서 H는 식 (28)로 규정된 단위가 없는 헤비사이드 계단 함수(Heaviside step function)이고,
Figure 112005049844413-pct00035
f는 K와 k의 임의 함수(arbitrary function)이다. 식 (25) 내지 식 (27)을 사용하면, 식 (23)은 다음과 같이 된다:
Figure 112005049844413-pct00036
이제 다음의 식
Figure 112005049844413-pct00037
Figure 112005049844413-pct00038
Figure 112005049844413-pct00039
을 사용하여 최종 결과를 얻는다:
Figure 112005049844413-pct00040
적분이 거의 없기 때문에, 식 (33)은 식 (15)의 원래의 삼중 적분보다 계산상 훨씬 더 효율적이다.
몇몇 한정된 경우는 분석적으로 해결하는 데 흥미가 있다. 예를 들어, 위상 기여분 (υK,k - ωK,k) = 0이고, 반사율 R, Z는 입사각 및 파장에 종속하지 않으면, 식 (33)은 식 (34)로 단순화되고,
Figure 112005049844413-pct00041
식 (24)에 규정된 가중 인자 ΓK,k를 포함하는 적분만 처리하면 된다. 이 이상화된 경우는
식 (34)의 두 개의 추가 한정, 즉 높은 개구수의 대물렌즈를 구비한 근단색성 조명(near-monochromatic illumination) 및 낮은 개구수의 광대역 조명에 있어, 계산을 단순화한다.
좁은 스펙트럼 대역폭 kΔ를 갖는 근단색성 광원의 경우, 정규화된 스펙트럼
Figure 112005049844413-pct00042
를 갖는다.
위 식에서, k0는 명목상의 소스 파수이다. 식 (34)의 적분은 이제 다음과 같은 형태가 된다:
Figure 112005049844413-pct00043
Figure 112005049844413-pct00044
UK ,k가 좁은 대역폭 kΔ에 걸쳐 본래 상수라고 가정하면, 다음과 같다:
Figure 112005049844413-pct00045
Figure 112005049844413-pct00046
적분의 계산에서
Figure 112005049844413-pct00047
를 사용하였고, 좁은 대역폭 kΔ≪ k0에서 유효하다. 특히, 스펙트럼의 포지티브한, 0(영)이 아닌 부분은
Figure 112005049844413-pct00048
로 감소한다.
따라서, 좁은 스펙트럼 대역폭 광원의 이러한 특수한 경우에는, 반사율 R, Z이 일정하고 위상 기여분
Figure 112005049844413-pct00049
은 없으며,
Figure 112005049844413-pct00050
이 특수한 경우에, 위상은 표면 높이에 선형적으로 비례하며, 종래의 FDA와 일치한다. 공간 주파수는 또한 지향성 코사인에 직접 대응한다:
Figure 112005049844413-pct00051
따라서, FDA 스펙트럼의 공간 주파수 좌표와 입사각 사이에는 일대일 대응관계가 있다. 또한 푸리에 크기
Figure 112005049844413-pct00052
의 K 가중치가 식 (41)로부터 계산된다는 것에 유의하기 바란다. 이것은 도 6 (a)에 나타낸 스펙트럼 예의 증거이며, 수직 입사에서 시작하여 최대 대물렌즈 개구수(NA)에 의해 부가된 지향성 코사인 한계까지의 범위에 걸쳐 동공면의 완전히 균일한 쌓기(filing)에 대한 이론적인 예측을 나타낸다:
Figure 112005049844413-pct00053
.
두 번째 예로서, 수직 입사에 가까운 지향성 코사인의 좁은 범위 βΔ로 제한된 조명을 갖는 광대역 조명의 경우를 고려한다. 그러면 정규화 동공면 분포는
Figure 112005049844413-pct00054
변수를 변경한 후,
Figure 112005049844413-pct00055
이다.
식 (34)의 유한 적분은 이 경우에 다음의 형태이고,
Figure 112005049844413-pct00056
Figure 112005049844413-pct00057
이것은 다음과 같이 계산된다:
Figure 112005049844413-pct00058
Figure 112005049844413-pct00059
위 식에서는
Figure 112005049844413-pct00060
를 사용하였다.
이 광대역 소스 조명 및 수직 입사에 가까운 경우의 스펙트럼의 포지티브한 0이 아닌 부분은 따라서 다음과 같다:
Figure 112005049844413-pct00061
예를 들어, 명목상 또는 평균 파장 k0에 중심이 있는 가우시안 스펙트럼에 대해 도 6 (b)에 도시된 바와 같이, 이것은 푸리에 크기
Figure 112005049844413-pct00062
가 소스 스펙트럼 분포
Figure 112005049844413-pct00063
에 비례하는 친숙한 결과에 엄밀히 대응한다. 식 (52)는 또한 선형 위상 전개의 가정
Figure 112005049844413-pct00064
에 따르고, 종래의 FDA와 일치한다는 것에 유의하기 바란다.
푸리에 크기
Figure 112005049844413-pct00065
및 위상
Figure 112005049844413-pct00066
이 간섭 세기 Iζ,h의 푸리에 변환으로부터 구해지기 때문에, 그 역변환은 실제 간섭 신호
Figure 112005049844413-pct00067
의 도메인으로 돌아가고,
식 (54)의 적분의 자유 변수인 것을 강조하기 위해 공간 주파수에 관한 한
Figure 112005049844413-pct00068
를 다시 한번 사용하였다. 따라서, 세기 신호를 계산하는 한 방법은 식 (33)으로 푸리에 성분 qK,h를 생성하고 식 (54)를 사용하여 Iζ,h로 변환하는 것이다.
본 모델에서 소스 광의 랜덤한 편광을 가정한다. 하지만 이것이 편광 효과를 무시해야 한다는 것을 의미하는 것은 아니다. 오히려, 위의 계산에서는 조명의 입사면에 의해 규정된 두 개의 직교 편광 상태 s 및 p로부터의 동등하게 가중된 결과의 비간섭성 중첩(incoherent superposition)을 가정한다.
편광에 대해 윗첨자 표기를 사용하면,
Figure 112005049844413-pct00069
따라서, 이 β, k에서 편광되지 않은 광의 평균 위상각은 다음과 같은 것이다:
Figure 112005049844413-pct00070
크기가 두 개의 편광 기여분에 대해 동일하지않는 한, 아주 자주 다음과 같다는 것에 유의하기 바란다:
Figure 112005049844413-pct00071
또한
Figure 112005049844413-pct00072
Figure 112005049844413-pct00073
이 복소 평면(complex plane)에 완전히 평행하지않는 한,
Figure 112005049844413-pct00074
동일한 관찰이 시스템 및 물체 반사율
Figure 112005049844413-pct00075
Figure 112005049844413-pct00076
에 각각 적용하며, 위상이 동일하지않는 한 이들을 직접 가산할 수 없다.
물체 표면 반사율의 계산에서 편광 효과를 적절히 제거한다면, 모델링은 상당히 간단해지며, 더욱 완전한 편광된 광의 보다 흥미있는 경우를 취급할 수 있을 정도로 융통성이 있다.
다음 단계는 소프트웨어 개발을 고려하여, 이산된 수식들(discrete numerical formulas)로 옮기는 것이다. 이산 푸리에 변환을 사용하여 간섭 신호 Iζ,h 와 푸리에 스펙트럼 사이의 관계를 다음과 같이 다시 정의하며,
Figure 112005049844413-pct00077
Figure 112005049844413-pct00078
위 식에서,
Figure 112005049844413-pct00079
Figure 112005049844413-pct00080
의 복소 공액(complex conjugate)이고, 간섭 신호 Iζ,h 에는 N개의 이산 샘플이 있다. 식 (60) 및 이하에서, 식의 유도에 있어서는 중요하였지만 공간 주파수 K를 대신할 때에는 더 이상 필요하지 않은 자유 변수
Figure 112005049844413-pct00081
의 사용을 유보한다. 그러면 예측된 포지티브 주파수 FDA 복소 스펙트럼(complex spectrum)은
Figure 112005049844413-pct00082
이고,
위 식에서 정규화된 높이 의존 계수는
Figure 112005049844413-pct00083
Figure 112005049844413-pct00084
이며,
위 식에서 적분 범위의 정규화는
Figure 112005049844413-pct00085
이다.
식 (62)의 헤비사이드 계단 함수 H는 합(sum)에 대한 불필요한 기여를 방지한다. 가중 인자 ΓK,k는 식 (24)에 정의된 바와 같다.
실험과 이론을 비교하기 위해, 식 (61)을 사용하여 실험적인 FDA 스펙트럼을 생성하고, 식 (62)를 사용하여 Iζ,h의 이론적인 예측의 공간 도메인으로 되돌리는 변환을 수행한다. 이것은 고속 푸리에 변환(fast Fourier transforms, FFT)에 의해 가장 효율적으로 수행된다.
FFT의 특성은 K 값의 범위를 결정한다. Iζ,h에 대해 N개의 이산 샘플이 증분 ζstep만큼씩 간격을 두고 있다면, 0에서 시작하고 증분
Figure 112005049844413-pct00086
만큼씩 간격이 있는 데이터 트레이스마다(per data trace) N/2 사이클씩 증가하는 N/2 +1 포지티브 공간 주파수가 있을 것이다.
주파수 영역에서의 위상 연속화(phase unwrapping)를 촉진하기 위해, 주사의 0점 위치를 신호 최고점 근처에 있도록 조절하여, 주파수 영역에서의 위상 기울기를 감소시킨다. FFT는 항상 주사 시에 첫 번째 데이터 점을 0으로 가정하기 때문에, 신호는 적절히 오프셋 되어야 한다.
이제 박막이 있는 샘플 표면의 모델링에 초점을 맞춘다.
도 7은 박막 유무의 두 표면 타입을 나타낸 것이다. 두 경우 모두, 식 (66)에 따라 유효 진폭 반사율 Zβ,k를 규정하며,
Figure 112005049844413-pct00087
위 식에서, Zβ,k는 세기 반사율이고 ωβ,k는 반사에서의 위상 변화이다.
아래첨자 β, k는 조명의 지향성 코사인
Figure 112005049844413-pct00088
에 대한 의존성을 강조하며,
위 식에서 λ는 광원의 파장이다. 아래첨자 β는 첫 번째 입사 지향성 코사인 β0를 가리키는 것을 알 것이다.
표면은 그 굴절률에 부분적으로 특징이 있다. 주위 매질(일반적으로 대기)의 굴절률은 n0이다. 도 7 (a)의 단순한 표면의 경우, 하나의 굴절률 n1만이 존재한다. 도 7 (b)의 박막의 경우, 두 개의 표면 굴절이 존재하며, n1은 투명 또는 부분적으로 투명한 박에 대한 것이고, n2는 기판에 대한 것이다. 가장 일반적으로, 이들 굴절율은 실수부와 허수부로 특성이 기술되는 복소수이다. 예를 들어, 전형적인 굴절률, 예를 들어λ = 550 nm인 크롬의 경우, n1 = 3.18 + 4.41i 이며, 여기서는 허수부가 포지티브한 것으로 정한 규정을 채택하고 있다.
물질의 굴절률은 파장에 따라 달라진다. 굴절율 n0의 공기에서의 분산은 아주 중요하지 않지만, 많은 샘플의 표면, 특히 금속에 있어서는 중요하다. 작은 파장 이상으로 명목상의 k0 가까이 변화하면, 대부분의 물질은 파수에 대해 거의 선형에 가까운 의존성이 있으므로,
Figure 112005049844413-pct00089
과 같이 쓸 수 있으며,
위 식에서,
Figure 112005049844413-pct00090
,
Figure 112005049844413-pct00091
는 명목상 파수 k0에서의 굴절률 n1인 경우에 각각 절편과 기울기이다.
굴절률에 대해 공통적으로 가장 많이 사용는 것은 스넬의 법칙(Snell's law)이다. 도 7 (b)를참조하면 필름 내부의 굴절된 빔 각도는
Figure 112005049844413-pct00092
이고,
위 식에서 ψ0는 굴절률 n0인 매질에서 굴절률 n1인 매질의 상부면에 입사하는 각도이고, ψ1,β,k는 굴절 각도이다.
굴절률이 부분적으로 사라지는 전파(evanescent propagation)를 나타내면, 이 각도들을 복소값에서 취하는 것이 가능하다.
두 매질 사이의 경계의 복소 진폭 반사율은 편광, 파장, 입사각 및 굴절율에 따라 달라진다. 도 7 (b)의 막의 상부면의 s 및 p 편광 반사율은 프레넬 식(Fresnel formulae)
Figure 112005049844413-pct00093
Figure 112005049844413-pct00094
으로 주어진다.
β,k에 대한 의존성은 각도 ψ0및 ψ1,β,k에 기인하며, 출사각 ψ1,β,k은 굴절률 n1 ,k를 통해 k 의존성을 도입한다. 유사하게, 기판-막 계면 반사율은
Figure 112005049844413-pct00095
Figure 112005049844413-pct00096
이다.
프레넬 방정식에서 입사각과 굴절각이 같으면 두 편광에 대한 반사율이 0이된다는 것에 유의하기 바란다.
박막이 없는 단순한 샘플의 경우, 샘플 표면 반사율은 상부면 반사율과 동일하다.
Figure 112005049844413-pct00097
따라서, 표면 반사에 의해 유발되는 반사에 다른 위상 변화(phase change on reflection, PCOR)는
Figure 112005049844413-pct00098
경계조건을 충족시키기 위해, 반사 시에 s 편광은 "움직이는(flip)"(= 유전에 대한 π 위상 이동)데 반해, p 편광은 그렇지 않다는 것에 유의하여야 한다.
프레넬 방정식에서 0에 의해 분할을 초래하는 어떠한 경우든, 편광 상태 사이의 구별은 정확하게 수직 입사에서는 의미가 없게 되지만, 다른 식은 이를 경우를 제한하는 것으로 처리한다.
굴절율의 복소부에 덧셈 기호(plus sign) 규정을 사용할 때, 흡수(복소부)가 클수록 PCOR ωβ,k가 크다. 다시 말해, 더 큰 흡수계수는 유효 표면 높이의 감소와 동등하다. 이것은 직관을 낳으며, 바로 경계에서의 완전한 반사와 투과가 아니라, 반사 이전에 광 빔의 물질에의 침투로서의 흡수를 가정한다.
표면 높이의 증가가 기준면과 측정면 사이의 위상차의 포지티브한 변화에 대응하는 다음의 일반적인 약속에서, 포지티브 표면 PCOR은 간섭계 위상으로부터 감산된다.
박막은 평행판 반사의 특수한 경우이다. 상부면을 통과하는 광은 부분적으로 반사되고(도 7 참조), 제1 반사에 대해 위상 지연을 갖는 제2 반사가 일어나는 기판면으로 계속한다. 하지만, 이것이 끝이 아니다. 기판으로부터 반사된 광은 상부면을 다시 통과할 때 다시 한번 부분적으로 반사되어, 다시 기판으로 향하는 추가적인 반사 빔으로 귀착된다. 이것은 바로 전의 것보다 약간 더 약한 각각의 부가적인 반사로 이론상 영원히 계속된다. 이런 모든 다중 반사가 잔존하여 최종 표면 반사율에 기여하는 것으로 가정하면, 무한 급수는
Figure 112005049844413-pct00099
Figure 112005049844413-pct00100
로 구할 수 있다.
설명한 바와 같이, βi,β,k의 의존성은 굴절률 n0인 공기 매질에서의 입사 지향성 코사인 β0에 대한 의존성을 가리킨다. 동일한 식 (77)을 대응하는 단일 표면 반사율을 갖는 편광 상태 모두에 적용할 수 있다.
이들 방정식의 조사는 왜 종래의 FDA 처리가 박막의 존재에서 실패하였는지를 보여준다. 종래의 FDA는, 푸리에 공간 주파수 확산을 생성하기 위해 광대역 (백색)광을 사용하는, 푸리에 파워 스펙트럼에 의해 가중된 푸리에 위상 스펙트럼에 대한 선형 맞춤으로 표면 높이를 결정한다. 이 사상은, 위상 전개가 표면 높이에 대한 예상된 선형 위상 의존성으로부터 온다는 것이다. 표면 특성에 관련된 임의의 다른 일정한 오프셋 또는 선형 계수들(예를 들어, "분산")은, 시스템 특징화 또는 전계 위치(field position)에 의해 변하지 않는 위상 기여분을 단순히 무시함으로써 제거된다.
이러한 작업은 단순한 표면에 대해서는 더할 나위 없이 좋다. 편광되지 않은 광, 그리고 대부분이 환형 편광된 광에 있어서, PCOR의 파장 의존성은 주어진 물질에 대한 파수 및 상수에 대해 선형에 가깝다. 하지만, 박막의 존재로, 종래의 분석법은 좌절된다. 위상은 비선형이 되고, 위상 기울기는 막 두께에 민감해지며, 시야 전체에 걸쳐 변화하고 있을 수 있다. 따라서, 본 분석법은, 예를 들어 박막이 표면의 반사율을 어떻게 변화시키는지에 대한 지식을 사용하여, 막 두께와 같은 표면 구조의 핵심 파라미터들을 실험적인 데이터와 이론적인 예측을 비교함으로써 결정한다.
이제 실험적인 데이터를, 막 두께와 반사에 대한 위상 변화(PCOR)와 같은 표면 구조 파라미터들을 제공하는 이론적인 예측의 라이브러리와 비교하는 방법을 설명한다. 막의 두께가 미지인 경우에, 단일 표면 타입(예를 들어, Si 상의 SiO2)에 대한 라이브러리는 많은 가능한 막 두께에 걸쳐 범위를 정할 것이다. 주파수 도메인 실시예에서, 아이디어는, 예를 들어 박막 간섭 효과에 기인한 크기 스펙트럼에 대해 특이한 구조와 같은, 표면 토포그래피에 독립한 FDA 스펙트럼의 특징들과 대조하기 위해 이 라이브러리를 검색하는 것이다. 그런 다음 컴퓨터는 라이브러리 스펙트럼을 사용하여 FDA 데이터를 보상하고, 더욱 정확한 표면 토포그래피 맵을 허용한다.
일 실시예에서, 라이브러리는 표면 구조에 대한 견본 FDA 스펙트럼을 포함하며, 각각의 스펙트럼은 공간 주파수 K의 함수로서 푸리에 계수를 나타내는 일련의 복소 계수 ρk를 제공한다. 이 스펙트럼들은 간섭계의 광 경로의 주사ζ 중에 수집된 세기 데이터 Iζ,h의 푸리에 변환이다. 공간 주파수 K는 소스 광 스펙트럼의 세그먼트, 공기 매질의 굴절률 n0, 및 지향성 코사인 β = cos(ψ)에 대한 각 파수 (angular wavenumber) k = 2π/λ에 비례하며, ψ는 물체 표면으로 전달되는 광선 다발의 입사각이다:
Figure 112005049844413-pct00101
예측 라이브러리의 ρk 계수는 표면 높이 외에, FDA 스펙트럼의 외양(appearance)에 영향을 줄 수 있는 표면의 광학 특성을 포함한다.
FDA 스펙트럼의 예측은 입사각 ψ와 소스 광의 각 파수 k의 범위에 걸쳐 광선 다발의 비간섭성 합을 나타내는 적분을 포함한다. 전술한 바와 같이, 수치적인 적분은 인자 ΓK,k 에 의해 가중된 N개의 각 파수 k에 걸친, 계산상 효율적인 단일 합으로 감소할 수 있다:
Figure 112005049844413-pct00102
Figure 112005049844413-pct00103
가중 인자는
Figure 112005049844413-pct00104
이고, 위 식에서 Vk는 소스 스펙트럼이고, UK,k는 동공면의 광 분포이다. 대응하는 정규화(normalization)
Figure 112005049844413-pct00105
는 가중 인자의 전체 공간 주파수 전체의 합이다:
Figure 112005049844413-pct00106
위 식에서,
Figure 112005049844413-pct00107
은 간단하게 규정되는 정규화이고, H는 헤비사이드 계단 함수이다.
특히 박막에 있어, 물체 표면 구조의 고유한 특성은 앞서 상세하게 설명한 바와 같이 물체 경로 위상 ωK,k 및 반사율 ZK ,k로 스펙트럼 ρk로 들어가는 것이다. 마찬가지로 중요한 것은 주사 간섭계 자체에 의존하는 기준 경로 위상 υK,k 및 반사율 RK ,k이다. 이러한 인자들은 주사 간섭계를 이론적으로 모델링함으로써 또는 기지의 특성을 갖는 시험 샘플로 그것을 교정함으로써 결정할 수 있으며, 이하에 더욱 자세히 설명한다.
박막에 대한 전형적인 예측 라이브러리는 막 두께 L로 색인된 일련의 스펙트럼 ρk이다. 기억된 스펙트럼은 보통 256개 프레임 세기 데이터 수집에서 15개 또는 16개인 좁은 공간 주파수 관심 영역(region of interest, ROI)에만 미치며, 나머지 이 관심 영역 밖의 값은 0이다. 관심 영역의 한계는 공간 주파수의 정의로부터 나온다:
Figure 112005049844413-pct00108
Figure 112005049844413-pct00109
100X 미라우 대물랜즈 및 좁은 대역폭, 500 nm 광원에 기초한 주사 간섭계의 전형적인 공간 주파수의 범위는 2.7㎛-1 내지 4.0㎛- 1 이다. 계산상의 효율을 위해, 식 (80) 내지 식 (83)을 사용하여 각 픽셀에 대해 몇 번의 재계산을 포함하는 분석적인 검색 루틴 대신에, 샘플 스펙트럼 사이에 0.5 내지 5nm로 인덱스된 조밀한 룩업 테이블을 사용할 수 있다.
라이브러리 검색은 다음의 단계를 포함한다: (1) 특정 표면 타입에 대응하는 라이브러리로부터 예측된 FDA 스펙트럼을 선택하고, (2) 메리트 함수를 사용하여 이 스펙트럼이 실험적인 데이터에 얼마나 근접하게 일치하는지를 계산한 다음, (3) 어느 스펙트럼이 최고로 일치하는지를 결정하기 위해 수 개 또는 모든 라이브러리 데이터 세트에 대해 반복한다. 박막, 이종 재료, 단 구조, 거칠기 및 간섭계의 광학 시스템과의 상호 작용과 같은 표면 특성에 고유하게 관련 있는, 주파수 도메인 내의 "서명(signature)"을 찾는 것이다. 따라서, 이 비교는 공간 주파수로 위상의 선형 변화율을 명백하게 제거하는데, 이것은 표면 토포그래피에 따라 직접 변화하는 FDA 스펙트럼의 하나의 특성이고 따라서 라이브러리 검색과는 무관하다.
스펙트럼을 비교할 대, 메리트 계산에 대한 위상과 크기의 기여분을 분리하는 것이 유리하다. 따라서 이론상
Figure 112005049844413-pct00110
Figure 112005049844413-pct00111
위 식에서 connectK는 φK,h의 공간 주파수 의존성에서 2-π 계단(steps)을 제거한 함수이다. 실험적인 데이터의 경우,
Figure 112005049844413-pct00112
Figure 112005049844413-pct00113
Figure 112005049844413-pct00114
의 이중 프라임은
주사 시의 시작점에 대한 픽셀 대 픽셀, 및 전체 둘 다로부터의 프린지 차수(fringe order)의 불확실성을 나타낸다. 실험적인 데이터는 국부적인 표면 높이에 대한 기울기 항을 반드시 포함하는데, 이것이 ρ심볼 대신에 q 심볼을 사용하는 이유이다.
실험적인 표면 파라미터의 특정 세트에서, 위상차
Figure 112005049844413-pct00115
를 계산할 수 있다.
위상차
Figure 112005049844413-pct00116
는 보상된 FDA 위상이며, 실험적인 파라미터가 정확하다고 가정한다. 실험에 대한 이론의 양호한 일치는 원칙적으로 절편이 0인(즉, 위상 갭 0) 공간 주파수 K의 단순 선형 함수인 위상
Figure 112005049844413-pct00117
를 초래한다. 따라서, 잘 보상된 위상
Figure 112005049844413-pct00118
은 결국 종래의 FDA 분석에 다운스트림을 공급해야 하는 것이고, 주파수 공간에서의 위상의 기울기는 표면 높이에 직접 비례한다.
앞 단락에서의 관찰에 기초하면, 보상된 위상
Figure 112005049844413-pct00119
에는 표면 높이에 독립한 실험에 대한 이론의 일치를 평가할 수 있도록 해주는 두 가지 중요한 특성이 있다. 그 첫 번째는 위상 갭 A" 또는 선형 맞춤으로 얻은 K = 0 절편 값
Figure 112005049844413-pct00120
이고, 두 번째는 선형 맞춤 후의 파수에 대한 잔류 비선형성(residual nonlinearity)이다. 대응하는 메리트 함수는, 예를 들어
Figure 112005049844413-pct00121
Figure 112005049844413-pct00122
이고, σh는 보상된 위상
Figure 112005049844413-pct00123
에 대한 (가중된 크기) 선형 맞춤의 기울기이다. 식 (91_에서 둥근 ( ) 함수는 위상 갭 A"를 ±π 범위로 제한한다.
비록 위상 정보만을 사용하여, 즉 메리트 함수의 값
Figure 112005049844413-pct00124
및/또는
Figure 112005049844413-pct00125
중 하나 또는 둘 다를 최소화함으로써, 라이브러리 검색을 할 수 있지만, 또한 푸리에 크기에 중요하고 유용한 서명을 가지고 있다. 이 크기는 특히 표면 높이에 비간섭적으로 독립하는 점에서 특히 흥미롭다. 따라서, 예를 들어 위상 메리트들(phase merits)을 사용하여 근사 유추법으로 다음의 크기 메리트 함수
Figure 112005049844413-pct00126
Figure 112005049844413-pct00127
를 규정할 수 있으며,
위 식에서 Ω는 경험적인 스케일링 인자(scaling factor) 이다:
Figure 112005049844413-pct00128
메리트
Figure 112005049844413-pct00129
는 파수 의존성에 독립한, 물체의 전체 반사율에 가장 근사하게 관계되는 데 반해,
Figure 112005049844413-pct00130
는 형상에 있어 이론적인 크기 선도(plot)와 실험적인 선도가 얼마나 잘 일치하는지를 나타낸다.
크기 메리트 함수
Figure 112005049844413-pct00131
및/또는
Figure 112005049844413-pct00132
는 위상 메리트
Figure 112005049844413-pct00133
및/또는
Figure 112005049844413-pct00134
에 더ㅎ하거나, 이를 대신하기도 한다. 일반적인 라이브러리 검색 메리는 함수는 따라서
Figure 112005049844413-pct00135
이고, 위 식에서 w는 가중 인자이다. 원칙적으로, 여러 파라미터에 대한 표준 편차를 아는 식 (96)에서 가중치를 결정할 수 있다. 좀 더 경험적인 접근법은 실제 및 시뮬레이팅된 데이터에 대해 다양한 가중치를 시험하여 그것들이 잘 작동하는지를 보는 것이다. 다음의 예에서는, 모든 메리트 기여분에 대해 동등한 가중 치
Figure 112005049844413-pct00136
를 선택한다.
도 8 내지 도 13의 예는 6개의 Si 상의 SiO2의 막 두께 0, 50, 100, 300, 600, 및 1200 nm 각각에 대한 메리트 함수 검색 절차를 나타낸 것이다. 모든 예에 대한 단일 라이브러리가 2 nm 간격으로 0 내지 1500 nm 범위를 포함한다. 데이터는 노이즈 없는 시뮬레이션이다. 여기의 모든 실시예에서 설명하는 바와 같이, 주사 간격(step)은 40 nm, 소스 파장은 498 nm, 그리고 소스 가우시안 FWHM은 30 nm이다(준 단색성).
이 시뮬레이팅된 검색의 가장 흥미로운 점은 4개의 메리트 함수의 작용이다. 일반적으로, 이 4개의 함수를 포함하는 것이 최종 메리트 값의 모호성을 감소시키는 것을 돕고, 막 두께의 함수인 개별 메리트 값에 강한 주기성이 있다는 것을 알았다. 다른 일반적인 관찰은, 위상 및 크기 모두에 있어 비선형성에 기초한 메리트는 30 nm 이상에서 가장 효과적이고, 반면에 위상 갭과 평균 크기는 30 nm 이하의 막 두께에서 두드러진다는 것이다. 이것은
Figure 112005049844413-pct00137
,
Figure 112005049844413-pct00138
메리트 함수가 실제 박막에 특히 유용하고, 시스템 특징화에 중요한 위치를 차지하며, 위상 갭 및 크기 결과 직접 결합한다는 것을 보여준다.
먼저 박막 두께( 또는 물질 식별 또는 알고리즘에 대한 다른 용도)를 결정하고, FDA 처리는 일반적인 방식으로 진행하지만, 원래의 실험적인 위상 데이터 대신에 보정된 FDA 위상
Figure 112005049844413-pct00139
를 사용한다. 원칙적으로, 모델링이 성공적이면,
Figure 112005049844413-pct00140
는 비선형성에 무관하여야 하고 위상 갭은 0이어야 한다. 따라서, 다음 단계는 위상 스 펙트럼
Figure 112005049844413-pct00141
에 대한 선형 맞춤이다. 이것은 제곱된 크기 대신에 크기 스펙트럼 PK를 사용하기 위해 고 개구수 FDA에 더욱 효과적인 것으로 보인다. 선형 맞춤은 각 픽셀에 대한 기울기
Figure 112005049844413-pct00142
와, 절편(위상 갭)
Figure 112005049844413-pct00143
을 제공한다.
위상 갭 A"는 위상 데이터의 프린지 차수 불확실성으로부터 물려받은 이중 프라임을 가지고 있다는 것에 유의하여야 한다. 기울기 σh는 이 불확실성과 무관한다. 절편 A" 및 기울기 σh로부터, 특정 평균 또는 명목상의 공간 주파수 K0에 대한 "코히어런스 프로파일"
Figure 112005049844413-pct00144
과, "위상 프로파일"
Figure 112005049844413-pct00145
을 규정한다.
그런 다음, 위상
Figure 112005049844413-pct00146
에서 픽셀 대 픽셀 프린지 차수 불확실성을 제거한다:
Figure 112005049844413-pct00147
위 식에서 α'는 픽셀 대 픽셀 계단(steps)에 무관한 원래의 위상 갭 A"에 대한 근삿값이다.
끝으로, 높이 프로파일은
Figure 112005049844413-pct00148
이 된다.
보상된 위상
Figure 112005049844413-pct00149
을 생성할 때 이미 감산하였기 때문에, 위상 오프셋
Figure 112005049844413-pct00150
를 감산할 필요가 없다는 것에 유의하여야 한다.
표면 토포그래피 측정의 제1 예(도 14)Z는 순수한 시뮬레이션이다. 표면 토포그래피는 어느 곳이든 0이지만, 10 nm 증분으로 0에서 1500 nm까지 증가하는 아래의 막 층이 존재한다. 도 8 내지 도 13의 동일한 예측 라이브러리를 사용하여, 이 시험은 완전하지만 노이즈가 없는 예측 라이브러리의 범위 전역에 걸친 막 두께의 명백한 결정을 설명한다.
다음 예(도 15)도 또한 시뮬레이션이지만, 노이즈를 첨가한 것이다. 랜덤한 추가 노이즈는 평균 128 세기 비트 중에서 2 비트의 표준 편차를 갖는 가우시안(gaussian) 이고, 실제 데이터를 예시할 것으로 보인다. 그 결과는 SiO2와 Si 사이의 반사율의 상당한 차(4% 내지 45%)에도 불구하고 명백히 충분하다.
이제 시스템 특징화에 대해 설명한다.
시스템 특징화 과정에서 수집된 데이터를 사용하여 위상 오프셋
Figure 112005049844413-pct00151
과 선형 분산
Figure 112005049844413-pct00152
을 규정한다. 시스템 특징화 데이터를 포함하기 위해, 라이브러리 검색에 이전에, 그리고 픽셀 단위로 임의의 다른 FDA 처리 이전에
Figure 112005049844413-pct00153
사용하여 푸리에 변환된 실험 데이터
Figure 112005049844413-pct00154
를 보정한다.
위 식에서 K0는 명목상의 공간 주파수이고, 예를 들어, 관심 영역(ROI)의 중간 지점에 위치함으로써 식별되는 것처럼, FDA 데이터 세트에 대한 명목상의 스펙트럼 주파수를 나타낸다. 이론적인 라이브러리는 변화되지 않고 그대로 있는 것에 유의하여야 한다. 주사 계수 M( 그리스 대문자 "M")은 라이브러리 검색에서의 파라미터로서 물체 표면 반사율을 사용할 수 있도록 해주는 새로운 시스템 특징을 부여한다.
필드 위치(field position)의 함수인 위상 오프셋
Figure 112005049844413-pct00155
과 시스템 위상 갭 Asys은 필드 위치의 함수로서 저장될 수 있으며, 진짜 시스템 편차를 다음 식에 따라 계산한다:
Figure 112005049844413-pct00156
크기 계수 M은 또한 필드 의존성이다.
시스템 특징화 데이터의 생성은 물체 샘플에 대해 앞서 설명한 것과 동일한 방식으로 한다. 기지의 특성을 가지는 가공품으로 이동하여 그것을 측정하고, 완전한 시스템에 대해 예상하는 것과 결과가 어떻게 다른지를 조사함으로써 시스템 특징화를 결정한다. 구체적으로, 보정 라이브러리 엔트리가 미리 정해져 있는 기지의 샘플을 사용하여, 식 (98)에서처럼 위상 갭 A"를 생성하고, 식 (102)에서처럼 최종 높이 h'를 생성한다. 그런 다음, 완전히 평평한 가공품을 가정하여, 위상 오프셋
Figure 112005049844413-pct00157
과, 시스템 위상 갭
Figure 112005049844413-pct00158
을 계산하며, 위 식에서 connectxy()는 픽셀 대 픽셀 위상 연속화이다. 크기 맵은 다음과 같다:
Figure 112005049844413-pct00159
몇몇 실시예에서, 샘플 타입의 범위에 걸쳐 마지막 응용예(예를 들어, Si 상에 SiO2)에 대해, 가능하면 유사한 표면 구조를 가지는 가공품을 사용하여 수 개의 시스템 특징화를 평균할 수 있다.
위의 많은 설명 및 시뮬레이션에서, 박막 표면 구조에 초점을 두었지만, 이 분석법은 다른 타입의 복합 표면 구조에도 적용할 수 있다. 다음에 주사 간섭계 현미경의 광학 해상도보다도 작은 표면 구조를 설명하기 위해 주사 간섭측정 신호 가 어떻게 분석될 수 있는지를 설명한다. 광학 해상도는 궁극적으로 광원의 파장과 광 수집 광학기의 개구수(NA)에 의해 제한된다.
도 16 (a)는 500 nm 명목상 파장의 광원을 사용하여 120 nm의 최고점 대 최저점(peak-to-valley, PV) 변조 깊이를 가지는 mm당 2400 라인(1pmm) 격자의 실제 주사 간섭측정 데이터로부터 결정된 높이 프로파일을 나타낸 것이다. 도 16 (a)의 상단 프로파일은 종래의 FDA 분석법을 사용하여 결정된 높이 프로파일을 나타낸 것이다. 종래의 분석법은 겨우 약 10 nm의 PV 변조 깊이를 나타내고, 실제 변조 깊이를 아주 적게 평가한다. 이 부정확성은, 격자가 500-nm 기기의 광학 해상도의 한계에서의 특징을 가지기 때문에 발생한다. 이것은 기기의 카메라의 픽셀 해상도가 격자를 정확하게 분석하기 위한 것보다 더 충분하더라도 그렇다.
이 효과에 대해 생각하는 한가지 방법은, 부가적인 표면 위치들이 제1 픽셀에 대한 회절광(diffract light)의 광 파장에 비례하여 충분히 예리한 표면 특성을 가질 때, 일반적으로 제1 표면 위치에 대응하는 제1 카메라 픽셀의 주사 간섭측정 신호가 또한 인접한 표면 위치로부터의 기여분을 포함하는 것이다. 이들 인접한 표면 위치에서의 표면 높이 특징은 제1 표면 위치에 대응하는 주사 간섭측정 신호에 대한 종래의 분석을 손상시킨다(corrupt).
하지만 동시에, 이것은 제1 표면 위치에 대응하는 주사 간섭측정 신호가 가까운 복합 표면 특징에 관한 정보를 포함한다는 것을 의미한다. 도 17은 계단 높이 특징에 대한 다양한 위치에 대응하는 픽셀들로부터의 주사 간섭측정 신호를 보여줌으로써 이를 설명하는 것이다. (a)의 신호는 계단 높이는 픽셀의 오른쪽이고 더 높은 경우, (b)의 신호는 계단이 픽셀을 직접 관통하는 경우, (c)의 신호는 계단이 픽셀의 왼쪽이고 낮은 경우이다. 신호에서 즉각 보이는 하나의 서명(signature)은 (a) 및 (c)에 대한 (b)에서의 프린지 콘트라스트의 감소이다. 예를들어, 계단 높이가 파장 및 계단 높이의 위치에 정확하게 대응된 픽셀 위치의 1/4과 같았다면, 계단의 두 측면으로부터의 간섭이 정확하게 서로를 소거할 것이기 때문에 (b)에서의 프린지 콘트라스트는 완전히 사라져야 한다. 또한 (a) 및 (c)에 도시되어 있는 신호에는 많은 정보가 있다. 예를 들어, 도 18은 도 17의 신호 (a) 및 (c)에 대한 주파수 도메인 위상 스펙트럼의 비선형 왜곡을 나타낸다. 계단 높이가 없으면, 주파수 도메인 위상 스펙트럼은 선형일 것이다. 따라서 계단 높이에 인접한 표면 위치에 대응하는 픽셀들에 대한 주파수 도메인 위상 스펙트럼의 비선형 특징은 그럼에도 불구하고 계단 높이에 대한 정보를 포함한다.
그러한 분석되지 않은 표면 특징이 존재하는 시험 표면의 표면 프로파일을 더욱 정확하게 측정하기 위하여, 박막에 대해 앞서 설명한 바와 같은 라이브러이 검색 기술을 사용할 수 있다. 예를 들어, 분석되지 않은 격자를 가진 시험 표면의 경우에, 일련의 모델 FDA 스펙트럼이 PV 변조 깊이와 오프셋 위치의 상이한 값들에 대해 생성된다. 박막의 예에서처럼, 모델 스펙트럼의 표면 높이는 여전히 고정되어 있다. 그런 다음, 이 분석은 오히려 모델 스펙트럼이 박막 두께에 의해 파라미터화 되는 것을 제외하고는 위의 박막의 예와 같이 계속하여, 변조 깊이와 오프셋 위치에 의해 파라미터화 된다. 실제 시험 표면에 대한 FDA 스펙트럼의 서명과 다른 모델 스펙트럼 사이의 비교는 그런 다음 일치 여부를 결정하는 데 사용될 수 있 다. 일치에 기초하여, 격자의 존재로 인해 발생된 각 픽셀에 대한 실제 FDA 스펙트럼의 왜곡이 제거되어, 각각의 픽셀에 대한표면 높이를 종래의 처리방법을 사용하여 결정할 수 있다. 박막에 대해 전술한 바와 같이 동일한 메리트 함수들을 사용하는 이러한 분석의 결과는 도 16 (b) 및 도 19 (b)에 도시되어 있다.
도 16 (b)는 도 16 (a)를 참조하여 앞서 설명한 mm당 2400 라인 격자를 라이브러리 검색 분석법을 사용하여 결정된 높이 프로파일을 나타낸 것이다. 동일한 데이터가 도 16 (a)와 (b)에서 사용되었지만, 라이브러리 검색 분석법은 격자의 PV 변조 깊이를, 도 16 (a)의 종래의 FDA 처리에 의해 결정된 10-nm 결과보다 실제 120-nm 변조 깊이에 훨씬 더 가까운 100 nm로 결정하였다. 도 19 (a) 및 (b)는 이산 계단 높이를 가지는 시뮬레이션에 대해 유사한 분석법을 나타낸 것이며, 명목상 500-nm 광원으로 가정한다. 도 19 (a)는 시뮬레이션의 실제 높이 프로파일(점선)에 비교되는 종래의 FDA 처리법을 사용하여 결정된 높이 프로파일을 나타낸 것이다. 도 19 (b)는 시뮬레이션의 실제 높이 프로파일(점선)에 비교되는 라브러리 검색 방법(실선)을 사용하여 결정된 높이 프로파일을 나타낸 것이다. 라이브러리 검색의 모델 스펙트럼에 대한 파라미터는 위치 및 계단 높이 크기이다. 도시된 바와 같이, 라이브러리 검색 분석은 측면 해상도(lateral resolution)를 약 0.5 미크론에서 약 0.3 미크론으로 향상시킨다.
전술한 상세한 분석에서, 실제 데이터 내의 정보와 상이한 모델에 대응하는 정보 사이의 비교는 주파수 도메인에서 이루어졌다. 다른 실시예에서, 비교는 주사 좌표 도메인에서 이루어질 수 있다. 예를 들어, 프린지 콘트라스트 포락선의 절대 위치의 변화는 일반적으로 해당 신호에 대응하는 제1 표면 위치에서의 표면 높이의 변화를 나타내고, 신호의 형상( 그 절대 위치에 독립한)은 제1 표면 위치의 아래의 층 및/또는 인접하는 위치의 표면 구조와 같은 복합 표면 구조의 정보를 포함한다.
하나의 단순한 경우는 프린지 콘트라스트 포락선 자체의 크기를 고려하는 것이다. 예를 들어, 박막의 두께가 광원에 의해 발생되는 파장의 범위에 비해 아주 얇을 때, 박막에 의해 발생된 간섭 효과는 파장에 독립하게 되고, 이 경우에 박막 두께는 프린지 콘트라스트 포락선의 크기를 직접 변조한다. 그래서, (간섭계 자체의 계통적인 기여분을 고려하여) 특정 박막 두께에 대한 일치를 식별하기 위해, 일반적으로 프린지 콘트라스트 크기를 상이한 박막 두께에 대응하는 모델들의 그것과 비교할 수 있다.
다른 간단한 경우는 프린지 콘트라스트 포락선에서 프린지들의 0 교차의 상대적인 간격을 조사하는 것이다. 대칭 주파수 분포로 조명된 단순한 표면 구조에서, 상이한 0(영) 교차들간의 상대적인 간격은 명목상은 같아야 한다. 따라서 (간섭계 자체의 계통적인 기여분을 고려할 때) 상대적인 간격의 변동은 복합 표면 구조를 나타내고, 특정 표면 구조와의 일치를 확인하기 위해 상이한 복합 표면 구조의 모델들과 비교될 수 있다.
또 다른 경우는 주파수 도메인 신호와 시험 표면의 상이한 모델에 대응하는 주사 도메인 신호 사이에 상관을 수행하는 것이다. 일치는 일반적으로 최고의 최고점 값을 가지는 상관에 대응하고, 주사 도메인 신호가 실제 신호의 형상과 가장 유사한 형상을 가지는 모델을 나타낸다. 이러한 분석은 실제 샘플의 표면 높이와 상관 함수의 최고점의 위치를 단지 이동한 각 모델의 표면 높이 사이의 차이 때문에 일반적으로 표면 높이에 독립하지만, 일반적으로 최고점 값 자체에 영향을 미치지 않는다는 것에 유의하여야 한다. 한편, 일단 보정 모델이 식별되면, 보정 모델의 상관 함수의 최고점의 위치는 (종래의 FDA와 같은) 추가 분석의 요구 없이 시험 샘플의 표면 높이를 산출한다.
공간 주파수 도메인에서의 분석과 유사하게, 주사 좌표 도메인에서의 분석을 박막뿐 아니라 전술한 바와 같은 분석되지 않은 표면 높이 특징과 같은 다른 복합 표면 구조를 포함하는 상이한 많은 다른 타입의 복합 표면에 사용할 수 있다.
이제 시험 샘플의 신호와 시험 샘플의 여러 모델에 대응하는 신호 사이의 상관을 수반하는 주사 좌표 라이브러리 검색 분석에 대해 상세하게 설명한다.
이러한 접근법은 동일한 기초, 지역화된 간섭 패턴, 각각의 픽셀에 대해 위치상으로만 이동된( 그리고 어쩌면 크기가 변경된) 것을 포함하는 동일한 복합 표면 특성을 갖는 표면 위치들에 대응하는 데이터 세트의 모든 픽셀 이외의 간섭 패턴에 대한 모든 가정을 버린다. 신호가 실제처럼 보이는지, 가우시안 포락선 또는 주파수 도메인에서 선형 위상 양식을 가지는지 또는 그 밖에 무엇이든지 간에 중요하지 않다. 기술적 사상은 시험 물체의 복합 표면 구조의 상이한 모델들에 대한 이 국부화된 간섭 패턴을 나타내는 샘플 신호 또는 템플릿을 생성하는 것이고, 그 후 각 픽셀에 대해 국부화된 간섭 패턴이 실제 국부화된 간섭 패턴의 형상과 가장 일치하는 모델을 찾고, 그 모델에 대해 간섭 패턴 템플릿과 관찰된 신호 사이의 최 고 일치를 제공하는 데이터 세트 내의 주사 위치를 찾는다. 하나의 접근법은 각 템플릿을 데이터 수학적으로 서로 관련시키는 것이다. 각각의 모델에 대해 복소수(즉, 실수 더하기 허수) 템플릿 함수를 사용하여 두 개의 프로파일을 복구하는데, 하나는 신호의 포락선과 밀접하게 연관되고, 다른 하나는 기초를 이루는(underlying) 위상과 연관된다.
다른 실시예에서는, 예를 들어 각각의 픽셀에 대한 분석은 (1) 막 두께와 같은 조정 가능한 파라미터의 특정 값에 대해 계산되거나 기록된 템플릿 라이브러리로부터 시험 템플릿을 선택하는 단계; (2) 선택된 템플릿과 상관 기술(이 예에 대해서는 후술한다)을 사용하여 국부 표면 높이를 구하는 단계; (3) 상관 기술에 기초하여 선택된 시험 템플릿에 대한 최고점 메리트 함수값을 기록하는 단계; (4) 라이브러리 내의 모든 템플릿 또는 서브셋 템플릿에 대해 단계 1 내지 3을 반복하는 단계; (5) 어떤 시험 템플릿이 가장 일치(= 최고의 최고점 메리트 함수값)하는지를 결정하는 단계; (6) 가장 일치하는 템플릿(예를 들어, 박막 두께)에 대한 조정 가능한 파라미터의 값을 기록하는 단계; 그리고 (7) 데이터 트레이스 내의 최고점 일치 위치를 제공한 높이 값을 다시 부르는 단계를 포함할 것이다.
이제 복합 상관에 기초하여 적당한 상관 기술을 설명한다. 시험 표면의 모델 각각에 대해 템플릿 간섭 패턴
Figure 112005049844413-pct00160
을 생성하고, 위 식에서 지수 j는 템플릿 패턴의 특정 모델을 나타낸다. 함 수
Figure 112005049844413-pct00161
Figure 112005049844413-pct00162
는 복합 표면 구조의 특성을 기술하는 것이지만, 신호에 대응하는 위치에서의 표면 높이에 무관하며, 0(영)으로 설정된다. 바람직한 실시예에서,
Figure 112005049844413-pct00163
Figure 112005049844413-pct00164
는 또한 간섭계로부터의 계통적이 기여분을 고려한다. 이후 템플릿 패턴에 대한 복소 표현식을 사용한다:
Figure 112005049844413-pct00165
또한 복소 템플릿 함수의 특정 부분을 선택하는 창 함수(window function)를 사용한다:
Figure 112005049844413-pct00166
Figure 112005049844413-pct00167
예를 들어, 적합한 창은
Figure 112005049844413-pct00168
이고, 위 식에서 창 폭
Figure 112005049844413-pct00169
는 손으로 설정될 수 있다.
이제 간섭 패턴 템플릿
Figure 112005049844413-pct00170
를 가지고, 이를 실제 데이터 세트와 비교할 주비가 되었다. 이에 대한 준비로, 실제 실험 데이터 세트
Figure 112005049844413-pct00171
로부터 시작하는 복소 신호
Figure 112005049844413-pct00172
를 생성하기 쉬울 것이다.
이 신호의 푸리에 변환은
Figure 112005049844413-pct00173
Figure 112005049844413-pct00174
이고, 위 식에서
Figure 112005049844413-pct00175
그러면 스펙트럼의 포지티브 주파수 부분으로 부분적인 스펙트럼을 구성한다:
Figure 112005049844413-pct00176
그러면 그 역변환은
Figure 112005049844413-pct00177
Figure 112005049844413-pct00178
이다.
위 식에서, 복소 함수
Figure 112005049844413-pct00179
의 실수부는 원래의 실험적인 데이터 Iex이다. 또 한 위상과 포락선은 단순한 연산에 의해 분리할 수 있으며, 예를 들어 복소 함수
Figure 112005049844413-pct00180
의 크기를 사용한 신호 세기 ACex(x)와 포락선 mex의 곱으로 접근할 수 있다:
Figure 112005049844413-pct00181
이 기술의 기초가 되는 이론에 따르면,
정확한 모델에 대해
Figure 112005049844413-pct00182
와 같은 일반적인 형상을 가지기 위한 mex의 적어도 의미있는 부분을 예상하여, 유일한 차이는 선형 오프셋 hex와 스케일링 인자 ACex(x)이다. 또한 정확한 모델에 대해 높이 hex에 선형적으로 비례되도록, 실험적 및 간섭 패턴 템플릿의 위상 오프셋
Figure 112005049844413-pct00183
사이의 차이를 각각 예상한다.
직면한 과제는 간섭 패턴 템플릿
Figure 112005049844413-pct00184
에 의해 표현되는 특정 신호 패턴을 실험적인 데이터 세트
Figure 112005049844413-pct00185
내에 배치하고, 각각의 상이한 모델 j에 대해 얼마나 잘 일치하는지를 결정하는 것이다. 이하에서, 지수 j를 버리고 각각의 모델에 대해 일치 분석을 진행하는 것에 유의하여야 한다.
첫 번째 단계는 포락선 mex, mpat
Figure 112005049844413-pct00186
의 형상이 가장 일치되는 주사 위치
Figure 112005049844413-pct00187
를 찾는 것이다. 실행 가능한 접근법은 창 w에 의해 규정된 주사의 세그먼트 내의 신호와 함께 간섭 패턴의 정규화된 상관에 기초한 메리트 함수이다:
Figure 112005049844413-pct00188
위 식에서,
Figure 112005049844413-pct00189
는 복소 상관 함수이고,
Figure 112005049844413-pct00190
Figure 112005049844413-pct00191
는 신호 세기에 독립한 메리트 함수 Π를 만드는 정규화이다. 템플릿의 복소 공액
Figure 112005049844413-pct00192
의 사용은 동시성의 선형 위상 항
Figure 112005049844413-pct00193
를 상쇄하고,
Figure 112005049844413-pct00194
가 일치하는 경우에 Π를 최대화한다. 상관의 절대값 ∥은 임의의 잔류 복소 위상을 제거한다.
거짓(false)의 높은 값의 생성 또는 낮은 신호 레벨에서의 단일성(singularity)의 만남으로부터
Figure 112005049844413-pct00195
를 방지하기 위해, 다음과 같이 최소값을 공통요소(denominator)에 가산하는 것이 현명하고,
Figure 112005049844413-pct00196
위 식에서 max() 함수는 총 주사 길이
Figure 112005049844413-pct00197
에 걸쳐 신호 세기
Figure 112005049844413-pct00198
의 최대값을 돌려주고, MinDenom은 메리트 함수 검색에서 유효한 것으로 간주하는 상대적인 신호 세기의 최소값이다. MinDenom의 값은 5% 또는 어떤 다른 작은 값으로 정해질 수 있고(hard coded), 또는 조정 가능한 파라미터로 남을 수 있다.
상관 적분
Figure 112005049844413-pct00199
은 상관 이론을 사용하여 주파수 도메인에서 수행될 수 있다:
Figure 112005049844413-pct00200
위 식에서 I는
Figure 112005049844413-pct00201
을 사용하여 만들었으며, 위 식에서
Figure 112005049844413-pct00202
최고점 값을 찾기 위한 Π 통한 검색은 최고의 일치 위치
Figure 112005049844413-pct00203
를 얻고, Π의 값은 완전한 일치에 대응하는 것을 하나 가지는 0에서 1까지의 범위의 일치의 품질의 측정값이다. 메리트 함수의 최고점 값은 어느 모델이 최고 일치하는지를 결정하기 위해 상이한 모델 각각에 대해 계산되며, 그 모델에 대한 최고 일치 위치
Figure 112005049844413-pct00204
는 표면 높이를 제공한다.
도 20 내지 도 24는 이 기술의 일례를 나타낸 것이다. 도 20은 박막이 없는 베이스 Si 기판의 실제 주사 간섭측정 신호를 도시한 것이다. 도 21 및 도 22는 Si 상에 1미크론의 SiO2를 구비한 베어 Si 기판 및 박막 구조의 간섭 템플릿 패턴을 각각 도시한 것이다. 도 23 및 도 24는 도 21 및 도 22의 템플릿 함수에 대한 주사 위치의 함수인 메리트 함수를 도시한 것이다. 메리트 함수는 베어 기판의 간섭 템플릿 패턴(최고점 값 0.92)이 박막 템플릿 패턴(최고점 값 0.76)의 그것보다 훨 씬 양호하게 일치한다는 것을 보여주고, 따라서 테스트 샘플이 베어 기판임을 나타낸다. 또한, 정확한 템플릿 패턴의 메리트 함수에서의 최고점의 위치는 시험 샘플의 상대적인 표면 높이 위치를 제공한다.
이상에서 설명한 방법 및 시스템은 반도체 애플리케이션에 특히 유용하다. 본 발명의 추가 실시예는 이하에 기술하는 임의의 반도체 애플리케이션을 처리하기 위해 전술한 측정 방법 중 어느 것의 적용을 포함하며, 측정 기술과 반도체 애플리케이션 모두를 실행하는 시스템을 포함한다.
현재 표면 토포그래피를 양적으로 측정하는 것이 반도체 산업에서 상당한 관심 사항이다. 전형적인 칩 형상의 소형이기 때문에, 이들을 측정하기 위해 사용되는 기기는 전형적으로 칩 표면에 평행 및 수직 양쪽으로 높은 공간 해상도를 가져야 한다. 엔지니어와 과학자는 공정 제어를 위하여, 그리고 특히 에칭, 연마, 세척 및 패터닝과 같은 공정의 결과로서, 제조 과정에서 발생하는 결함을 검출하기 위하여, 표면 토포그래피 측정 시스템을 사용한다.
공정 제어 및 결함 검출이 특히 유용하기 위해서는, 전형적인 표면 형상의 측면 크기와 비교될 수 있는 측면 해상도와, 허용되는 최소 표면 단계 높이와 비교될 수 있는 수직 해상도를 가져야 한다. 전형적으로, 이것은 미크론보다 작은 측면 해상도와 1 나노미터보다 작은 수직 해상도를 필요로 한다. 또한, 이러한 시스템이 칩 표면과 접촉하지 않고, 또는 그렇지 않을 경우 잠재적으로 손상이 되는 힘을 표면에 가하지 않고, 측정을 수행하여 표면을 변형시키거나 결함의 야기를 피하는 것이 바람직하다. 또한, 칩 제조에 사용된 많은 공정의 영향이 패턴 밀도 및 에지 근접도와 같은 국부적인 인자에 강하게 의존한다는 것이 잘 알려져 있기 때문에, 표면 토포그래피 측정 시스템이 높은 측정 산출량과, 하나 또는 많은 중요한 표면 형상을 포함할 수 있는 범위에서 큰 영역에 걸쳐 조밀하게 샘플링할 수 있는 능력을 갖는 것이 매우 중요하다.
칩의 다른 부분 사이에서 전기적인 연결부(interconnect)를 만들기 위해, 소위 '듀얼 다마신 구리(dual damascene copper)' 공정을 사용하는 것이 칩 제조사 사이에서 일반화되고 있다. 이것은 적합한 표면 토포그래피 시스템을 사용하여 효과적으로 특징화할 수 있는 공정의 일례이다. 듀얼 다마신 공정은 5개 부분, 즉 (1) (폴리머 또는 유리와 같은) 유전 물질의 층이 웨이퍼(복수의 개별 칩을 포함함)의 표면에 증착되는 층간 유전체(interlayer dielectric, ILD)의 증착, (2) 유전체 층을 연마하여 정밀한 광 리소그래피에 적합한 매끈한 표면을 만드는 화학 기계적인 연마(CMP : chemical mechanical polishing), (3) 웨이퍼 표면에 평행하게 이어지는 좁은 트렌치와, 트렌치의 바닥으로부터 하부(미리 규정된) 전기 전도층으로 이어지는 작은 비아(via)를 포함하는 복잡한 네트워크가 생성되는, 리소그래피 패터닝과 반응성 이온 에칭 단계의 조합, (4) 트렌치와 비아를 구리로 과도하게 채우는 금속 증착 단계의 조합, (5) 과잉 구리를 제거하고 유전 물질로 둘러싸이고 구리가 채워진 트렌치(및 가능하다면 비아)의 네트워크를 남기는, 최종 화학 기계적인 연마(CMP) 단계를 갖는 것으로 간주할 수 있다.
전형적으로, 트렌치 영역 내의 구리의 두께(즉, 트렌치 깊이), 및 주변 유전체의 두께는 0.2 내지 0.5 미크론 범위 내이다. 최종 트렌치의 폭은 100 내지 100,000 나노미터 범위 내일 수 있고, 각 칩 내의 구리 영역은 일부 영역에서 평행 라인의 어레이와 같은 규칙적인 패턴을 형성할 수 있으며, 다른 영역에서는 뚜렷한 패턴을 갖지 않을 수 있다. 마찬가지로, 일부 영역 내에서는 표면이 구리 영역으로 조밀하게 덮일 수 있고, 다른 영역에서는 구리 영역이 희박할 수 있다. 연마 비율, 따라서 연마 이후 남는 구리(및 유전체)의 두께가 연마 조건(패드 압력 및 연마 슬러리 화합물 등)과 구리 및 주위 유전체 영역의 국부적인 세부 배열(즉, 배향, 근접도 및 형상)에 강하게 그리고 복잡한 방식으로 의존한다는 점을 인식하는 것이 중요하다.
이러한 '위치 의존 연마 비율'이 다양한 측면 길이 스케일로 표면 토포그래피를 변화킬 수 있는 것으로 알려졌다. 예를 들어, 이것은 집합체 상의 웨이퍼 에지에 근접하여 위치한 칩이 중앙에 근접하여 위치한 것보다 신속하게 연마되어, 에지 근처에서는 원하는 것보다 얇고 중앙에서는 원하는 것보다 두꺼운 구리 영역을 생성한다는 것을 의미한다. 이것은 '웨이퍼 스케일' 공정의 불균일성, 즉 웨이퍼 직경과 비교될 수 있는 길이 스케일로 발생하는 것의 불균일의 일례이다. 높은 밀도의 구리 트렌치를 갖는 영역이 낮은 구리 라인 밀도를 갖는 가까운 영역보다 높은 비율로 연마되는 것이 또한 알려져 있다. 이것은 높은 구리 밀도 영역에서 'CMP 유도 부식'으로 알려진 현상을 초래한다. 이것은, '칩 스케일' 공정의 불균일, 즉 단일 칩의 선형 치수와 비교될 수 있는( 및 간혹 더 작은) 길이 스케일로 발생되는 불균일의 일례이다. '디싱(dishing)'으로 알려진 다른 타입의 칩 스케일 불균일은 구리로 채워진 단일 트렌치 영역(주변 유전체 물질보다 더 높은 비율로 연마되는 경향이 있음) 내에서 발생한다. 폭이 수 미크론보다 큰 트렌치의 경우, 영향을 받은 라인이 이후에 과도한 전기 저항을 나타내어 칩 고장을 초래하는 결과를 낳는 디싱이 심각해 질 수 있다.
CMP 유도 웨이퍼 및 칩 스케일 공정의 불균일은 본질적으로 예측하기 힘들고, CMP 처리 시스템 내의 조건에 따라 시간에 걸친 변화를 겪게 된다. 모든 불균일이 허용 가능한 한계치 내로 유지되는 것을 보장하기 위해, 공정 조건을 효과적으로 감시하고 적덜하게 조정하기 위하여, 공정 엔지니어가 많은 수 및 폭 넓은 위치에서 칩에 대해 빈번하게 비접촉식으로 표면 토포그래피를 측정하는 것이 중요하다. 이것은 전술한 간섭측정 기술의 실시예를 사용하여 가능하다.
전술한 컴퓨터 분석 방법 중 어떤 방법이라도 하드웨어 또는 소프트웨어 또는 이들의 조합으로 구현될 수 있다. 이 방법들은 본 명세서에서 기술한 방법과 수치를 따르는 표준 프로그래밍 기술을 사용하는 컴퓨터 프로그램으로 구현될 수 있다. 프로그램 코드는 입력 데이터로 인가되어, 본 명세서에서 기술된 기능을 수행하고 출력 정보를 생성한다. 출력 정보는 디스플레이 모니터와 같은 하나 이상의 출력 디바이스에 인가된다. 각 프로그램은 높은 레벨의 절차 또는 객체 지향 프로그래밍 언어로 구현되어, 컴퓨터 시스템과 통신한다. 그러나, 프로그램은 원한다면 어셈블리어 또는 기계어로 구현될 수도 있다. 어떤 경우든, 언어는 컴파일되거나 해석되는 언어일 수 있다. 또한 프로그램은 이러한 목적으로 사전 프로그래밍된 전용 집적 회로 상에서 수행될 수 있다.
이러한 컴퓨터 프로그램 각각은 바람직하게는 범용 또는 특수 목적의 프로그 램 가능한 컴퓨터에 의해 판독 가능한 저장 매체 또는 디바이스(예, ROM 또는 자기 디스크)에 저장되어, 저장 매체 또는 디바이스가 컴퓨터에 의해 판독될 때 본 명세서에서 기술된 절차를 수행하도록 컴퓨터를 구성하고 동작시킨다. 컴퓨터 프로그램은 프로그램을 실행하는 동안에 캐시 또는 메인 메모리 내에 상주할 수 있다. 분석 방법은 또한 컴퓨터 프로그램으로 구성된 컴퓨터 판독 가능 저장 매체로 구현될 수 있고, 이렇게 구성된 저장 매체는 컴퓨터로 하여금 특정 및 미리 한정된 방식으로 동작하여 본 명세서에서 기술된 기능을 수행하도록 한다.
본 발명의 많은 실시예가 기술되었다. 그렇지만, 본 발명의 사상과 범주를 벗어나지 않으면서 다양한 변경이 이루어질 수 있음을 이해할 것이다.

Claims (72)

  1. 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법으로서,
    시험 물체의 제1 표면 위치에 대한 주사 간섭측정 신호로부터 생성 가능한 정보를, 상기 시험 물체의 복수의 모델에 대응하는 정보와 비교하는 단계를 포함하며,
    상기 복수의 모델은 상기 시험 물체에 대한 일련의 특성에 의해 파라미터화 되어 있고,
    상기 시험 물체에 대한 정보는 상기 시험 물체의 제1 표면 위치에 대한 주사 간섭측정 신호의 형상과 관련되어 있고,
    상기 시험 물체에 대한 정보는 주사 지점의 함수로서 표현되며,
    상기 비교하는 단계가 상기 시험 물체에 대한 정보와 상기 복수의 모델 각각에 대한 정보 사이의 상관 함수를 계산하는 단계를 포함하는 것을 특징으로 하는 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  2. 제1항에 있어서,
    상기 비교에 기초하여 상기 시험 물체에 대한 정확한 특성을 결정하는 단계를 더 포함하는 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  3. 제1항에 있어서,
    상기 비교에 기초하여 상기 제1 표면 위치에 대한 상대적 표면 높이를 결정하는 단계를 더 포함하는 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  4. 제3항에 있어서,
    상기 상대적 표면 높이를 결정하는 단계가, 상기 비교에 기초하여 상기 시험 물체에 대한 특성 중 하나의 정확한 특성에 어느 모델이 대응하는지를 결정하는 단계, 및 상기 상대적 표면 높이를 계산하기 위해 상기 정확한 특성에 대응하는 모델을 사용하는 단계를 포함하는,
    주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  5. 제4항에 있어서,
    상기 상대적 표면 높이를 계산하기 위해 상기 정확한 특성에 대응하는 모델을 사용하는 단계가, 상기 시험 물체에 대한 정보와 상기 정확한 특성에 대응하는 모델에 대한 정보를 비교하는데 사용되는 상관 함수에서 최고점의 위치를 결정하는 단계를 포함하는,
    주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  6. 제1항에 있어서,
    추가적인 표면 위치에 대한 주사 간섭측정 신호로부터 생성 가능한 정보와 상기 복수의 모델에 대응하는 정보를 비교하는 단계를 더 포함하는 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  7. 제6항에 있어서,
    상기 비교들에 기초하여 상기 시험 물체에 대한 표면 높이 프로파일을 결정하는 단계를 더 포함하는 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  8. 삭제
  9. 삭제
  10. 제1항에 있어서,
    상기 시험 물체에 대한 정보가 상기 주사 간섭측정 신호의 형상에서 프린지 콘트라스트 크기(fringe contrast magnitude)와 관련되어 있는 것을 특징으로 하는 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  11. 제1항에 있어서,
    상기 시험 물체에 대한 정보가 상기 주사 간섭측정 신호의 형상에서 0 교차(zero-crossing) 사이의 상대적인 간격과 관련되어 있는 것을 특징으로 하는 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  12. 삭제
  13. 삭제
  14. 제1항에 있어서,
    상기 비교하는 단계가 상기 상관 함수들 각각의 하나 이상의 최고점 값을 결정하는 단계를 더 포함하는,
    주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  15. 제14항에 있어서,
    최대 최고점 값에 대응하는 모델의 파라미터화에 기초하여 상기 시험 물체에 대한 정확한 특성을 결정하는 단계를 포함하는 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  16. 제14항에 있어서,
    상기 상관 함수들에서의 최고점 값들 중 적어도 하나에 대한 좌표에 기초하여 상기 제1 표면 위치에서의 상기 시험 물체에 대한 상대적 표면 높이를 결정하는 단계를 더 포함하는 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  17. 제1항에 있어서,
    상기 주사 간섭측정 신호가 주사 간섭측정 시스템에 의해 생성되며,
    상기 비교하는 단계가 상기 주사 간섭측정 시스템으로부터 생성되는 주사 간섭측정 신호에 대한 계통적인 기여분을 고려하는 단계를 포함하는,
    주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  18. 제17항에 있어서,
    기지의 특성을 갖는 다른 시험 물체를 사용하여 상기 주사 간섭측정 시스템의 상기 계통적인 기여분을 교정하는 단계를 더 포함하는 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  19. 삭제
  20. 제1항에 있어서,
    상기 시험 물체는 두께를 가진 박막층을 포함하며,
    상기 시험 물체에 대한 일련의 특성은, 상기 제1 표면 위치에서의 상기 박막층의 두께를 포함하는,
    주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 제1항에 있어서,
    공통 소스로부터 시험광과 기준광이 발생하고,
    상기 주사 간섭측정 신호는, 상기 시험 물체로부터 출사되는 상기 시험광을 상기 기준광과 간섭하도록 검출기 상에 결상하는(imaging) 단계, 및 상기 시험광과 상기 기준광의 간섭 부분들 사이에서 상기 공통 소스로부터 상기 검출기까지의 광 경로차를 변화시키는 단계에 의해 생성되고,
    상기 주사 간섭측정 신호는 상기 광 경로차가 변화될 때 상기 검출기에 의해 측정된 간섭 세기에 대응하는,
    주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  28. 삭제
  29. 제27항에 있어서,
    상기 시험광 및 기준광은 상기 시험광 및 기준광에서의 중심 주파수의 5%보다 더 큰 스펙트럼 대역폭을 갖는 것을 특징으로 하는 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  30. 제27항에 있어서,
    상기 공통 소스는 스펙트럼 코히어런스 길이를 가지며, 상기 광 경로차는 상기 주사 간섭측정 신호를 생성하도록 스펙트럼 코히어런스 길이보다 큰 범위 이상으로 변화되는 것을 특징으로 하는 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  31. 제27항에 있어서,
    상기 시험광을 상기 시험 물체에 향하게 하여 상기 검출기에 결상시키는데 사용되는 광학기는 상기 시험광의 개구수를 0.8 이상으로 규정되는 것을 특징으로 하는 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 방법.
  32. 삭제
  33. 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 장치에 있어서,
    컴퓨터 내의 프로세서로 하여금, 시험 물체의 제1 표면 위치에 대한 주사 간섭측정 신호로부터 생성 가능한 정보를, 상기 시험 물체의 복수의 모델에 대응하는 정보에 비교하게 하는 프로그램을 구비하는 컴퓨터로 판독 가능한 매체를 포함하며,
    상기 복수의 모델이 상기 시험 물체에 대한 일련의 특성에 의해 파라미터화되어 있고,
    상기 시험 물체의 정보는 시험 물체의 제1 표면 위치에 대한 주사 간섭측정 신호의 형상과 관련되어 있고,
    상기 시험 물체에 대한 정보는 주사 지점의 함수로서 표현되며,
    상기 시험 물체의 정보를 상기 복수의 모델에 대응하는 정보에 비교하는 것은, 상기 시험 물체에 대한 정보와 상기 복수의 모델 각각에 대한 정보 사이의 상관 함수를 계산하는 것을 포함하는 것을 특징으로 하는 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 장치.
  34. 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 장치에 있어서,
    주사 간섭측정 신호를 생성하도록 구성된 주사 간섭측정 시스템; 및
    주사 간섭측정 시스템에 연결되어 주사 간섭측정 신호를 수신하고, 시험 물체의 제1 표면 위치에 대한 주사 간섭측정 신호로부터 생성 가능한 정보를, 상기 시험 물체의 복수의 모델에 대응하는 정보와 비교하도록 프로그램된 전자 프로세서
    를 포함하며,
    상기 복수의 모델이 상기 시험 물체에 대한 일련의 특성에 의해 파라미터화되어 있고,
    상기 시험 물체의 정보는 상기 시험 물체의 제1 표면 위치에 대한 주사 간섭측정 신호의 형상과 관련되어 있고,
    상기 시험 물체에 대한 정보는 주사 지점의 함수로서 표현되며,
    상기 시험 물체에 대한 정보를 상기 복수의 모델에 대응하는 정보와 비교하는 것은, 상기 시험 물체에 대한 정보와 상기 복수의 모델 각각에 대한 정보 사이의 상관 함수를 계산하는 것을 포함하는 것을 특징으로 하는 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 장치.
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
  71. 복합 표면 구조의 프로파일링을 이용한 연마 방법에 있어서,
    시험 물체를 화학 기계적으로 연마하는 단계;
    상기 시험 물체의 표면 형상에 대한 주사 간섭측정 데이터를 수집하는 단계; 및
    상기 주사 간섭측정 데이터로부터 얻은 정보에 기초하여, 상기 시험 물체의 화학 기계적 연마를 위한 공정 조건을 조정하는 단계
    를 포함하고,
    상기 주사 간섭측정 데이터로부터 얻은 정보에 기초하여 공정 조건을 조정하는 단계는, 상기 제1항에 따른 방법을 사용하여 이루어지는,
    복합 표면 구조의 프로파일링을 이용한 연마 방법.
  72. 제71항에 있어서,
    상기 공정 조건이 패드 압력 및 연마 슬러리 구성(polishing slurry composition) 중 적어도 하나를 포함하는,
    복합 표면 구조의 프로파일링을 이용한 연마 방법.
KR1020057016642A 2003-03-06 2004-03-08 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링 KR101167893B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US45246503P 2003-03-06 2003-03-06
US60/452,465 2003-03-06
PCT/US2004/007033 WO2004079295A2 (en) 2003-03-06 2004-03-08 Profiling complex surface structures using scanning interferometry

Publications (2)

Publication Number Publication Date
KR20050119642A KR20050119642A (ko) 2005-12-21
KR101167893B1 true KR101167893B1 (ko) 2012-07-30

Family

ID=32962721

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057016642A KR101167893B1 (ko) 2003-03-06 2004-03-08 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링

Country Status (5)

Country Link
EP (1) EP1604168B1 (ko)
JP (2) JP2006519993A (ko)
KR (1) KR101167893B1 (ko)
CN (1) CN1784587B (ko)
WO (1) WO2004079295A2 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101321861B1 (ko) * 2005-11-15 2013-10-25 지고 코포레이션 광학적으로 분석되지 않은 표면 형상의 특징을 측정하는방법 및 간섭계
EP2013570A1 (en) * 2006-04-28 2009-01-14 Micronic Laser Systems Ab Method and apparatus for recording of images and study of surfaces
US7853067B2 (en) * 2006-10-27 2010-12-14 Asml Holding N.V. Systems and methods for lithographic reticle inspection
JP4834847B2 (ja) * 2007-10-05 2011-12-14 大塚電子株式会社 多層膜解析装置および多層膜解析方法
JP5290322B2 (ja) * 2007-12-14 2013-09-18 ザイゴ コーポレーション 走査干渉法を使用した表面構造の解析
KR101010189B1 (ko) * 2008-06-30 2011-01-21 에스엔유 프리시젼 주식회사 두께 또는 표면형상 측정방법
JP5566826B2 (ja) * 2010-09-15 2014-08-06 浜松ホトニクス株式会社 全反射分光計測におけるデータ解析方法
EP2541193A1 (de) 2011-06-27 2013-01-02 Hexagon Technology Center GmbH Interferometrisches Entfernungsmessverfahren zum Vermessen von Oberflächen und ebensolche Messanordnung
JP6306724B2 (ja) 2014-01-09 2018-04-04 ザイゴ コーポレーションZygo Corporation 非球面およびその他の非平坦面のトポグラフィの測定
GB201509418D0 (en) * 2015-06-01 2015-07-15 Univ Dundee Fibre based imaging
CN106855395A (zh) * 2015-12-08 2017-06-16 中国航空工业第六八研究所 一种硅片阳极键合工艺变形的光学检测评价方法
CN105865371B (zh) * 2016-06-08 2018-06-12 南京理工大学 一种基于互相关计算的白光干涉显微轮廓复原方法
TWI601938B (zh) * 2016-06-28 2017-10-11 國立清華大學 即時檢測全場厚度的光學裝置
CN108168715B (zh) * 2016-12-08 2021-02-19 松下知识产权经营株式会社 光检测装置
CN108169175B (zh) * 2016-12-08 2022-07-26 松下知识产权经营株式会社 光检测系统
CN108127382A (zh) * 2017-12-05 2018-06-08 北京星航机电装备有限公司 一种盖板式tps结构的装配方法
TWI794416B (zh) * 2018-02-28 2023-03-01 美商賽格股份有限公司 多層堆疊結構之計量方法及干涉儀系統
KR102129382B1 (ko) * 2018-12-17 2020-07-02 주식회사 토모큐브 간섭 패턴에서 파동의 위상 정보 추출 방법 및 장치
CN109540007B (zh) * 2019-01-02 2021-08-27 长江存储科技有限责任公司 超厚薄膜的测量方法和测量装置
CN110458822B (zh) * 2019-08-07 2022-10-11 合肥学院 一种复杂曲面零件非接触式三维匹配检测方法
CN110514117A (zh) * 2019-09-04 2019-11-29 新羿制造科技(北京)有限公司 芯片沟道搜索定位装置
CN111023995B (zh) * 2019-11-18 2021-08-06 西安电子科技大学 一种基于随机两帧相移条纹图的三维测量方法
JP7186315B1 (ja) * 2021-02-10 2022-12-08 株式会社日立ハイテク 輪郭線解析装置、処理条件決定システム、形状推定システム、半導体装置製造システム、探索装置およびそれらに用いるデータ構造
KR20230012454A (ko) * 2021-07-14 2023-01-26 주식회사 히타치하이테크 윤곽선 해석 장치, 가공 치수 추출 시스템, 처리 조건 결정 시스템, 반도체 장치 제조 시스템 및 데이터 구조
CN114910015A (zh) * 2022-04-29 2022-08-16 深圳市中图仪器股份有限公司 白光干涉信号的重建方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003534540A (ja) 2000-05-19 2003-11-18 ザイゴ コーポレーション 基準面に対する物体の絶対位置及び表面プロファイルを測定するための高さ走査干渉計
KR100984809B1 (ko) 2002-05-02 2010-10-04 지고 코포레이션 주사 간섭계를 위한 위상 갭 분석

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5129724A (en) 1991-01-29 1992-07-14 Wyko Corporation Apparatus and method for simultaneous measurement of film thickness and surface height variation for film-substrate sample
GB9213159D0 (en) * 1992-06-22 1992-08-05 British Tech Group Method of and apparatus for interferometrically inspecting a surface of an object
US5398113A (en) 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms
US5386119A (en) * 1993-03-25 1995-01-31 Hughes Aircraft Company Apparatus and method for thick wafer measurement
JPH074922A (ja) * 1993-06-21 1995-01-10 Jasco Corp 半導体多層薄膜膜厚測定装置およびその測定方法
US6124141A (en) * 1998-01-07 2000-09-26 International Business Machines Corporation Non-destructive method and device for measuring the depth of a buried interface
US6242739B1 (en) * 1998-04-21 2001-06-05 Alexander P. Cherkassky Method and apparatus for non-destructive determination of film thickness and dopant concentration using fourier transform infrared spectrometry
KR100290086B1 (ko) * 1999-03-23 2001-05-15 윤덕용 백색광주사간섭법을 이용한 투명한 박막층의 3차원 두께 형상 측정 및 굴절률 측정 방법 및 그 기록매체
US6822745B2 (en) * 2000-01-25 2004-11-23 Zygo Corporation Optical systems for measuring form and geometric dimensions of precision engineered parts
DE60141848D1 (de) 2000-11-02 2010-05-27 Zygo Corp Verfahren und vorrichtung zur höhenabtastenden interferometrie mit phasendifferenz-analyse
KR100393429B1 (ko) * 2001-04-09 2003-08-02 한국과학기술원 각기 다른 금속 물질의 단차 측정을 위한 두 파장 백색광간섭법과 간섭계
US6493093B2 (en) * 2001-04-12 2002-12-10 Veeco Instruments Inc. Bat-wing attenuation in white-light interferometry

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003534540A (ja) 2000-05-19 2003-11-18 ザイゴ コーポレーション 基準面に対する物体の絶対位置及び表面プロファイルを測定するための高さ走査干渉計
KR100984809B1 (ko) 2002-05-02 2010-10-04 지고 코포레이션 주사 간섭계를 위한 위상 갭 분석

Also Published As

Publication number Publication date
CN1784587B (zh) 2010-09-08
WO2004079295A2 (en) 2004-09-16
EP1604168A2 (en) 2005-12-14
WO2004079295A3 (en) 2005-04-14
JP2011002467A (ja) 2011-01-06
EP1604168B1 (en) 2011-07-27
KR20050119642A (ko) 2005-12-21
JP5827794B2 (ja) 2015-12-02
CN1784587A (zh) 2006-06-07
JP2006519993A (ja) 2006-08-31

Similar Documents

Publication Publication Date Title
KR101169293B1 (ko) 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링
KR101167893B1 (ko) 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링
US7271918B2 (en) Profiling complex surface structures using scanning interferometry
US7239398B2 (en) Profiling complex surface structures using height scanning interferometry
KR101321861B1 (ko) 광학적으로 분석되지 않은 표면 형상의 특징을 측정하는방법 및 간섭계
US7324214B2 (en) Interferometer and method for measuring characteristics of optically unresolved surface features
US7139081B2 (en) Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
WO2004023071A1 (en) Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
EP1604169B1 (en) Method for profiling complex surface structures using scanning interferometry
ELLIPSOMETRY De Groot (45) Date of Patent: Nov. 21, 2006

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20150706

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160706

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170710

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180710

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190709

Year of fee payment: 8