KR101129715B1 - 진행중인 계측 작업을 동적으로 제어하는 방법 및 시스템 - Google Patents

진행중인 계측 작업을 동적으로 제어하는 방법 및 시스템 Download PDF

Info

Publication number
KR101129715B1
KR101129715B1 KR1020077008345A KR20077008345A KR101129715B1 KR 101129715 B1 KR101129715 B1 KR 101129715B1 KR 1020077008345 A KR1020077008345 A KR 1020077008345A KR 20077008345 A KR20077008345 A KR 20077008345A KR 101129715 B1 KR101129715 B1 KR 101129715B1
Authority
KR
South Korea
Prior art keywords
metrology
lot
wafer
lots
tool
Prior art date
Application number
KR1020077008345A
Other languages
English (en)
Other versions
KR20070051939A (ko
Inventor
매튜 에이. 퍼디
케이브 더블유. 닉식
Original Assignee
글로벌파운드리즈 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 글로벌파운드리즈 인크. filed Critical 글로벌파운드리즈 인크.
Publication of KR20070051939A publication Critical patent/KR20070051939A/ko
Application granted granted Critical
Publication of KR101129715B1 publication Critical patent/KR101129715B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32247Real time scheduler
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32306Rules to make scheduling decisions
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Abstract

일반적으로, 본 발명은 진행중인 계측 작업을 동적으로 제어하는 다양한 방법들 및 시스템들에 관한 것이다. 일 예시적인 실시예에서, 상기 방법은 적어도 하나의 계측 툴(14)에 대한 계측 작업 흐름을 제어하는 계측 제어 유닛(12)을 제공하는 단계와; 그리고 계측 큐에 있는 복수의 웨이퍼 로트들(23)을 식별하는 단계를 포함하며, 여기서 상기 웨이퍼 로트들(23)은 적어도 하나의 계측 툴(14)에서 처리되며, 그리고 상기 계측 제어 유닛(12)은 상기 적어도 하나의 계측 툴(14)에서의 계측 공정을 위한 적어도 하나의 웨이퍼 로트들(23)을 선택함과 아울러 상기 적어도 하나의 계측 툴(14)에서 선택된 적어도 하나의 웨이퍼 로트(23)의 계측 공정에 기반하여, 상기 계측 큐로부터 제거될 상기 복수의 웨이퍼 로트들(23) 중 적어도 다른 하나를 선택한다.
웨이퍼 로트, 계측 큐, 계측 툴, WIP, 계측 제어 유닛.

Description

진행중인 계측 작업을 동적으로 제어하는 방법 및 시스템{METHOD AND SYSTEM FOR DYNAMICALLY CONTROLLING METROLOGY WORK IN PROGRESS}
본 발명은 일반적으로 산업 공정에 관한 것으로서, 보다 구체적으로는, 진행중인 계측 작업을 동적으로 제어하는 다양한 방법들 및 시스템들에 관한 것이다.
본 발명을 완전히 읽게 되면, 관련 기술분야의 당업자들은 본 발명이 다양한 서로 다른 타입들의 디바이스들 또는 제작품(workpiece)들의 제조를 포함하는 다양한 산업들에의 광범위한 응용을 갖게 됨을 이해할 것이다. 오직 예로써, 본 발명의 배경기술은 집적회로 디바이스들의 제조에 있어서 봉착하게 되는 다양한 문제들의 맥락에서 논의될 것이다. 하지만, 본 발명은 단지 반도체 제조 산업 내에서의 사용에만 국한되는 것으로 고려되지 않는다.
집적회로 디바이스들(예를 들어, 마이크로프로세서들, 메모리 디바이스들 등)의 품질, 신뢰성 및 처리량을 증가시키기 위한 반도체 산업 내에서의 일정한 흐름이 있다. 이러한 흐름은 보다 신속하고 보다 신뢰성있게 동작하는 보다 고 품질의 컴퓨터들 및 전자 디바이스들에 대한 소비자 수요들에 의해 가속된다. 이러한 수요들은 반도체 디바이스들(예를 들어, 트랜지스터들)의 제조에서뿐만 아니라 이러한 트랜지스터들을 포함하는 집적회로 디바이스들의 제조에서의 연속적인 개선을 가져왔다. 추가적으로, 전형적인 트랜지스터 요소들의 제조에서의 결함들의 감소는 또한 트랜지스터당 전체 비용뿐만 아니라 이러한 트랜지스터들을 포함하는 집적회로 디바이스들의 비용을 낮추고 있다.
일반적으로, 공정 단계들의 세트는 포토리소그래피 스테퍼들, 식각 툴들(etch tools), 증착 툴들, 연마 툴들, 열 어닐 공정 툴들, 주입 툴들 등을 포함하는 다양한 공정 툴들을 사용하여 웨이퍼들의 로트(lot) 상에서 수행된다. 반도체 공정 툴들의 기반되는 기술들은 지난 수년 동안에 관심을 더욱 증가시켰으며, 이는 실질적인 개선을 가져왔다. 그러나, 이러한 영역에서 이루어진 개선들에 불구하고, 현재에 상업적으로 이용되는 대부분의 기술은 일정한 결함을 갖고 있다. 특히, 이러한 툴들 중 일부는 종종 사용자-친화성 포맷의 이력 파라미터 데이터뿐만 아니라 이벤트 로깅(event logging), 현재 처리되는 파라미터들과 전체 런(run)의 공정 파라미터들 모두에 대한 실-시간 그래픽 디스플레이, 원격(즉, 로컬 사이트) 및 월드와이드(worldwide) 모니터링을 제공하는 능력과 같은 개선된 공정 데이터 모니터링 성능들이 부족하다. 이러한 결함들은 처리량, 정확도, 안정성 및 반복성, 공정 온도들, 기계적인 툴 파라미터들 등과 같은 중요 공정 파라미터들의 비-최적 제어를 발생시킬 수 있다. 이러한 가변성은 제품 품질 및 성능에서의 편차들을 발생시킬 수 있는 런-자체내에서의(within-run) 불일치들, 런-대-런 불일치들 및 툴-대-툴 불일치들을 스스로 표명하고 있는 반면에, 이러한 툴들에 대한 이상적인 모니터링 및 진단 시스템은 이러한 가변성을 모니터링하는 수단뿐만 아니라 중요 파라미터들의 최적화 제어 수단을 제공할 것이다.
반도체 공정 라인의 동작을 개선하기 위한 일 기술은 다양한 공정 툴들을 자동으로 제어하는 공장-규모 시스템을 사용하는 것을 포함한다. 제조 툴들은 제조 프레임워크(framework) 또는 공정 모듈들의 네트워크와 교신한다. 각 제조 툴은 일반적으로 장비 인터페이스와 연결된다. 장비 인터페이스는 제조 툴과 제조 프레임워크와의 교신들을 용이하게 하는 머신 인터페이스와 연결된다. 머신 인터페이스는 일반적으로 개선된 공정 제어(APC) 시스템의 일부가 될 수 있다. APC 시스템은 제조 모델에 기반하여 제어 스크립트를 개시하는데, 여기서 제어 스크립트는 제조 공정을 실행하는데 필요한 데이터를 자동으로 검색하는 소프트웨어 프로그램이 될 수 있다. 종종, 반도체 디바이스들은 복수의 공정들에 대한 복수의 제조 툴들을 통해 스테이지화되며, 이는 처리된 반도체 디바이스들의 품질에 관한 데이터를 발생시킨다.
제조 공정 동안에, 다양한 이벤트들이 발생할 수 있는데, 이는 제조되는 디바이스들의 성능에 영향을 미친다. 즉, 제조 공정 단계들의 편차들은 디바이스뿐만 아니라 디바이스 성능 편차들을 포함하는 특질들의 편차들을 발생시킬 수 있다. 피쳐(feature) 임계 치수들, 도핑 레벨들, 접촉 저항, 입자 오염 등과 같은 인자들 모두는 디바이스의 최종 성능에 잠재적으로 영향을 미칠 수 있다. 공정 라인의 다양한 툴들은 공정 편차를 감소시키기 위한 성능 모델들에 따라 제어된다. 통상적으로 제어되는 툴들은 포토리소그래피 스테퍼들, 연마 툴들, 식각 툴들, 및 증착 툴들을 포함한다. 사전-공정 및/또는 사후-공정 계측 데이터는 툴들에 대한 공정 제어기들에 공급된다. 공정 시간과 같은 동작 레시피 파라미터들은 가능한 타겟값과 유사한 사후-공정 결과들을 달성하기 위한 시도로서, 성능 모델과 계측 정보에 기반하여 공정 제어기들에 의해 계산된다. 이러한 방식으로 편차를 감소시킴으로써, 처리량 증가, 비용 감소, 보다 높은 디바이스 성능 등을 발생시키며, 이들 모두는 수익성 증가와 일치하게 된다.
수행되는 다양한 공정들에 대한 타겟값들은 일반적으로 제조되는 디바이스들에 대한 설계값들에 기초한다. 예를 들어, 특정 공정 레이어(process layer)는 타겟 두께를 가질 수 있다. 증착 툴 및/또는 연마 툴들에 대한 동작 레시피들은 타겟 두께에 관한 편차를 감소시키도록 자동으로 제어될 수 있다. 또 하나의 예에서, 트랜지스터 게이트 전극의 임계 치수들은 관련 타겟값을 가질 수 있다. 포토리소그래피 툴들 및/또는 식각 툴들에 대한 동작 레시피들은 타겟 임계 치수들을 달성하도록 자동으로 제어될 수 있다.
전형적으로, 제어 모델은 공정 툴에 의한 공정과 관련하여 수집된 피드백 또는 피드포워드 계측 데이터에 기반하여 제어되는 공정 툴에 대한 동작 레시피 세팅들을 변경시키기 위한 제어 액션들을 발생시키는데에 사용된다. 효율적으로 작동시키기 위해, 제어 모델에는 시의적절한 방식으로 그리고 자신이 제어하는 공정 툴이 미래 동작을 예측하기 위한 자신의 성능을 유지하는데 충분한 량으로 계측 데이터가 제공될 수 있다.
많은 제조 산업들 내에서, 공정 동작들이 정확하게 수행되고 있으며, 이에 따라 결과적인 디바이스가 타겟 사양들을 만족함을 보증하기 위해 많은 노력이 이루어지고 있다. 이는 특히, 반도체 제조 산업 내에서 적용되는데, 여기서 많은 계측 툴들 및 센서들은 공정 툴에서 수행되는 공정 동작들의 효율성 및 정확도 및/또는 결과적인 제작품의 제품 사양들에의 순응성을 결정하기 위한 거대한 량의 계측 데이터를 획득하는데 사용된다. 이를 위해, 전형적인 반도체 제조 설비는 이러한 계측 데이터를 획득하는데에 많은 량의 자원들을 소비시킬 수 있다. 전형적으로, 현대의 반도체 설비는 다양한 계측 동작들이 수행되는 많은 계측 툴들 또는 스테이션들(stations)을 구비할 수 있다. 예시적인 계측 데이터는 공정 레이어의 두께, 기판 위에 형성된 피쳐의 임계 치수, 표면의 평면성(planarity) 등을 포함할 수 있다. 일부 계측 툴들은 단 하나의 타입의 계측 동작들(예를 들어, 임계 치수 측정들)을 수행하는데에 전용이며, 다른 계측 툴들은 복수의 계측 동작들을 수행할 수 있다. 게다가, 전형적인 반도체 제조 설비는 동일한 계측 동작을 수행할 수 있는 복수의 툴들을 구비할 수 있다.
반도체 제조 환경들에서, 계측 샘플링 레이트들(sampling rates)은 다양한 공정 동작들에 대해 확립된다. 샘플링 레이트들은 특정 공정(예를 들어, 게이트 식각 공정들)의 중요성 및/또는 공정 동작들이 제어가능성의 관점에서 얼마나 안정한지와 같은 다양한 인자들에 따라 변할 수 있다. 반도체 제조 환경들에서, 계측 샘플링 레이트들은 전형적으로, 샘플링을 위해 선택된 모든 제품들의 집합이 모든 이용가능한 계측 용량을 완전하게 이용하는 레벨 이하로 설정된다. 이는 일반적으로 기준선(baseline) 샘플링 레이트들로 칭해질 수 있다. 기준선 샘플링 레이트들은 계측 툴들 중 하나 이상이 다양한 이유들(예를 들어, 루틴 유지보수, 계측 툴들 중 하나에서의 예정 외의 문제 등)로 서비스 중단된 이후에, 계측 툴들로 하여금 축적된 진행중인-작업(WIP: work-in-progress)까지의 "격차해소(catch-up)"가 가능하도록 최대 레벨들보다 낮게 설정된다. 예를 들어, 만일 4개의 이용가능한 계측 툴들 중 하나가 서비스 중단된 경우에, 진행중인-작업(WIP)은 서비스 중단된 계측 툴이 서비스 복귀할 때까지 계측 큐들에서 천천히 축적될 것이다. 이때에, 4개의 이용가능한 계측 툴들 모두는 진행중인-작업(WIP) 큐들이 정상(normal)으로 감소될 때까지 정상 이용 레이트들보다 높은 레이트에서 동작할 것이다.
계측 동작들을 제어하기 위한 이러한 노력들에 불구하고, 진행중인-작업(WIP)은 계측 동작들에 대해 축적될 수 있다. 즉, 다양한 이유들로, 다양한 계측 동작들에 대한 진행중인-작업 큐들은 계측 툴들의 이용가능한 용량을 초과할 수 있다. 진행중인 계측 작업 또는 계측 큐를 감소시키기 위해, 다양한 액션들이 취해질 수 있다. 예를 들어, 계측 샘플링 레이트들은 계측 큐가 수락가능한 레벨들로 감소될 때까지 감소될 수 있다. 그러나, 이러한 방법은 제조 설비에서 수행되는 다양한 공정 동작들의 효율성에 관해 보다 적은 계측 데이터를 획득하게 한다. 그 결과로서, 제조 설비 내의 문제들은 요구된 것만큼 신속하게 검출될 수 없다. 따라서, 수율들 및 제조 효율성들은 진행중인 계측 작업을 감소하는 이러한 방법을 사용하여 감소될 수 있다.
본 발명은 전술한 문제들 중 하나 이상을 극복하거나 또는 그 효과들을 적어도 감소시키는 것과 관련된다.
본 발명은 일반적으로 진행중인 계측 작업을 동적으로 제어하는 다양한 방법들 및 시스템들에 관한 것이다. 일 예시적인 실시예에서, 상기 방법은 적어도 하나의 계측 툴에 대한 계측 작업 흐름을 제어하는 계측 제어 유닛을 제공하는 단계와, 계측 큐에 있으며, 적어도 하나의 계측 툴에서 처리될 복수의 웨이퍼 로트들을 식별하는 단계를 포함하며, 그리고 계측 제어 유닛은 적어도 하나의 계측 툴에서의 계측 공정을 위해 웨이퍼 로트들 중 적어도 하나를 선택함과 아울러, 적어도 하나의 계측 툴에서의 선택된 적어도 하나의 웨이퍼 로트의 계측 공정을 근거로 계측 큐로부터 제거될 복수의 웨이퍼 로트들 중 적어도 다른 하나를 선택한다.
또 하나의 예시적인 실시예에서, 상기 방법은 적어도 하나의 계측 툴에 대한 계측 작업 흐름을 제어하는 계측 제어 유닛을 제공하는 단계와, 계측 큐에 있으며, 적어도 하나의 계측 툴에서 처리될 복수의 웨이퍼 로트들을 식별하는 단계를 포함하며, 그리고 계측 제어 유닛은: (a) 적어도 하나의 계측 툴에서의 계측 공정을 위해 웨이퍼 로트들 중 적어도 하나를 선택하며, 여기서 상기 선택된 적어도 하나의 로트에는 이전의 공정 동작이 가해졌으며; 그리고 (b) 적어도 하나의 계측 툴에서의 선택된 적어도 하나의 웨이퍼 로트의 계측 공정을 근거로 상기 계측 큐로부터 제거될 상기 복수의 웨이퍼 로트들 중 적어도 하나의 다른 웨이퍼 로트를 선택하며, 여기서 적어도 하나의 다른 웨이퍼 로트는 상기 선택된 적어도 하나의 웨이퍼 로트의 공정 시간 이전에 상기 공정 동작이 가해진다.
본 발명은 첨부 도면들과 관련하여 고려되는 하기의 상세한 설명의 참조에 의해 이해될 수 있는데, 도면들에서의 동일한 참조 번호들은 동일한 요소들을 나타낸다.
도 1은 본 발명의 일 예시적인 실시예에 따른 제조 시스템의 간략화된 블록도이다.
도 2는 본 발명의 일 예시적인 실시예에 따른 시스템을 보다 상세하게 도시한 간략화된 블록도이다.
도 3은 본 발명의 일 예시적인 실시예에 따라 계측 동작들을 제어하는 방법에 대한 간략화된 흐름도이다.
본 발명의 다양한 변형들 및 대안적인 형태들이 있을 수 있지만, 그 특정 실시예들은 예로써 도면들에서 도시되고 본원에서 상세히 설명된다. 그러나, 특정 실시예들에 대한 본원의 상세한 설명은 본 발명을 개시된 특정 형태들에 제한하고자 함이 아니며, 그 의도는 하기의 청구범위에서 정의되는 바와 같은 본 발명의 사상과 범주 내에 드는 모든 변형물들, 등가물들, 및 대안물들을 포괄하고자 함이다.
본 발명의 예시적 실시예들은 하기에서 설명된다. 명확화를 위해, 실제 구현의 모든 특징들이 본 명세서에서 설명되지 않는다. 당연하게, 모든 이러한 실제 실시예의 전개에서, 시스템-관련 순응성 및 비지니스-관련 제약들(이들은 각 구현마다 달라질 것이다)과 같은 수많은 구현-특정 결정들은 개발자의 특정 목적들을 달성하기 위해 이루어져야 함이 이해되어야 한다. 게다가, 이러한 개발 노력은 복잡하고 시간-소모적일 수 있지만, 그럼에 불구하고 이는 본 개시의 이득을 취하는 기술분야의 당업자들이 담당할 일상적인 과정이 될 것이다.
하기에서, 본 발명은 첨부 도면들을 참조하여 설명될 것이다. 다양한 구조들, 시스템들 및 디바이스들은 오직 설명 목적을 위해 그리고 기술분야의 당업자들에게 공지된 세부사항들로 본 발명을 불분명하지 않게 하기 위해 도면들에서 개략적으로 도시된다. 이에 불구하고, 첨부 도면들은 본 발명의 예시적인 예들을 설명하도록 포함된다. 본원에서 사용되는 단어들 및 어구들은 기술분야의 당업자들에 의해 이해되는 단어들 및 어구들과 일관되는 의미로 해석되어야 한다. 용어 또는 어구의 어떤 특수한 정의(즉, 기술분야의 당업자들에 의해 이해되는 통상적이며 관례적인 의미와 다른 정의)도 본원의 용어 또는 어구의 일관적인 사용을 통해 내포되지 않는다. 용어 또는 어구가 특수한 의미(즉, 기술분야의 당업자들에 의해 이해되는 것과 다른 의미)를 갖는 범위에 대하여, 이러한 특수한 정의는 용어 또는 어구에 대한 특수한 정의를 직접적이고 모호하지 않게 제공하는 정의적인 방식으로 명세서에서 직접적으로 제시될 것이다.
도 1을 참조하면, 예시적인 제조 시스템(10)의 간략화된 블록도가 제공된다. 예시적인 실시예에서, 제조 시스템(10)은 반도체 디바이스들을 제조한다. 비록 본 발명이 반도체 제조 설비에서 구현될 수 있는 바와 같이 설명되지만, 본 발명은 이에 국한되지 않으며, 다른 제조 환경들에 적용될 수 있다. 본원에서 설명되는 기술들은 다양한 제작품들 또는 제조중인 항목들에 적용될 수 있다. 예를 들어, 본 발명은 마이크로프로세서들, 메모리 디바이스들, 디지털 신호 처리기들, ASIC(Application Specific Integrated Circuit)들 또는 기타 디바이스들을 포함하는(다만, 이에 국한되지는 않음) 다양한 집적회로 디바이스들의 제조와 관련하여 이용될 수 있다. 기술들은 집적회로 디바이스들과 다른 제작품들 또는 제조중인 항목들에 적용될 수 있다.
네트워크(20)는 제조 시스템(10)의 다양한 구성요소들을 상호연결함으로써, 이들로 하여금 정보를 교환하게 한다. 예시적인 제조 시스템(10)은 복수의 툴들(30 내지 80)을 포함한다. 툴들(30 내지 80) 각각은 네트워크(20)와 인터페이스하기 위해 컴퓨터(미도시)에 결합될 수 있다. 툴들(30 내지 80)은 문자 접미사들에 의해 표시되는 바와 같이 유사한 툴들의 세트들로 그룹화된다. 예를 들어, 툴들(30A 내지 30C)은 화학 기계적 평탄화 툴과 같은 일정한 타입의 툴들을 나타낸다. 특정 웨이퍼 또는 웨이퍼들의 로트는 공정 흐름에서 특정 기능을 수행하는 각 툴(30 내지 80)로 제조되는 때에 툴들(30 내지 80)을 통해 처리된다. 반도체 디바이스 제조 환경에 대한 예시적인 공정 툴들은 계측 툴들, 포토리소그래피 스테퍼들, 식각 툴들, 증착 툴들, 연마 툴들, 급속 열 어닐 툴들, 주입 툴들 등을 포함한다. 툴들(30 내지 80)은 오직 예시적인 목적들을 위해 계층으로 그리고 파일 그룹으로 예시된다. 실제 제조 설비에서, 툴들(30 내지 80)은 임의의 물리적 순서로 또는 그룹으로 배열될 수 있다. 추가적으로, 특정 그룹에서 툴들간의 연결들은 툴틀(30 내지 80)간의 상호연결들이라기 보다는 네트워크(20)에의 연결들을 나타낸다.
제조 실행 시스템(MES) 서버 또는 제어기(90)는 제조 시스템(10)의 하이 레벨 동작을 지시한다. MES 서버(90)는 제조 시스템(10)(즉, 로트들, 툴들(30 내지 80))의 다양한 엔티티들의 상태를 모니터링할 수 있으며, 공정 흐름을 통해 제조 물품들(예를 들어, 반도체 웨이퍼들의 로트들)의 흐름을 제어할 수 있다. 데이터베이스 서버(100)는 공정 흐름에서의 다양한 엔티티들 및 제조 물품의 상태에 관한 데이터를 저장하기 위해 제공된다. 데이터베이스 서버(100)는 하나 이상의 데이터 저장소들(110)에 정보를 저장할 수 있다. 데이터는 사전-공정 및 사후-공정 계측 데이터, 툴 상태들, 로트 우선순위들, 동작 레시피들 등을 포함할 수 있다. 제어기(90)는 또한 도 1에 도시된 툴들 중 하나 이상에 동작 레시피들을 제공할 수 있거나, 또는 다양한 동작 레시피들이 툴들 중 하나 이상에서 수행되도록 명령할 수 있다. 당연하게, 제어기(90)는 이러한 기능들 모두를 수행할 필요가 없다. 게다가, 제어기(90)에 대해 설명되는 기능들은 시스템(10) 전체에 퍼져 있는 하나 이상의 컴퓨터들에 의해 수행될 수 있다.
본 발명의 일부들 및 대응하는 상세한 설명은 컴퓨터 메모리 내의 데이터 비트들에 관한 동작들의 소프트웨어, 또는 알고리즘들 및 심볼 표현들에 관하여 제시되고 있다. 이러한 설명들 및 표현들은 기술분야의 당업자들로 하여금 이러한 작업의 요지를 기술분야의 다른 당업자들에게 효과적으로 전달하게 할 수 있다. 본원에서 사용되고 일반적으로 사용되는 용어인 알고리즘은 원하는 결과를 야기하는 자체-일관적인 시퀀스로 간주된다. 단계들은 물리적 량들의 물리적 조작을 요구하는 것들이다. 통상적으로, 비록 필수적인 것은 아니지만, 이러한 수량들은 저장되고, 전달되며, 결합되며, 비교되며 그리고 조작될 수 있는 광, 전기 또는 자기 신호들의 형태를 취한다. 주로, 일반적인 사용 이유들로 인해, 이러한 신호들을 비트들, 값들, 요소들, 심볼들, 문자들, 용어들, 숫자들 등으로 지칭하는 것이 때때로 편리한 것으로 증명되었다.
그러나, 이러한 용어들 모두 및 유사한 용어들은 적절한 물리적 량들과 관련되며, 이들은 이러한 수량들에 적용되는 편리한 라벨들임을 명심해야 한다. 특정적으로 다르게 진술되지 않는 경우에, 또는 상기 설명으로부터 자명한 바와 같이, "처리" 또는 "컴퓨팅" 또는 "계산" 또는 "결정" 또는 "디스플레이" 등과 같은 용어들은, 컴퓨터 시스템의 레지스터들과 메모리들 내의 물리적인, 전자적인 량들로 표시되는 데이터를 컴퓨터 시스템 메모리들 또는 레지스터들 또는 기타 이러한 정보 저장소, 전송 또는 디스플레이 디바이스들 내의 물리적 량들로 유사하게 표시되는 기타 데이터로 조작하고 변환하는 컴퓨터 시스템, 또는 유사한 전자 컴퓨팅 디바이스의 동작 및 프로세스들을 가리킨다.
제조 시스템(10)은 또한 예시적인 워크스테이션(150) 상에서 실행되는 계측 제어 유닛(12)을 포함한다. 계측 제어 유닛(12)은 제조 시스템(10)에서 수행되는 제조 동작들과 관련하여 이용되는 다양한 계측 툴들을 제어하는데 사용될 수 있다. 계측 제어 유닛(12)은 본원의 하기에서 설명될 목적들을 위해, 제어기(90)와 교신할 수 있으며/또는 개별 툴들(30 내지 80)과 관련된 하나 이상의 공정 제어기들(145)과 교신할 수 있다. 공정 제어기들(145)에 의해 사용되는 특정 제어 모델들은 제어되는 툴(30 내지 80)의 타입에 의존한다. 제어 모델들은 통상적으로 알려진 선형 또는 비선형 기법들을 사용하여 경험적으로 전개될 수 있다. 제어 모델들은 비교적 단순한 방정식-기반 모델들(예를 들어, 선형, 지수형, 가중 평균형, 등)이 되거나, 또는 신경망 모델(neural network model), 주성분 분석(Principal Component Analysis, PCA) 모델, 잠복 구조들에 대한 부분 최소 제곱(Partial Least Squares) 프로젝션 (PLS) 모델과 같은 더욱 복잡한 모델이 될 수 있다. 제어 모델의 특정 구현은 선택되는 모델링 기법들과 제어되는 공정에 따라 변할 수 있다. 특정 제어 모델들의 선택 및 전개는 기술분야의 당업자의 능력 내에 있게 될 것이며, 따라서, 제어 모델들은 명확성을 위해 그리고 본 발명을 불분명하지 않기 위해 본원에서 보다 상세히 설명되지 않는다.
제조 시스템(10)에서 사용하기에 적합한 예시적인 정보 교환 및 공정 제어 프레임워크는 KLA-Tencor, Inc.에 의해 이전에 제공된 촉매 시스템(Catalyst system)을 사용하여 구현될 수 있는 개선된 공정 제어(APC) 프레임워크이다. 촉매 시스템은 국제적인 반도체 장비 및 재료들(SEMI) 컴퓨터 집적 제조(CIM) 프레임워크 순응 시스템 기술들이며, 이는 개선된 공정 제어(APC) 프레임워크에 기반한다. CIM(SEMI E81-0699 - CIM 프레임워크 도메인 아키텍처에 대한 가사양(Provisional Specification)) 및 APC(SEMI E93-0999 - CIM 프레임워크 개선된 공정 제어 요소에 대한 가사양) 사양들이 SEMI(이 회사는 캘리포니아, 마운틴 뷰(Mountain View)에 본사를 두고 있다)로부터 공개적으로 이용가능하다.
처리 및 데이터 저장 기능들은 일반적인 독립성 및 중앙 정보 저장소를 제공하기 위해, 도 1의 서로 다른 컴퓨터들 또는 워크스테이션들 사이에서 분산된다. 당연하게, 서로 다른 개수들의 컴퓨터들 및 서로 다른 구성들이 본 발명의 사상과 범주 내에서 사용될 수 있다.
도 2는 본 발명의 일 예시적인 실시예에 따른 계측 시스템(50)의 보다 구체적인, 간략화된 블록도이다. 도면에서 도시된 바와 같이, 계측 제어 유닛(12)은 복수의 계측 툴들(14)에 동작가능하게 결합된다. 예시적인 실시예에서, 4개의 이러한 예시적인 계측 툴들(14-1, 14-2, 14-3 및 14-n)이 개략적으로 도시된다. 그러나, 본 발명은 임의의 이러한 개수의 계측 툴들에서 이용될 수 있다. 예시적인 계측 툴들(14)은 다양한 계측 동작들 중 하나 이상을 수행할 수 있다. 예를 들어, 계측 툴들(14)은 공정 레이어(process layer)의 두께 측정, 피쳐의 임계 치수 측정, 그리고 표면의 평면성, 막(film)의 저항성, 막의 광학 특성(예를 들어, n 및 k), 결함(defectivity), 오버레이 정렬(overlay alignment) 등의 측정과 같은 계측 동작들을 수행할 수 있다.
또한, 도 2에서, 계측 툴들(14) 중 하나 이상에서 수행되는 하나 이상의 계측 동작들을 받게 되는 복수의 웨이퍼 로트들(23-1, 23-2, 23-3 및 23-n)이 도시된다. 로트들은 일반적으로 웨이퍼들로 지칭되는 복수의(예를 들어, 20 내지 25) 반도체 기판들을 포함한다. 로트들(23)은 대표적인 것으로 의도된다. 전형적으로, 로트들(23)은 적어도 하나의 공정 동작이 각 로트(23) 내의 웨이퍼들 상에서 수행된 제조 스테이지에 있으며, 그리고 하나 이상의 계측 툴들 위에 있는 로트들(23) 내의 웨이퍼들 상에서 적어도 하나의 계측 동작을 수행하는 것이 바람직하다. 임의의 다양한 서로 다른 공정 동작들이 로트들(23) 내의 웨이퍼들 상에서 수행될 수 있는데, 이는 예를 들어, 증착 공정, 식각 공정, 어닐 공정, 화학적 기계적 연마 공정, 이온 주입 또는 확산 공정, 포토리소그래피 공정 등이다.
계측 시스템(50)은 계측 툴들(14)에 대해 진행중인-작업(즉, 계측 큐)을 모니터링하고 제어하는데 이용될 수 있다. 일부 경우들에서, 계측 툴들(14)은 일반적으로 동일한 타입의 계측 동작(예를 들어, 레이어의 두께 측정, 피쳐의 임계 치수 측정 등)을 수행한다. 그러나, 계측 툴들(14)은 모든 계측 동작들에 대해 반드시 완전하게 상호교환되지 않는다. 예를 들어, 만일 2개의 계측 툴들(14)과 3개의 계 측 동작들이 있는 경우에, 2개의 계측 툴들(14) 각각이 3개의 계측 동작들 각각에 사용될 수 있도록 요구되지 않는다.
계측 제어 유닛(12)은 어느 로트들(23)이 계측 툴(14)에서 처리될지를 제어하고 결정하는 능력을 구비한다. 본 발명의 일 양상에 따르면, 계측 제어 유닛(12)은 하기에서 완전히 설명되는 바와 같이, 다양한 계측 툴들(14)에 대한 축적된 진행중인-작업을 관리하고 제어하는데 이용될 수 있다. 일반적으로, 계측 제어 유닛(12)은 다양한 룰들 및 로직에 기반하여, 어느 로트들(23)이 하나 이상의 계측 툴들(14)에서 처리되는지를 선택적으로 결정하는데 사용될 수 있다. 이는 계측 툴들(14)이 효율적인 방식으로 사용되게 하며, 또한 제조 설비를 통한 웨이퍼 로트들(23)의 흐름을 개선시키며, 이에 따라 제조 효율성 및 수율을 개선할 수 있다.
일반적으로, 계측 제어 유닛(12)은 여분의 계측 동작들을 제거하거나 감소시킴으로써/또는 다른 로트들(23)상의 계획된 계측 동작들이 필요하지 않도록 하는 방식으로 계측 툴들(14)의 일부 웨이퍼 로트들(23)을 선택적으로 처리함으로써 축적된 진행중인 계측 작업을 제어하는데 이용될 수 있다. 예를 들어, 일 실시예에서, 동일한 계측 동작을 위해 큐잉(queue)되어 있는 복수의 로트들(23)이 있는데, 즉 복수의 로트들이 웨이퍼들 상에 형성된 게이트 전극 구조들의 임계 치수 측정을 위해 계측 툴들(14)로 보내진다. 게다가, 이러한 웨이퍼 로트들(23)은 다양한 서로 다른 시간들에서/또는 서로 다른 공정 툴들(예를 들어, 서로 다른 식각 툴들) 상에서 처리되었을 것이다. 따라서, 계측 툴(14)에 보내진, 모든 로트들(23) 상에서의 계측 동작들의 수행은 불필요하게 될 수 있고, 부족한 계측 자원들을 낭비할 수 있다. 이를 위해, 본 발명은 하나 이상의 계측 툴들(14)에서의 계측 동작들을 받게 될 일부 로트들(23)을 제거하거나 "제외(bracket-out)"시키는데 이용될 수 있다. 즉, 본 발명은 다양한 계측 툴들(14)에서 진행중인-작업 레벨들을 지능적으로 감소시키는데 이용될 수 있으며, 동시에 충분한 계측 데이터가 제조 설비에서 수행되는 공정 동작들을 정확하게 모니터링하기 위해 획득됨을 보증한다.
일 양상에서, 하나 이상의 계측 툴들(14)에 의해 처리되는 각 로트들(23)은 로트 번호, 타임 스탬프, 및 각 로트와 관련된 계측 룰들의 리스트로 식별된다. 이러한 식별 정보는 다양한 제어 액션들을 취하기 위해 계측 제어 유닛(12)에 의해 사용될 수 있다. 당연하게, 식별 정보는 임의의 바람직한 포맷 또는 구성이 될 수 있으며, 그리고 식별 정보는 전술한 특정 항목들보다 많거나 적은 정보를 포함할 수 있다. 예를 들어, 일 실시예에서, 소정의 로트(23)에 대한 식별 정보는 하기의 형태를 취할 수 있다.
Figure 112010029534086-pct00005
여기서, 타임 스탬프(Time Stamp)는 이전의 공정 동작이 해당 웨이퍼 로트에 대해 수행된 시간을 표시한다. ET40M1CD는, 금속 1의 임계 치수(M1CD) 계측 동작이 식각 툴 번호 40(ET40)에서 처리되는 로트에 대해 수행되어야 하는 것을 나타낸다.
제 2 룰인 R02M1CD는 금속 1의 임계 치수(M1CD) 계측 동작이 레티클 번호 2(R02)를 사용하여 리소그래피 공정을 통해 처리되는 로트에 대해 수행되어야 하는 것을 나타낸다. 단일의 로트가 수개의 계측 룰들을 만족시킬 수 있다.
본 발명의 일 양상에 따르면, 로트들(23)은 다양한 이유들로 진행중인 계측 작업으로부터 제거되거나 "제외될" 수 있는데, 예를 들어, 만일 다른 로트들이 계측 툴들(14)에서 처리되고 있으며/또는 보다 최근에 처리된 로트들이 계측 큐에 있는 경우에, 의도된 계측 동작들은 여분의 사항이 될 수 있다. 하기의 표는 예시적인 예를 제공한다.
Figure 112010029534086-pct00006
여기서, ET39는 식각 툴 번호 39를 나타내며, S64는 스테퍼 툴 번호 64를 나타내며, 그리고 기타 정보는 상기 설명한 바와 같다. 본 예시적인 예에서, 만일 모든 로트들이 계측 큐에 있는 경우에, 본 발명에 따르면, 로트(J20)는 원하는 경우에 또는 필요한 경우에 계측 큐로부터 취해질 수 있다. 로트(J20)는 제거될 수 있는데, 이는 로트(J20)에 의해 충족되는 모든 계측 룰이 이후의 타임 스탬프에 의해 표시되는 바와 같이, 계측 큐의 보다 최근에 처리된 로트에 의해 매칭되거나 혹은 동등하게 충족되기 때문이다. 즉, 로트(J30)은 로트(J20)의 R02M1CD 룰과 매칭하며, 로트(J40)는 로트(J20)의 E40M1CD 룰과 매칭한다. 따라서, 계측 동작들은 로트(J20)상에서 수행될 필요가 없는데, 이는 이후의 타임 스탬프들에서의 로트들이 로트(J20)에 관한 모든 계측 동작들 및 룰들을 충족하기 때문이다. 당연하게, 바람직한 경우에, 로트(J20)상에서 수행되는 계측 동작들은 완전하게 제거되는 대신에 단순히 지연될 수 있는 것으로 결정될 수 있다. 즉, 로트(J20)는 진행중인 계측 작업 레벨들이 충분히 낮은 레벨로 줄어들어, 로트(J20)가 이제 계측 툴들(14)에서 처리될 수 있을 때까지 기다릴 수 있다. 그러나, 일 실시예에서, 일단 본 발명에 의해 로트(J20)가 "제외되면", 로트(J20)상에서 수행될 계측 동작들은 단순히 수행되지 않으며, 로트(J20)는 추가적인 공정 동작들이 로트(J20) 내의 웨이퍼들 상에서 수행되는 다운스트림으로 보내진다.
또 하나의 예로서, 계측 샘플링에 대한 룰들이 특정 공정 동작에서의 각각의 공정 툴(EACH processing tool) 또는 임의의 공정 툴(ANY processing tool)들에 대해 특정될 수 있다. 상기 각각의 공정 툴에 대한 룰 셋업은 각 개별 공정 툴에 의한 샘플링을 보증한다. 상기 임의의 공정 툴에 대한 룰 셋업은 공정 툴들 중 적어도 하나에 의한 샘플링을 보증한다. 만일 룰이 상기 각각의 공정 툴에 대해 정의되는 경우, 로트가 성공적으로 계측 동작을 패스(pass)한 때에, (1) 공정을 위해 아직 계측 툴들에 진입하지 않았으며 아울러 (2) 계측 동작을 패스한 로트의 공정 시간 이전에 공정 툴상에서 처리된 임의의 다른 로트들이 계측 큐로부터 자동으로 제외되고 제거될 수 있다. 만일 상기 임의의 공정 툴에 대한 계측 룰이 사용되는 경우, 제 1 로트가 계측 동작을 성공적으로 패스한 때에, 로트 이전의 특정 공정 동작에서 처리된 모든 다른 로트들은 무슨 툴이 사용되었는지에 관계없이 계측 큐로부터 제거될 것이다.
본 발명은 또한 본원에서 설명되는 방법들과 관련하여 다양한 제약들 또는 추가적인 룰들을 이용할 수 있다. 예를 들어, 초기에, 소정의 계측 동작 또는 룰이 본원에서 설명되는 제외(bracking) 방법들을 적용받게 되는지가 결정될 필요가 있다. 소정의 공정 동작은 매우 중요할 수 있으며, 이러한 중요한 동작이 가해지는 로트들(23)의 계측 동작들을 제외시키거나 수행하지 않는 것은 용인될 수 없다. 이 경우에, 본원에서 설명되는 방법들은 계측 큐들에서의 이러한 중요한 로트들(23)에 적용되지 않을 것이다. 적절한 식별자가 이러한 로트들과 관련될 수 있으며, 따라서, 계측 제어 유닛은 이러한 중요한 로트들(23)이 어떤 이유로 계측 큐로부터 제거될 수 없음을 인식하게 된다.
대처해야 할 또 하나의 문제점은 계측 큐로부터 다른 로트들을 제거하거나 제외시키기 위해, 샘플링된 로트가 수용가능한 대표(acceptable representative)로서 고려되기 위한 적절한 기준이다. 일반적으로, 로트들은 설비 내에서 처리되는 대부분의 로트들(23)의 특성을 표시하는 경우에 대표적인 것으로서 고려된다. 무엇이 대표적인 로트로서 적격이 되는지에 대한 정확한 파라미터들은 특정 응용에 따라 변할 수 있다. 예를 들어, 일 예시적인 실시예에서, 대표적인 로트는 전형적으로 어떤 특별한 특성들 또는 목적들을 갖지 않는 전체 웨이퍼 카세트를 구비한 로트(예를 들어, 새로운 공정 또는 툴을 테스트하기 위한 기술적으로 요구되는 특별한 로트 등)이 될 것이다.
본 발명의 또 하나의 양상에서, 본 발명을 사용하여 생략되거나 제외될 수 있는 로트들(23)의 개수에 대한 제한이 확립될 수 있다. 이러한 제한은 과도하게 많은 개수의 로트들(23)이 계측 동작들을 회피하지 않음을 보증하도록 이용될 수 있다. 예를 들어, 특정 계측 동작(예를 들어, CD 측정)에 대한 계측 큐는 식각 툴(40)(ET40)로부터의 20개의 로트들을 포함할 수 있다. 이러한 큰 큐는 다양한 이유들(예를 들어, 계측 동작을 수행할 수 있는 하나 이상의 계측 툴들(14)의 계획된 유지보수 또는 긴급 차단)에 기인할 수 있다. 이러한 경우에, 일단 CD 계측 동작들이 제한 없이 재개되면, 20개의 로트들 중 가장 최근에 처리된 것의 검사는 이전의 19개의 로트들을 제외하거나 제거할 것이다. 만일 제한이 확립되어, 단지 4개의 연속적인 로트들만이 생략되는 경우에, 로트(20)의 검사는 로트들(16 내지 19)을 제외시키거나 제거할 것이다. 로트(15)의 검사는 로트들(11 내지 14)을 제외할 것이며, 로트(10)의 검사는 로트들(6 내지 9)을 제외할 것이며, 그리고 로트(5)의 검사는 로트들(1 내지 4)을 제외할 것이다. 개별의 제한 개수가 이러한 목적을 위해 계측 룰에 부가될 수 있다. 바람직한 경우에, 디폴트 제한 룰(예를 들어, 10개의 로트들)이 또한 이용될 수 있다.
본 발명은 또한 계측 제어 유닛(12)에 의해 취해지는 액션들에 영향을 미칠 수 있는 특별한 이벤트들의 발생을 설명하는 특별한 룰들을 적용받을 수 있다. 예를 들어, 만일 예방적인 유지보수 동작들이 최근에 공정 툴 또는 계측 툴상에서 수행된 경우에, 계측 제어 유닛(12)이 본원에서 설명되는 제외 활동들(bracketing activity)을 수행할 수 있기 이전에, 계측 동작들이 해당 툴을 통해 처리되는 선택된 번호의 로트들(23) 상에서 수행됨을 보증하는 것이 바람직할 수 있다. 선택된 번호는 특정 툴 및/또는 이벤트 타입에 따라 변할 수 있다. 이러한 특별한 이벤트의 발생에 기초하여, 계측 동작들이 해당 툴에서 처리된 특정 번호의 로트들 상에서 수행되는 시간까지, 계측 제어 유닛(12)은 해당 툴에서 처리된 제외 로트들(bracketing lots)로부터 면제될 수 있다. 이러한 선택된 번호의 로트들(23)이 계측을 통해 처리된 이후에, 제어 유닛(12)은 해당 툴을 통해 처리되는 후속 로트들이 본 발명에 따라 제외되게 할 수 있다.
본원에서 설명되는 제외 알고리즘(bracketing algorithm)은 연속적으로 또는 간헐적으로 동작할 수 있다. 예를 들어, 본원에서 설명되는 방법들은 항상 가동(on)되며, 그리고 진행중인 계측 작업이 사전에 선택된 레벨을 초과하는 때에 또는 진행중인 작업의 다운스트림 공정 레벨들이 매우 낮은 때에 활성화될 수 있다. 본원에서 설명되는 제외 알고리즘은 또한 원하는 경우에 중지될 수 있다.
일반적으로, 본 발명은 진행중인 계측 작업을 동적으로 제어하는 다양한 방법들 및 시스템들에 관한 것이다. 일 예시적인 실시예에서, 본 방법은 적어도 하나의 계측 툴에 대한 계측 작업 흐름을 제어하는 계측 제어 유닛을 제공하는 단계와, 그리고 계측 큐에 있는 복수의 웨이퍼 로트들을 식별하는 단계를 포함하며, 여기서 웨이퍼 로트들은 적어도 하나의 계측 툴에서 처리되며, 그리고 계측 제어 유닛은 적어도 하나의 계측 툴에서의 계측 공정을 위한 적어도 하나의 웨이퍼 로트들을 선택함과 아울러 적어도 하나의 계측 툴에서의 선택된 적어도 하나의 웨이퍼 로트에 대한 계측 공정에 기반하여, 계측 큐로부터 제거될 복수의 웨이퍼 로트들 중 적어도 다른 하나를 선택한다. 추가적인 실시예에서, 상기 방법은 복수의 추가적인 웨이퍼 로트들 상에서 계측 동작들을 수행하는 것을 포함한다.
또 하나의 예시적인 실시예에서, 상기 방법은 적어도 하나의 계측 툴에 대한 계측 작업 흐름을 제어하는 계측 제어 유닛을 제공하는 단계와, 그리고 계측 큐에 있으며, 적어도 하나의 계측 툴에서 처리될 복수의 웨이퍼 로트들을 식별하는 단계를 포함하며, 그리고 계측 제어 유닛은: (a) 적어도 하나의 계측 툴에서의 계측 공정을 위해 웨이퍼 로트들 중 적어도 하나를 선택하며, 여기서, 선택된 적어도 하나의 웨이퍼 로트에는 이전의 공정 동작이 가해졌으며; 그리고 (b) 적어도 하나의 계측 툴에서의 선택된 적어도 하나의 웨이퍼 로트의 계측 공정을 근거로 상기 계측 큐로부터 제거될 상기 복수의 웨이퍼 로트들 중 적어도 하나의 다른 웨이퍼 로트를 선택하며, 여기서 적어도 하나의 다른 웨이퍼 로트는 상기 선택된 적어도 하나의 웨이퍼 로트의 공정 시간 이전에 상기 공정 동작이 가해진다.
개시된 특정 실시예들은 단지 예시적인 것인데, 이는 본 발명이 본원의 개시들의 이익을 받는 기술분야의 당업자들에게 자명한 다르지만 등가의 방식들로 변형되어 실시될 수 있기 때문이다. 예를 들어, 제시된 공정 단계들은 다른 순서로 수행될 수 있다. 더욱이, 하기의 청구범위에서 설명되는 것 이외에, 본원에서 도시된 구성 또는 설계의 세부사항들에 대한 어떠한 제한도 가해지지 않게 된다. 따라서, 자명한 사항으로서, 개시된 특정 실시예들은 변경되거나 변형될 수 있으며, 모든 이러한 변화들은 본 발명의 사상과 범주 내에 드는 것으로 고려된다. 따라서, 본원에서 요구되는 보호범위는 하기의 청구범위에서 제시된 바와 같다.

Claims (14)

  1. 진행중인 계측 작업을 동적으로 제어하는 방법으로서,
    적어도 하나의 계측 툴(metrology tool)(14)에 대한 계측 작업 흐름(metrology work flow)을 제어하는 계측 제어 유닛(metrology control unit)(12)을 제공하는 단계와; 그리고
    계측 큐(metrology queue)에 있으며, 상기 적어도 하나의 계측 툴(14)에서 처리될 복수의 웨이퍼 로트(wafer lot)들(23)을 식별하는 단계를 포함하여 구성되고,
    상기 계측 제어 유닛(12)은, 상기 적어도 하나의 계측 툴(14)에서의 계측 공정(metrology processing)을 위해 상기 웨이퍼 로트들(23) 중 적어도 하나의 웨이퍼 로트를 선택함과 아울러, 상기 적어도 하나의 계측 툴(14)에서의 상기 선택된 적어도 하나의 웨이퍼 로트의 상기 계측 공정에 근거하여 상기 계측 큐로부터 제거될 상기 복수의 웨이퍼 로트들(23) 중 적어도 하나의 다른 웨이퍼 로트를 선택하는 것을 특징으로 하는 진행중인 계측 작업을 동적으로 제어하는 방법.
  2. 제1항에 있어서,
    상기 적어도 하나의 계측 툴(14)은 적어도 하나의 계측 동작을 수행하는 것을 특징으로 하는 진행중인 계측 작업을 동적으로 제어하는 방법.
  3. 제1항에 있어서,
    상기 복수의 웨이퍼 로트들(23)은, 각 웨이퍼 로트와 관련되는 고유의 로트 식별번호와, 상기 로트에 대해 이전의 공정 동작이 수행된 날짜 및 시간을 표시하는 타임 마크(time mark)와, 그리고 적어도 하나의 계측 룰(metrology rule)을 가지며, 여기서, 각각의 룰은 상기 로트에 대해 수행될 계측 동작의 타입과, 그리고 상기 로트에 대해 이전의 공정 동작이 수행된 공정 엔티티(processing entity)를 표시하는 것을 특징으로 하는 진행중인 계측 작업을 동적으로 제어하는 방법.
  4. 제1항에 있어서,
    상기 적어도 하나의 계측 툴(14)에서의 계측 공정을 위해 상기 적어도 하나의 웨이퍼 로트(23)를 선택하는 것은, 상기 로트에 대해 이전의 공정 동작이 수행된 날짜 및 시간을 표시하는 타임 마크를 근거로 하는 것을 특징으로 하는 진행중인 계측 작업을 동적으로 제어하는 방법.
  5. 제1항에 있어서,
    상기 적어도 하나의 계측 툴(14)에서의 계측 공정을 위해 상기 적어도 하나의 웨이퍼 로트(23)를 선택하는 것은, 상기 로트와 관련된 계측 룰을 근거로 하는 것을 특징으로 하는 진행중인 계측 작업을 동적으로 제어하는 방법.
  6. 제1항에 있어서,
    상기 적어도 하나의 계측 툴(14)에서의 계측 공정을 위해 상기 적어도 하나의 웨이퍼 로트(23)를 선택하는 것은, 상기 로트에 대해 이전의 공정 동작이 수행된 날짜 및 시간을 표시하는 타임 마크, 및 상기 로트와 관련된 계측 룰을 근거로 하는 것을 특징으로 하는 진행중인 계측 작업을 동적으로 제어하는 방법.
  7. 제1항에 있어서,
    상기 계측 공정을 위해 상기 웨이퍼 로트들(23) 중 선택된 적어도 하나의 웨이퍼 로트는 이전의 공정 동작이 가해진 웨이퍼 로트이며, 그리고
    상기 계측 큐로부터 제거될 상기 웨이퍼 로트들(23) 중 적어도 하나의 다른 웨이퍼 로트의 선택은, 상기 선택된 적어도 하나의 웨이퍼 로트의 공정 시간 이전에 상기 공정 동작이 가해진 적어도 하나의 웨이퍼 로트를 식별하는 것을 포함하는 것을 특징으로 하는 진행중인 계측 작업을 동적으로 제어하는 방법.
  8. 제1항에 있어서,
    상기 계측 큐로부터 제거되기 위해 선택된 상기 적어도 하나의 웨이퍼 로트(23)를 제거하는 단계를 더 포함하는 것을 특징으로 하는 진행중인 계측 작업을 동적으로 제어하는 방법.
  9. 제1항에 있어서,
    상기 계측 큐로부터 제거될 수 있는 웨이퍼 로트들(23)의 수를 제한하는 단계를 더 포함하는 것을 특징으로 하는 진행중인 계측 작업을 동적으로 제어하는 방법.
  10. 제1항에 있어서,
    복수의 추가적인 웨이퍼 로트들(23)에 대해 계측 동작들을 수행하는 단계를 더 포함하는 것을 특징으로 하는 진행중인 계측 작업을 동적으로 제어하는 방법.
  11. 제1항에 있어서,
    상기 계측 제어 유닛(12)은 복수의 계측 툴들(14)을 제어하는 것을 특징으로 하는 진행중인 계측 작업을 동적으로 제어하는 방법.
  12. 제1항에 있어서,
    상기 적어도 하나의 계측 툴(14)은, 임계 치수 측정, 레이어(layer)의 두께 측정, 표면의 평면성 측정, 전기적 특성 측정, 막의 저항성 측정, 막의 광학 특성 측정, 결함 측정, 오버레이 정렬(overlay alignment) 측정 중 적어도 하나로 구성되는 적어도 하나의 계측 동작을 수행하는 것을 특징으로 하는 진행중인 계측 작업을 동적으로 제어하는 방법.
  13. 제1항에 있어서,
    상기 계측 큐로부터 제거될 수 없는 상기 복수의 로트들(23) 중 적어도 하나의 로트를 식별하는 단계를 더 포함하는 것을 특징으로 하는 진행중인 계측 작업을 동적으로 제어하는 방법.
  14. 진행중인 계측 작업을 동적으로 제어하는 방법으로서,
    적어도 하나의 계측 툴(14)에 대한 계측 작업 흐름을 제어하는 계측 제어 유닛(12)을 제공하는 단계와; 그리고
    계측 큐에 있으며, 상기 적어도 하나의 계측 툴(14)에서 처리될 복수의 웨이퍼 로트들(23)을 식별하는 단계를 포함하여 구성되고,
    상기 계측 제어 유닛(12)은,
    (a) 상기 적어도 하나의 계측 툴(14)에서의 계측 공정을 위해 상기 웨이퍼 로트들(23) 중 적어도 하나의 웨이퍼 로트를 선택하고, 여기서 계측 공정을 위해 선택된 상기 적어도 하나의 웨이퍼 로트에는 이전의 공정 동작이 가해지며; 그리고
    (b) 상기 적어도 하나의 계측 툴(14)에서의 상기 선택된 적어도 하나의 웨이퍼 로트의 상기 계측 공정에 근거하여 상기 계측 큐로부터 제거될 상기 복수의 웨이퍼 로트들(23) 중 적어도 하나의 다른 웨이퍼 로트를 선택하며, 여기서 적어도 하나의 다른 웨이퍼 로트에는 상기 선택된 적어도 하나의 웨이퍼 로트의 공정 시간 이전에 상기 공정 동작이 가해지는 것을 특징으로 하는 진행중인 계측 작업을 동적으로 제어하는 방법.
KR1020077008345A 2004-10-05 2005-06-23 진행중인 계측 작업을 동적으로 제어하는 방법 및 시스템 KR101129715B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/958,834 US7296103B1 (en) 2004-10-05 2004-10-05 Method and system for dynamically selecting wafer lots for metrology processing
US10/958,834 2004-10-05
PCT/US2005/022191 WO2006041542A2 (en) 2004-10-05 2005-06-23 Method and system for dynamically controlling metrology work in progress

Publications (2)

Publication Number Publication Date
KR20070051939A KR20070051939A (ko) 2007-05-18
KR101129715B1 true KR101129715B1 (ko) 2012-03-28

Family

ID=35311834

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077008345A KR101129715B1 (ko) 2004-10-05 2005-06-23 진행중인 계측 작업을 동적으로 제어하는 방법 및 시스템

Country Status (7)

Country Link
US (1) US7296103B1 (ko)
EP (1) EP1797486A2 (ko)
JP (1) JP2008523458A (ko)
KR (1) KR101129715B1 (ko)
CN (1) CN101036092B (ko)
TW (1) TWI374345B (ko)
WO (1) WO2006041542A2 (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7836168B1 (en) 2002-06-04 2010-11-16 Rockwell Automation Technologies, Inc. System and methodology providing flexible and distributed processing in an industrial controller environment
US9235413B1 (en) * 2005-08-03 2016-01-12 National Semiconductor Corporation Automated control of semiconductor wafer manufacturing based on electrical test results
JP4957226B2 (ja) * 2005-12-15 2012-06-20 富士通セミコンダクター株式会社 製品製造に係る品質改善を支援する情報処理端末及び品質改善支援サーバ
TWI367402B (en) * 2006-10-12 2012-07-01 Tokyo Electron Ltd Substrate measurement method, program, computer-readabel recording medium recorded with program, and substrate processing system
US7937177B2 (en) * 2007-06-27 2011-05-03 International Business Machines Corporation Manufacturing work in process management system
US7668615B2 (en) * 2007-10-02 2010-02-23 GlobalFoundries, Inc. Method and apparatus for randomizing dispatch order for single wafer processing
US8565910B2 (en) 2011-02-04 2013-10-22 International Business Machines Corporation Manufacturing execution system (MES) including a wafer sampling engine (WSE) for a semiconductor manufacturing process
US9027035B2 (en) * 2012-12-17 2015-05-05 Itron, Inc. Non real-time metrology data management
US10133263B1 (en) 2014-08-18 2018-11-20 Kla-Tencor Corporation Process condition based dynamic defect inspection
CN105470156B (zh) * 2014-09-09 2018-09-04 中芯国际集成电路制造(上海)有限公司 一种基于rtd的量测工艺
US10295979B2 (en) * 2015-09-15 2019-05-21 Applied Materials, Inc. Scheduling in manufacturing environments
US10763144B2 (en) * 2018-03-01 2020-09-01 Verity Instruments, Inc. Adaptable-modular optical sensor based process control system, and method of operation thereof
US11887862B2 (en) 2021-09-14 2024-01-30 Deca Technologies Usa, Inc. Method for redistribution layer (RDL) repair by mitigating at least one defect with a custom RDL

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020193899A1 (en) 2001-06-19 2002-12-19 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5425839A (en) 1992-05-14 1995-06-20 Texas Instruments Incorporated Method for rapidly etching material on a semiconductor device
US5770098A (en) 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5657252A (en) 1995-09-29 1997-08-12 Motorola, Inc. Dynamically configurable equipment integration architecture
JP3699776B2 (ja) 1996-04-02 2005-09-28 株式会社日立製作所 電子部品の製造方法
US5822218A (en) 1996-08-27 1998-10-13 Clemson University Systems, methods and computer program products for prediction of defect-related failures in integrated circuits
US5982920A (en) 1997-01-08 1999-11-09 Lockheed Martin Energy Research Corp. Oak Ridge National Laboratory Automated defect spatial signature analysis for semiconductor manufacturing process
US5896294A (en) 1997-03-11 1999-04-20 Advanced Micro Devices, Inc. Method and apparatus for inspecting manufactured products for defects in response to in-situ monitoring
US5976740A (en) * 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US5999003A (en) 1997-12-12 1999-12-07 Advanced Micro Devices, Inc. Intelligent usage of first pass defect data for improved statistical accuracy of wafer level classification
JP3055516B2 (ja) 1997-12-25 2000-06-26 日本電気株式会社 半導体集積回路の検査解析装置及びその方法並びにその制御プログラムを記録した記録媒体
US6403385B1 (en) 1998-01-27 2002-06-11 Advanced Micro Devices, Inc. Method of inspecting a semiconductor wafer for defects
US6408219B2 (en) 1998-05-11 2002-06-18 Applied Materials, Inc. FAB yield enhancement system
US6263255B1 (en) 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6171174B1 (en) * 1998-06-26 2001-01-09 Advanced Micro Devices System and method for controlling a multi-arm polishing tool
IL125337A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for lithography monitoring and process control
US6136712A (en) 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
US6281962B1 (en) 1998-12-17 2001-08-28 Tokyo Electron Limited Processing apparatus for coating substrate with resist and developing exposed resist including inspection equipment for inspecting substrate and processing method thereof
US20020158197A1 (en) * 1999-01-12 2002-10-31 Applied Materials, Inc AFM-based lithography metrology tool
US6662076B1 (en) * 1999-02-10 2003-12-09 Advanced Micro Devices, Inc. Management of move requests from a factory system to an automated material handling system
US6298470B1 (en) 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US6303395B1 (en) 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
JP2003502771A (ja) * 1999-06-22 2003-01-21 ブルックス オートメーション インコーポレイテッド マイクロエレクトロニクス製作に使用するラントゥーラン制御器
US6421574B1 (en) 1999-09-23 2002-07-16 Advanced Micro Devices, Inc. Automatic defect classification system based variable sampling plan
US6248602B1 (en) 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6469518B1 (en) 2000-01-07 2002-10-22 Advanced Micro Devices, Inc. Method and apparatus for determining measurement frequency based on hardware age and usage
US6477432B1 (en) 2000-01-11 2002-11-05 Taiwan Semiconductor Manufacturing Company Statistical in-process quality control sampling based on product stability through a systematic operation system and method
US6337217B1 (en) 2000-02-14 2002-01-08 Advanced Micro Devices, Inc. Method and apparatus for improved focus in optical processing
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
AU2001282879A1 (en) * 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6461878B1 (en) 2000-07-12 2002-10-08 Advanced Micro Devices, Inc. Feedback control of strip time to reduce post strip critical dimension variation in a transistor gate electrode
US6442496B1 (en) 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line
JP2002076087A (ja) 2000-08-31 2002-03-15 Mitsubishi Electric Corp 抜き取り検査管理システム
US6746616B1 (en) * 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
JP3909223B2 (ja) * 2001-06-15 2007-04-25 株式会社デンソー 電子デバイスの製造工程管理システム
US6444481B1 (en) * 2001-07-02 2002-09-03 Advanced Micro Devices, Inc. Method and apparatus for controlling a plating process
US6842659B2 (en) 2001-08-24 2005-01-11 Applied Materials Inc. Method and apparatus for providing intra-tool monitoring and control
US6708129B1 (en) * 2001-12-13 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for wafer-to-wafer control with partial measurement data
US6650955B1 (en) 2001-12-18 2003-11-18 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on process and equipment fingerprinting
US6821792B1 (en) 2001-12-18 2004-11-23 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on process and equipment state information
CN1182572C (zh) * 2002-04-03 2004-12-29 华邦电子股份有限公司 使用动态反馈计算工艺参数的研磨方法
US6687561B1 (en) 2002-04-03 2004-02-03 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on defectivity
US7069103B1 (en) * 2002-06-28 2006-06-27 Advanced Micro Devices, Inc. Controlling cumulative wafer effects
US7067333B1 (en) * 2002-06-28 2006-06-27 Advanced Micro Devices, Inc. Method and apparatus for implementing competing control models
US6959251B2 (en) 2002-08-23 2005-10-25 Kla-Tencor Technologies, Corporation Inspection system setup techniques
US6810296B2 (en) * 2002-09-25 2004-10-26 Advanced Micro Devices, Inc. Correlating an inline parameter to a device operation parameter
CN100407215C (zh) * 2002-09-30 2008-07-30 东京毅力科创株式会社 用于监视和控制半导体生产过程的方法和装置
DE10252613A1 (de) 2002-11-12 2004-05-27 Infineon Technologies Ag Verfahren, Vorrichtung, computerlesbares Speichermedium und Computerprogramm-Element zum Überwachen eines Herstellungsprozesses
US6907369B1 (en) * 2003-05-02 2005-06-14 Advanced Micro Devices, Inc. Method and apparatus for modifying design constraints based on observed performance
US20050021272A1 (en) * 2003-07-07 2005-01-27 Jenkins Naomi M. Method and apparatus for performing metrology dispatching based upon fault detection
US6988045B2 (en) * 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
US6999848B2 (en) * 2003-12-19 2006-02-14 Intel Corporation Process control apparatus, systems, and methods
US7076321B2 (en) * 2004-10-05 2006-07-11 Advanced Micro Devices, Inc. Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
US20060178767A1 (en) * 2005-02-04 2006-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for inspection control

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020193899A1 (en) 2001-06-19 2002-12-19 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing

Also Published As

Publication number Publication date
WO2006041542A2 (en) 2006-04-20
US7296103B1 (en) 2007-11-13
KR20070051939A (ko) 2007-05-18
WO2006041542A3 (en) 2006-06-01
JP2008523458A (ja) 2008-07-03
EP1797486A2 (en) 2007-06-20
TWI374345B (en) 2012-10-11
CN101036092A (zh) 2007-09-12
TW200627105A (en) 2006-08-01
CN101036092B (zh) 2010-11-03

Similar Documents

Publication Publication Date Title
KR101129715B1 (ko) 진행중인 계측 작업을 동적으로 제어하는 방법 및 시스템
Yugma et al. Integration of scheduling and advanced process control in semiconductor manufacturing: review and outlook
JP5384009B2 (ja) 自動スループット制御システムおよびその操作法
US8185230B2 (en) Method and apparatus for predicting device electrical parameters during fabrication
US6917849B1 (en) Method and apparatus for predicting electrical parameters using measured and predicted fabrication parameters
US6678570B1 (en) Method and apparatus for determining output characteristics using tool state data
JP2008516447A (ja) 利用可能なメトロロジーキャパシティに基づいてメトロロジーサンプリングを動的に調整する方法およびシステム
JP2007501517A (ja) 動的測定サンプリング方法及びそれを行うシステム
US6687561B1 (en) Method and apparatus for determining a sampling plan based on defectivity
US6563300B1 (en) Method and apparatus for fault detection using multiple tool error signals
US6790686B1 (en) Method and apparatus for integrating dispatch and process control actions
US6785586B1 (en) Method and apparatus for adaptively scheduling tool maintenance
US7120511B1 (en) Method and system for scheduling maintenance procedures based upon workload distribution
US6754593B1 (en) Method and apparatus for measuring defects
US6732007B1 (en) Method and apparatus for implementing dynamic qualification recipes
WO2006022945A1 (en) Method and system for prioritizing material to clear exception conditions
US6937914B1 (en) Method and apparatus for controlling process target values based on manufacturing metrics
US7130769B1 (en) Method of dynamically designing a preventative maintenance schedule based upon sensor data, and system for accomplishing same
US7783455B1 (en) Methods and systems for analyzing process equipment processing variations using sensor data
US7020535B1 (en) Method and apparatus for providing excitation for a process controller
Miwa et al. Automated stepper load balance allocation system
US7321993B1 (en) Method and apparatus for fault detection classification of multiple tools based upon external data
Yang et al. Short-term bottleneck detection for process planning in a FAB
US7340318B1 (en) Method and apparatus for assessing controller performance
Ramlan et al. AGENT-BASED CHEMICAL MECHANICAL PLANARIZATION QUALIFICATION FOR SEMICONDUCTOR WAFER FABRICATION

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150226

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160218

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee