TWI374345B - Method and system for dynamically controlling metrology work in progress - Google Patents

Method and system for dynamically controlling metrology work in progress Download PDF

Info

Publication number
TWI374345B
TWI374345B TW094131591A TW94131591A TWI374345B TW I374345 B TWI374345 B TW I374345B TW 094131591 A TW094131591 A TW 094131591A TW 94131591 A TW94131591 A TW 94131591A TW I374345 B TWI374345 B TW I374345B
Authority
TW
Taiwan
Prior art keywords
measurement
batch
tool
measuring
tools
Prior art date
Application number
TW094131591A
Other languages
English (en)
Other versions
TW200627105A (en
Inventor
Matthew A Purdy
Cabe W Nicksic
Original Assignee
Globalfoundries Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Globalfoundries Us Inc filed Critical Globalfoundries Us Inc
Publication of TW200627105A publication Critical patent/TW200627105A/zh
Application granted granted Critical
Publication of TWI374345B publication Critical patent/TWI374345B/zh

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32247Real time scheduler
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32306Rules to make scheduling decisions
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • General Factory Administration (AREA)

Description

974345 九、發明說明: 、 【發明所屬之技術領域】 , 本發明大致係關於工業製程,更詳言之,係關於動態 ,.控制量測中之工件(metr〇1〇gy work in pr〇gress)之各種 方法及系統。 【先前技術】 在完整地參閱了本申請案之後’熟悉相關技術者將可 了解:本發明可廣泛應用於涉及各種不同類型的裝置或工 鲁件的製造之各種工業。舉例而言,將在製造積體電路裝置 曰寸所遭遇的各種問題之環境下討論本申請案的背景。然 而’並不將本發明視為只限於使用於半導體製造工業内。 半導體工業中一直有驅策力來提高諸如微處理器、記 憶體裝置等的積體電路裝置之品質、可靠性、及產出率。 客戶對於可更迅速且更可靠地工作的較高品質之電腦及電 子裝置的需求更強化了此種驅策力。這些需求已使得諸如 ⑩電晶體等的半導體裝置之製造以及設有此種電晶體的積體 電路裝置之製造有了持續的改良。此外,若能減少典型電 晶體的各組成部分製造時之缺陷,則亦可降低每一電晶體 .的整體成本'及設有此種電晶體的積體電路裝置之成本。 一般而言,係利用其中包括光學微影步進機 (photolithography stepper)、蝕刻工具、沈積工且、研 磨工具、熱退火製程工具、植入工具等的各種製程工具, 而對一批晶圓執行一組製程步驟。在過去數年中,構成半 導體製程工具的·基礎之技術已獲致更多的注意,而造成了 5 93238 ⑧ 1374345 -% _ -·此種製程工具的精進。然而,儘管該領域中已有這些進展 人但是目前在市場上可購得的許多該等製程工具仍然有某些 缺點。更具體而言,此種工具經常缺乏諸如以使用者易於 …使用的格式提供歷史性參數資料的能力等的先進製程資料 瓜視此力,也缺乏事件記錄(event 1〇gging)、目前製程參 數及整批次的製程參數之即時圖形顯示、以及遠端(亦即本 地及世界各地)監視。這些缺點可能對諸如產出率、正確 性、穩定性及可重複性、製程溫度、以及機械工具參數等 ♦的關鍵性製程參數造成了非最佳㈣制。由於批次内的差 異性(within-run disparities)、各批次間之差異性(run一 to-run disparities)、及各工具間之差異性(t〇〇1_t〇_ tool disparities)可能造成產品品質及效能的偏差,所以 顯露了前文所述的變化性;反之,用於此類工具的理想之 監視及診斷系統將提供一種監視該變化性之裝置,並提供 一種對關鍵性參數的控制之最佳化裝置。 鲁 改善半導體生產線的作業之一種技術包括使用遍及全 工廠的(factory wide)控制系統來自動地控制各種製程工 具的作業。该專製造工具與由若干製程模組構成的製造架 '構或網路通訊。每一個製造工具通常連接到設備介面。該 設備介面連接到用來協助該製造工具與該製造架構間之通 訊的機器介面。該機器介面通常可能是先進製程控制 (Advanced Process Control ;簡稱 APC)系統中的一部分。 該APC系統根據製造模型而啟動控制描述語言程式 (control script) ’該控制描述語言程式可以是用來自動 6 93238 ⑧ 1374345 行所需的資料之軟體程式。半 逐步經過多個製造工具,以便進行多個製程,而產 經過處理的半導體裝置的品質有關之資料。 ” 之各=程,可能發生會影響到所製造裝置的性能 之各種事件。亦即,製程步驟中的變化可能造_ b 置的各特徵部位之變化、以及裝置性能的變化 :、 =寸、摻雜濃度一s)、接觸電阻、及:: W專的ϋ切有可能影㈣該裝置的最終 祀據 ::能模::控制生產線中的各種工具,以便減少::: 文化。一般被控制的工具包括微影步進機、研磨工具、蝕 尤積工具。將處理前及(或)處理後的量測資料 ==料工具㈣雜㈣。該等製餘制隸據該性 =模i及該量測資訊來計算諸如製程時間等的操作方式泉 ’以便嘗試使處理後的結果儘量接近目標值。以此種方 式j少變化時’將可獲致更高的產出率、更低的成本、及 更而的裝置性能等成效,所有這些成效都㈣於更高的獲 利率。 通常係根據所製造裝置的設計值而執行各種製程的目 標值。例如,特定的製程層可具有目標厚度。可自動控制 各沈積工具及(或)研磨工具的操作方式,以便減少與該目 標厚度有關的變化。在另一例子中’電晶體閑電極的關鍵 尺寸可具有相關聯的目標值。可自動控制各微影工具及(或) 蝕刻工具的操作方式,以便得到目標關鐽尺寸。 通常將控制模型用來產生控制動作,以便根據所收集 93238 7 1374345 的與所控制的製程工具所進行的處理有關之反饋 (feedback)或前饋(feedf〇rward)量測資料,而 ^ 口 工具的操作方式設定值。為了有效地運作 L 衣長 提供給控制模型時,必須適時地且 上:測資料 該控制模型預測其所控制的製程工具的未來力維持 方式,將量測資料提供給該控制横型。 。、b之 地執投入了相當大的努力以確保精確 轨订了製鞋作業,以便使所產生的 此種情形尤其適用於半導體彭 .°軚規格。 業令料夕夏測工具及感測器用來取得大 料,以便決定在製程工且中勃 、置測貝 確性,⑽定所…=符程::?=正 !該目的,-般半導體製造工廠可能投注大量 :此種量測資料。現代的半導體製造工廉通常將::二 執仃各種量測作業的許多量測工具又來 量測資料可包括製程声 _ 'J作站。例示的 ,部位之關鍵尺才在基材之上形成的的特徵 〜 * 表面的平坦度等的量測資料。草此 測),而其他的量測工具可執(例關鍵… 的半導體製造工廠可:有了種靖作。此外,-般 具。 廠U可執行相同量測操作的多種工 在半導體製造環境中,係針對各種製程作業 冽抽樣率。可根據諸如閉極 名、 里 及_程操作在可控制性的穩=4之特各=^的關鍵性 心往度之各種因素而改變 93238
1374345 抽樣率。在半導體製造環境中,通常將量測抽樣率設定在 j於被選擇進行抽樣的所有產品總和將完全使用所有可用 置測處理量〇netrology capacity)之水準。通常可將該抽 樣水準稱為基線抽樣率。將基線抽樣率設定在小於最大抽 2水準,以便在-個或多個量測工具因諸如例行維護及直 量測工具發生計劃外的問題等的各種理由而無法: 作之後,可讓量測工具“趕上(catch_up),,累積的在製品 (w〇rk-in-Pr〇gress;簡稱 WIp)。例如,如果四 測工具中之一個量測工具無法工作,則在該無法工作= ^工=復工作之前,在製品(WIp)將緩緩累積在量測仵 列。騎,所有四個可用量測工具都將在高於正常使 =用率下運作’直到在製品(WIp)仔列減少到正常值為 儘管努力地控制量測操作,但是 :品⑽)。亦即’由於許多原因,用於各種量測;t 製品符列會超過量測工具之可用的處 i 動來減少量測中之工件或量測仵列。例如,== =低減少到可以接受的程度的時候為止: :之有效性之較少的量測資料。結果,於製造設= 問題可能無法如所期望般快速地偵測到。於3, 诸 製造效率可能由於使用此種減少量測 ^生產率與 低。 又工件之方法而降 本發明係有關克服或至少減少前文所述的一種或多種 93238 9 f * * • 問題之影響。 9 . 【發明内容】 本發明大致關於動態控制量測中 纟& 士 里叫Τ之工件之各種方法及 糸,.先。在一個實施例中,該方 决出从, 々次匕祜5又置適合控制量測工 作机於至少一個量測工具量 ..^ J控制早兀*,識別於量測佇 列内之複數個晶圓批,Μ該等晶圓批將由至少一個量測 工具處理’並且其中該量測控制單元選擇該等晶圓批中之 至少-個晶圓批用於在該至少—個量測工具内之量測處 理,並且根據在該至少―個量測工具内之該選擇之至少一 個晶圓批之量測處理而選擇將要從量測㈣中移除之該複 數個晶圓批中之至少另一晶圓批。 、在另-個實施例中,該方法包括設置適合控制量測工 作流於至少-個量測工具之量測控制單元;識別於量測仔 列内之複數個晶圓批,其中該等晶圓批將由至少一個量測 工具處理,其中該量測控制單元:(a)選擇該等晶圓批中之 鲁至少一個晶圓批用於在該至少一個量測工具内之量測處 理,該選擇之至少一批晶圓係經受先前的製程操作;以及 (b)根據在該至少一個量測工具内之該選擇之至少一個晶 圓批製程之量測處理,在該選擇之至少一個晶圓批從量測 佇列中移除之前,選擇經受該製程操作之該複數個晶圓批 中之至少另一個晶圓批。 【貫施方式】 用以說明之實施例將討論如後。為了清楚說明之目 的’说明書中並未討論實際上實施之所有特徵。應當理解 93238 10 • 定實際實施例的發展過程中’必須做出許 系二二3 = 者之料目標’例如符合與 之情況。:者=:之限制,該等限制將改變各個實施 冉者應理解的是,此發展結果之努力 θ 於與耗時的’但對於所屬技術領域中具有通常知識 '了解本說明書㈣如_行程序般地騎本發明。。 的參照所附圖示來說明本發明。為了說明之目 >以使於=意方式例示出各種結構、系統與裝置, 之細節二技:1Τ具有通常知識者不致於為了已理解 本發明之iL:庫發明。然而,仍加上附圖以說明及解釋 了解的是’此處之用詞與用語與所屬技 I項太中具有通常知識者所了 意義。沒有任何特別的術語或用达之定義^具ΐ 一致的 :::=Γ知識者所了解的慣常定義不同)是由此處 °。或用的一致使用而暗示。然而,具有特別音義之術 | 亦即’與所屬技術領域具有通常知識者所了解的 、吊疋不同)將以直接且明確表達術語或用語特別定義 之方式陳述於說明書中。 第1圖所示係本發明之製造系統10之簡化方塊示音 圖。在所示實施例中,該製造系統10適於製造半導體裝 置。本發明雖然以半導體製造設備來說明,但是本發明、並 不限於此而可應用於其他製造環境。此處所描述之技術可 應用於各種工件或製造用品—ufaetured i tern)。例如, ’本發明可用於有關製造個種積體電路裝置,包括但不限 93238 ⑧ 1374345 .於.微處理器、記憶體裝置、數位訊號處理器 積體電路(aPPlicatl〇n specific integratedcircui;;s. A:s)或其他裝置。此項技術亦可應用於不同於積體電路 裝置之工件或製造用品。 網路20逹接製造系統1〇之各種組件,以使各组件互 相交換資訊。所圖示之製造系統10包括複數個工具%至 80。該工具3〇至8〇中的每一個可連接至電腦(未示出)以
介接^網2 2〇。將工具3〇至8〇中類似的工具編H I如^央文字母在字尾表示。舉例來說,工具組舰至咖 表不某特疋類型之工具’例如化學機械平坦化工且。一個 ㈣的晶圓或許多晶圓在製造時經由工具30至80、前進, 而每-個工具3〇至8〇在處理流程令執行一項特別的作 用。於半導财置製造環境之製程卫具之範例包括量測工 具、、光刻步進機、钱刻工具、沉積工具、研磨工具、快速 熱退火工具、植入工具等。圖示中之工具至之排列 與分組僅為例示之目的。於實際之製造設備中,該工具 至80可以任何順序或群組來排列。另外,於特別群組中之 工具間之連接係表示與網路20之連接,而非工具3〇至8〇 間之互相連接。 衣 l執行系、統(manufacturing executi〇n ”討⑽IK) 飼服器或控制器90管理高等級之製造系統ig之操作。該 MES伺服器90可監視於製造系統1()中各種實體(耐出⑷ (亦即’批(lots)、工具3〇至8〇)之狀態,並透過製程流 (Pr〇CeSS n〇W)控制製造物品流(How of artlcles of 12 93238 ⑧ 1374345 manUfacture)’例如半導體晶圓批。資料庫伺服器丄⑽係 用以儲存與各種實體之狀態以及在製程流中製造2物品有 關之資料。該資料庫伺服器1〇〇可將資訊儲存於—個或多 個資料儲存器110内。該資料可包括預處理與後處理量測 貧料、工具狀態、批之優先權(1〇t pri〇rities)、操作方 式等。該控制器90亦可提供操作方式至如第丨圖所示之一 個或多個工具,或提供將各種操作方式執行於一個或多個 工具之命令。當然,該控制器9〇不需要執行所有的這些功 再者,對於控制器9G所描述之功能可藉由散佈於該系 統10中之一台或多台電腦來執行。 =本發明與相對應之詳細說明係以軟體或操作之演 付號表不法來表示於電腦記憶體内之資料位元。 ==表示法係用來將所屬技術領域中具有通常知識者 ^^,,,ff(substanceof thelrwork)^^#is :::戶二屬技術領域中具有通常知識者。演算法(如此處 = 及如同其通常所使用之情形)係想像成- 致=el一stent)序㈣ =車該步驟需要物理量之物理操作。這些物理量通 ::非必要以下列形式呈現:可用於儲 操作之光學的、電性的、或· 位ΓΓΓ原因已證明為便利以涉及這些訊號作為 位-值、-素、符號、字元、術語、數字等。 然而應了解的是,所古4 + A 物理詈右關,與類似之術語係與合適之 里__為應用至這些物理量之便利標記。除非 93238 13 特別叙述’或由說明7 θ s易地理解,諸如處理(processing) 或運异(c〇mputing)^ p w (d一㈤或4:d“r atlng)或判定 或類似電子計算€置之Aplaylng)等術語,涉及電腦系統 *統之暫存器與二丁;和=’將操作及轉換在電腦 盥在雷腦為 電子量之資料成為 兴在冤細糸統記憶體或靳 次暫存益或其他資訊儲存、傳輸、或 顯不裝置内相似表示為物理量之其他資料。 制时衣^系統=亦包括執行於例示之工作站15 0之量測控 姑ΓγΓΙ ,該里測控制單元12可用以控制執行於製造系 ’’先10中與製造操作有關 關之各種使用之1測工具。該量測控 早兀12可與控制11 90通訊,及/或與個別的工具30-80 2關之-個❹個製程控· 145通訊,其目的將於後文 :說:。由製程控制器145所使用之特定的控制模型係根 正又控制之工具3〇至80之類型。該控制模型可使用公 知$線性或非線性技術憑經驗來發展。該控制模型可為相 對f單之以方耘式為基礎之模型(例如線性、指數、加權平 均等)或較複雜之模型,例如神經網路模型、主成分分析 (Principal component analysis ; pcA)模型、部分最小平 方投影至潛在結構(partianeast squares pr〇jecti〇n 仂 latent structures ; PLS)模型。該控制模型之具體實施可 根據所選擇之模型技術與所控制之製程而改變。特定控制 模型之選擇與發展為所屬技術領域内具有通常知識者=能 力所能及,因此,為了清楚之目的此處將不再贅述控制模 型之更細節部分以避免模糊本發明之焦點。 93238 14 1374345 適合用於製造系統1 〇之例示的資訊交換與製程控制 架構為先進製程控制(advanced process control ; APC) 架構,例如可使用KLA-Tencor公司先前所提供之催化劑系 統(Cata 1 y s t sy st em)來實施。該催化劑系統使用半導體設 備暨材料國際(Semiconductor Equipment and Materials International ; SEMI)電腦整合製造(Computer Integrated Manufacturing; CIM)架構相容之系統技術, 且係根據先進製程控制(APC)架構。CIM(SEMI E8卜0699用 籲於 CIM 架構領域構造(Framework Domain Architecture) 之暫時性的規格)與APC(SEMI E93-0999用於CIM架構先進 製程控制組件之暫時性的規格)規格係由其總部位於美國 加州Mountain View之SEMI所公開而可取用。 製程與資料儲存功能係分佈於如第1圖所示之不同電 腦或工作站之間,以提供一般之獨立與中心資訊儲存。當 然,可使用不同數量之電腦與不同之排列而不違背本發明 之精神及範疇。
第2圖為根據本發明之一個實施例之更詳細的量測系 統5 0之簡化方塊圖。如第2圖中所示,量測控制單元12 連接至複數個量測工具14而操作。在所示實施例中,示意 地繪示出四個此種量測工具14-1、14-2、14-3、以及14-η。 然而,本發明可使用於任何數量之量測工具。該例示的量 測工具14可執行一個或多個各種量測操作。例如,該量測 工具14可執行以下之量測操作,例如測量處理層之厚度、 測量特徵結構之關鍵尺寸(cr i t i ca 1 dimens i on)、測量表 93238 ⑧ 丄 3/434:) =千^度、薄膜電阻率、薄膜光學性質(例如η及k)、 缺I覆蓋物對準(Qverlay aHgnment)等。 —弟2圖亦顯示即將經受在_個或多個量測工 ;丁之-個或多個量測操作之複數個晶圓批23句執 =、以及23,該等批含有複數個(如2〇 2 為b曰圓之半導體基板。該等批23 型地,該等批23係在每_批23内夕a门為代表性的。典 個製程操作之製造階段,且期望在二=已,行至少一 之批23内之晶圓上執:夕固夏测工具上 U内之晶圓上執行任何各種不作T等批 ::製程、退火製程、化學機械研磨:離 或擴散製程、光學微影製料。 & #子植入 * 14^ ^ ^ ^ ^ ^ ^ 行大致相同情況下,量…執 某特徵結構之關鍵尺某層之厚度、測量 :完全交換所有的量測操作:舉; 具…量測操作,則該兩個二1兩個量測工 不需=:r於該三個量測操作中= 工 且/單元12具有控制與決定哪一批23將在旦列 量二理r本發明之-個觀點,= 與邏輯’⑽W_12
93238 1374345 23在一個或多個量測工具丨4内 。 •‘且14能夠以古。此情形使該量測工 -'、 ,之方式來使用,同時亦提升經由萝$ π | --之晶圓批23之流程,可導致制I#*捉开工由衣&叹備 ^ H +,、 導致1造效率與生產力之提升。 另又而〇’以不需要在苴 _ -作之方式,藉由消除或降低多 &计劃的量測操 ” J 4 /7¾之杲些晶圓批H可去丨θ 控制單元12來控制累藉Μ曰+ J用夏測 心制累積的置測在製品。舉 實施例中,在同一個旦、目& 牛例來。兄,在一個 J個里測刼作之佇列中可能有藉齡拙μ 參亦即,複數批係送至量測工且ί4田 匕有禝數批23, 間電極結構之關鍵尺十:1以測量形成於晶圓上之 不m ^ 者,這些晶圓批23可能以各種 不叼-人數與/或於不同製 且 县,舳—旦、… 例如蝕刻工具)來處理。於 疋執仃里測㈣於所有送至量測工具Η之批 非必須的且浪費珍貴的量測資源。因 此,·、、 除或排除a—et,t)欲用於 二發:^ 里測刼作之某些批23。亦即, 、中 於各種量測工具14之在f。的/庚用本發明以明智地降低 的量:資料來正確地監視執行於製造設備中之製二:夠 一批^ 一個觀點中,將由一個或多個量測工具_理的每 number) ^ staran) ^ ::與^批有關之量測規則表-起識別。可藉= ^2使用此識別資訊以採取各種控制行動。 可為任何期望之格式或組構,且該識別資訊可包 別的特定用品多或少之資訊。舉例來說,在一 個“例中,某給定之批23之識別資訊可為下列之形式: 93238 17 1374345 批號 時間戳記 匹配規則 J20 2004 I 0130 02 : I 37 : 42 I ET40M1CD R02M1CD 1 年 1 曰期 1 時間 其中該時間戳記表示執行於該標的晶圓批之前一個製程操 作之時間。ET40M1 CD表示金屬1關鍵尺寸(MlCD)量測操作 將在蝕刻工具號碼40(ET40)處理之批中執行。第二項規則 R02M1CD表示金屬1關鍵尺寸(M1CD)量測操作將在經由微 影製程使用光罩(reticle)號碼2(R02)處理之批中執行。 單一個批可滿足數個量測規則。 根據本發明之一個觀點,因為各種原因(例如若有其他 批於量測工具14中處理與/或在量測佇列中有於隨後處理 之批(more recently processed lots)時,則欲要處理之 量測操作可為多餘的)可將量測在製品之批23移除或排 除。下列表格提供例示性之範例:
批號 時間戳記 匹配規則 J20 2004 0130 02 : 37 : 42 ET40M1CD R02M1CD J30 2004 0130 03 : 05 : 02 ET39M1CD R02M1CD J40 2004 0130 03 : 42 : 55 ET40M1CD S64M1CD 其中,ET39表示蝕刻工具號碼39,S64表示步進機工具號 碼6 4,而其他資訊與前述表示相同。在此例示之範例中, 若所有之批均在量測佇列中時,根據本發明,若希望或需 18 93238 ⑧ I374345 要2可將批J20從量測佇列中移除。可將批J2〇移除是因 為母一個滿足批J20之量測規則與隨後處理之批匹配或同 樣地滿足,當在量測仔列中由較晚的時間戮記所指示。亦 即,批J30匹配批J2kR〇2M1CD規則,而批烟匹配批 J20之E40M1CD規則。因此,批J2〇不需要執行量測操作, 因為較晚的時間戳記之批滿足所有與J2〇有關之量測操作 與規則。當然,若希望的話,可單純地延遲批j2〇所執行 之量測作,以代替完全免除。亦即,批J2〇可等待,直到 量測在製品之程度降低至夠低之程度,才在量測工具Η 中處理批則。然而’在一個實施例中,-旦該批J20使 用本發明而排除,則不會執行於批J2〇執行之量測操作, ^ 疋下游對批J2G内之晶圓執行額外的製程摔
If 0 Μ 作中特定中’用於篁測抽樣之規則在特定製程操 作中月匕特疋用於母—個(Ε_製程工具或任何(ΑΝΥ)製 八於#個製程工具所建立之規則保證由每一個個 抽樣。用於任何製程工具所建立之規則將 保證由至少其中一個制鞀 τ 卜t ^ 衣転具所抽樣。若規則係定義用於 二固ACH)製程工具’則當批成功通過量測操作時,則 Π未進入量測工具以進行處理之任何其他之批以及⑵ 在通過該量測操作之批之處理時間之前已於製程工() 之任何其他之批,都將從旦钿於η Α ,、處理 音,若佶用用μ 自動排除與移除。請注 :右使用用於任何(_製程工具之量測規則,則 知作%將從量測仵列移除所有其他在 93238 19 1374345 該批::之特定製程操作處理之批,而不論使用何種工I 本發明亦可依據此處所描述之方法使用各種。 夕,規則。舉例來說,-開始必然需要 ^ 測=或規則係由此處所描述之排除方法加^ methodol〇gles)所處理。給定的製 处β 的以至於不容許排除„戈不#— ^ '、 Β肊疋非常關鍵
My 纟此_操作所處理之各批 :里測#作。在此情況下,在量測佇列中將不 處所描述之方法至此種關鍵批23。此種批可盘: 符(identifier) έ士人,以#旦、目丨。k的識別 批23不其心 測控制單元識別出這些關鍵 吕 < 何理由均不可從量測佇列中移除。 另一個需討論的問題就是考慮抽樣 之合適標細帅其目的在於從量測二又= ΓΓ他之批。大致上,若批展現出在設備中處=多 數之批23之特性,則可將該批 作為代表批之確㈣數可㈣姓Γ 代表。何種資格可 >數了根據特疋之操作而改變。舉例來 於-個實施例中,代表批典型地是具有完㈣晶圓 Γι ΠΓοίwafers)<"b 5 需由工程師測試新製程或工具等之特殊批。 排广之加/之另一個觀點,可限制可使用本發明略過或 批23之數量。可使用此一限制以確定並沒有過多之 量彻。舉例來說,對於某特定量測操細 件列可含有二十批純刻工具 I )°此大量许列之發生有各種理由,例如-個或多個 可用以執行量測操竹旦 '、乍之里測工具14之定期维護或緊急停
93238 20 1374345 .•機。在此情況中,一旦CD量測操作恢復而沒有 檢視最近期處理的二十批將排除或移除前面的十九批」 -建立限制而使得略過不超過四個連續之批;右 將僅排除或移除批16-19。檢視批15將排除㈣見:20 視批10將排除批6-9、以及檢視批5將排除批w 目的可將分隔之限制數量加入量測規則中。若想要,亦。 使用例如1 〇批作為内定之限制規則。 “ ’、可 I發明亦可使用特別之規則,該規則說明可影變 •測控制單力12採取行動之㈣事件之發生。舉例來說,若 在近期已在製程工具或量測工具上執行預防的維護操作, 則:確保於所選擇數量之批23執行量測操作,該批㈡在 該罝測控制單元12能執行此處所描述之排除活動之前經 由該標的工具(subject t〇〇1)而處理。所選擇之數量可根 據特定工具與/或事件種類而改變。根據此特別事件之發" 生,該量測控制單元12可免除排除在該標的工具處理^ φ j,直到當量測操作已於該標的工具中處理執行達特定數 量之批時為止。在如此選擇數量之批23經由量測而處理之 後,該控制單元12接著可使經由該標的工具處理之隨後之 各批能夠根據本發明而予排除。 此處所描述之排除演算法可連續地或間歇地操作。舉 例來說,此處所描述之方法可能總是在進行中、可能當量 測在製品超過預先設定的程度時或當下游處理之在製品程 度太低時才啟動。若有需要,此處所描述之排除演算法亦 可終止。 93238 2] 1374345 本發明大致關於動態控制量測中之工件之各種方法及 系統。在-個實施例中,該方法包括設置適合控制量測工 作流於至少-個量測工具之量測控制單元;識別於量測仔 列内之複數個晶圓批,其中該等晶圓批將由至少一個量測 工具處理’並且其中該量測控制單元選擇該等晶圓批中之 至少-個晶圓㈣於在該至少―個量測卫具内之量測處 理’並且根據在該至少一個量測工具内之該選擇之至少一 個晶圓批之量測處理而選擇將要從該量測仔列中移除之該 複數個晶圓財之至少另一個晶圓批。在進一步之實施例 令,該方法包括執行量測操作於複數個額外之晶圓批。 :另-個實施例中,該方法包括設置適合控制量測工 旦二^ 一個量測工具之量測控制單元;識別複數個於 二之圓批’其中該等晶圓批將由至少一個量測 該㈣㈣單元⑷選擇該等 用r在該至少一個量測工具内之量測處理, ⑻祀|至)一批晶圓係經受先前的製程操作;以及 (b)根據在至少—個量 批之量測處理,㈣選摆/,該選擇之至少一個晶圓 ία:經受該製程操作之該一 Μ之 習此= : = 例示性之說明,於熟 發明可用不同但均内容後可很顯然地了解本 述之處理步驟可,乍^改或貫作。舉例來說,前 了以不同之順序來執行。再者,除了後述.之 93238 22 1374345 申請專利範圍所描述者外,其餘於此顯示之詳細構造或設 计並非要用來限制本發明。因此很明顯的,以上所揭露之 特定實施例可在不違背本發明之精神及料τ進行修飾盘 改變。由i,本發明之權利保護範圍,應如後 杜真 利範圍所列。 寻 【圖式簡單說明】 _藉由參照所附之圖示可更了解本發明上述之說明,圖 不中類似元件標有類似的參考符號,且其中: 弟1圖係根據本發明之一個杳办丨+击丨. 心個貫轭例之製造系統之簡化 方塊圖; 第2圖係根據本發明— 之簡化方塊圖;以及 個實施例之更詳細描述的系 統 第3圖係根據本發明 方法之簡化流程圖。 【主要元件符號說明】 ^ 10 製造系統 14 量測工具 '20 網路 30A至30C工具 50 量測系統 60A至60B工具 80A至80C工具 10 0 資料庫伺服器 145 製程控制器 之一個實施例之控制量測操作之 u 量測控制單元 14-1至l4-n量測工具 23-1至23-n晶圓批 40A至40D工具 50A至50C工具 70A至70C工具 90 製造執行系統伺服器 110 資料儲存器 150 工作站 93238 23

Claims (1)

1374345
、申請專利範圍: 一種用來動態控制量測中 之工件之方法 設置適合控制量測工作流於至少 量測控制單元; 第94131591號專利申請案 101年7月4日修正替拖百 |〇|^Γ|月午日修正本 ,包 一個量測工具之 識別於量測仔列内之複數個晶圓批(仙f叶(的), 其中,該等晶圓批將由該至少_個量測工具處理;以及 其中’該量測控制單元選擇該等晶圓批中之至少一 個晶圓批用於在該至少一個量測工具内之量測處理,並 且根據在該至少-個量測工具内之該選擇之至少一個 晶圓批之量測處理而選擇將要從該量測佇列中移除之 該複數個晶圓批中之至少另一個晶圓批。 2. 如申請專利範圍帛1項之方法,其中,職少一個量測 工具係適合執行至少一個量測操作。 3. 如申料利範圍们項之方法,其中,該複數個晶圓批 中之每一個晶圓批具有與其相關聯之唯一的批識別號 碼、顯示先前之製程操作執行於該批之日期與時間之時 間戳記(time stamp)、以及至少一個量測規則,每一個 規則表示將要執行於該批之量測操作之類型與執行先 前製程操作於該批之處理實體(pr〇cessing entity)。 4·如=請專利範圍第丨項之方法,其中,用於在該至少一 個量測工具内之量測處理之該至少一個晶圓批之該選 擇係根據在該量測佇列内經受先前製程操作之最近期 之批。 5.如申請專利範圍第丨項之方法,其中,用於在該至少一 (修正本)93238 24 im i ; Γ巩寻刊甲請案 摆仫拍/ 處理之該至少一個晶圓批之該選 '、根據與該批相關聯之量測規則。 6.2請專利範圍第1項之方法,其中,用於在該至少-置測工具内之量測處理之該至少一個晶圓批之 擇係根據在該量測仔列内經受先前製程操作之最 之批以及與該批相關聯之量測規則。 7. 專利範圍第1項之方法’其中’該選擇之用於量 理之晶圓批係經受先前製程操作,且其中 量測仔列中移除之該複數批中之該至少—批之該選擇/ =包=識别至少—個於該選擇之晶圓批之前經受該製 矛王操作之晶圓批。 8· 2請專利範圍第!項之方法,進—步包括從該量_ 列中移除該至少-個選擇用來移除之晶圓批。 9·=申請專利範圍第!項之方法,進—步包括限制可從該 里測仵列中移除之晶圓批之數目。 申請專利範圍第!項之方法,進一步包括執行量測操 作於複數個額外之晶圓批。 ’、 η.如申請專利範圍第!項之方法,其中,該量測控制單元 適合用以控制複數個量測工具。 12.如申請專利範圍第!項之方法,其中,該至少一個量測 工f適合用以執行至少一個量測操作’該量測操作包括 測里關鍵尺寸(critical dimension)、測量層之厚.产、 測量表面之平坦度(p丨anari ty)、測量電特性、測量夂薄 膜電阻率、測量薄膜光學性質、測量缺陷、以及測量覆 (修正本)93238 25 13/4J4D 第94131591號專利令請案 101年7月4日修正替換百 蓋物對準之至少其中之一者。 13· =請專利範圍第β之方法,進—步包括識別 該1測佇列中移除之該複數批中之至少一批。 14.-種用來動態控制量測中之卫件之方法,包括: 設置適合控制量測工作流於至少-個量測工且之 量測控制單元; '乂 識別複數個於量測仵列内之晶圓批,其中,該 圓批將由該至少一個量測工具處理;以及 " 其中’該量測控制單元: ⑷選擇該等晶圓批中之至少—個晶圓批用於在該至少 以具内之量測處理,其中’用於量測處理之該 ^擇之至一批係經受先前製程操作;以及 ⑻根據在該至少—個量測工具内之該選擇之至少一個 晶圓批之該量财理,㈣選敎 ==除之前,選擇經受該製程操作之= 日曰0批中之至少另一晶圓批。 汛如申請專利範圍第14項之方法,其i該至少 測工具適合用以執行至少―個量測操作。 16.^料利f圍第14項之方法,其中,該複數個晶圓 批中之母-個曰曰圓批具有與其相關聯之唯一的批 號碼、顯4前之製程操作執行於該批之 時 時間戳記、以及至少一個詈、目,丨招a, — a兴子間之 要執行於該批之量測摔作::’母一個規則表示將 作於該批之處理實體之類型與已執行先前製程操 (修正本)93238 26 第94131591號專利申請f 101年7月4日修正替換頁 ,ΐγ | 101年7月4日修正替換] •一申請專利範圍第u項之方法,其中,用於在該至少 !個1測工具内之量測處理之該至少一個晶圓批之該 1選擇係根據與該選擇之批相關聯之量測規則。 ^申明專利範圍第14項之方法,進一步包括從該量測 佇列中移除該至少一個選擇用來移除之晶圓批。 .如:請專利_ 14帛之方法,進一步包括限制可從 該量測佇列中移除之晶圓批之數目。 20.如申請專利範圍第14項之方法,其中,該量測控制單 70適合用以控制複數個量測工具。 21·如申請專利範圍第14項之方法、,* 一步包括識別可不 從該量測佇列中移除之該複數批中之至少一批 故如申請專利範圍第14項之方法,其中,該至少一個量 適合用以執行至少—個量測操作,該量測操作包 括測里關鍵尺寸、測量層之厚度、測量表面之平坦产、 測量電特性、測量薄膜電阻率、測量薄膜光學广 量缺陷、以及測量覆蓋物對準之至少其中之一者 (修正本)93238 27 10 10 1374345
第94131591號申請專利申請案 中文圖式修正本1 97年9肖9、曰 90- 〇 鲁、 100 Tff-Vi °\mmm 工具 工具 工具 30A —► 30B 30C 工具 工具 工具 工具 40A 40B —W 40C _^ 40D .110 工具 工具 工具 製程控制器 50A 50B 50C 145 2cr ❿ 150
-> 工具 工具 工具 製程控制器 70A W 70B 70C 145 工具 工具 工具 製程控制器 80A 80B 80C + - 145 V 1374345
月Ί曰修正替Μ
\\ t/ 批 23-1 批 23-2 批 23-3 批 23-n
TW094131591A 2004-10-05 2005-09-14 Method and system for dynamically controlling metrology work in progress TWI374345B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/958,834 US7296103B1 (en) 2004-10-05 2004-10-05 Method and system for dynamically selecting wafer lots for metrology processing

Publications (2)

Publication Number Publication Date
TW200627105A TW200627105A (en) 2006-08-01
TWI374345B true TWI374345B (en) 2012-10-11

Family

ID=35311834

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094131591A TWI374345B (en) 2004-10-05 2005-09-14 Method and system for dynamically controlling metrology work in progress

Country Status (7)

Country Link
US (1) US7296103B1 (zh)
EP (1) EP1797486A2 (zh)
JP (1) JP2008523458A (zh)
KR (1) KR101129715B1 (zh)
CN (1) CN101036092B (zh)
TW (1) TWI374345B (zh)
WO (1) WO2006041542A2 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7836168B1 (en) * 2002-06-04 2010-11-16 Rockwell Automation Technologies, Inc. System and methodology providing flexible and distributed processing in an industrial controller environment
US9235413B1 (en) * 2005-08-03 2016-01-12 National Semiconductor Corporation Automated control of semiconductor wafer manufacturing based on electrical test results
JP4957226B2 (ja) * 2005-12-15 2012-06-20 富士通セミコンダクター株式会社 製品製造に係る品質改善を支援する情報処理端末及び品質改善支援サーバ
TWI367402B (en) * 2006-10-12 2012-07-01 Tokyo Electron Ltd Substrate measurement method, program, computer-readabel recording medium recorded with program, and substrate processing system
US7937177B2 (en) * 2007-06-27 2011-05-03 International Business Machines Corporation Manufacturing work in process management system
US7668615B2 (en) * 2007-10-02 2010-02-23 GlobalFoundries, Inc. Method and apparatus for randomizing dispatch order for single wafer processing
US8565910B2 (en) 2011-02-04 2013-10-22 International Business Machines Corporation Manufacturing execution system (MES) including a wafer sampling engine (WSE) for a semiconductor manufacturing process
US9027035B2 (en) * 2012-12-17 2015-05-05 Itron, Inc. Non real-time metrology data management
US10133263B1 (en) 2014-08-18 2018-11-20 Kla-Tencor Corporation Process condition based dynamic defect inspection
CN105470156B (zh) * 2014-09-09 2018-09-04 中芯国际集成电路制造(上海)有限公司 一种基于rtd的量测工艺
US10295979B2 (en) * 2015-09-15 2019-05-21 Applied Materials, Inc. Scheduling in manufacturing environments
US10763144B2 (en) * 2018-03-01 2020-09-01 Verity Instruments, Inc. Adaptable-modular optical sensor based process control system, and method of operation thereof
US11887862B2 (en) 2021-09-14 2024-01-30 Deca Technologies Usa, Inc. Method for redistribution layer (RDL) repair by mitigating at least one defect with a custom RDL

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5425839A (en) 1992-05-14 1995-06-20 Texas Instruments Incorporated Method for rapidly etching material on a semiconductor device
US5770098A (en) 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5657252A (en) 1995-09-29 1997-08-12 Motorola, Inc. Dynamically configurable equipment integration architecture
JP3699776B2 (ja) 1996-04-02 2005-09-28 株式会社日立製作所 電子部品の製造方法
US5822218A (en) 1996-08-27 1998-10-13 Clemson University Systems, methods and computer program products for prediction of defect-related failures in integrated circuits
US5982920A (en) 1997-01-08 1999-11-09 Lockheed Martin Energy Research Corp. Oak Ridge National Laboratory Automated defect spatial signature analysis for semiconductor manufacturing process
US5896294A (en) 1997-03-11 1999-04-20 Advanced Micro Devices, Inc. Method and apparatus for inspecting manufactured products for defects in response to in-situ monitoring
US5976740A (en) * 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US5999003A (en) 1997-12-12 1999-12-07 Advanced Micro Devices, Inc. Intelligent usage of first pass defect data for improved statistical accuracy of wafer level classification
JP3055516B2 (ja) 1997-12-25 2000-06-26 日本電気株式会社 半導体集積回路の検査解析装置及びその方法並びにその制御プログラムを記録した記録媒体
US6403385B1 (en) 1998-01-27 2002-06-11 Advanced Micro Devices, Inc. Method of inspecting a semiconductor wafer for defects
US6408219B2 (en) 1998-05-11 2002-06-18 Applied Materials, Inc. FAB yield enhancement system
US6263255B1 (en) 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6171174B1 (en) * 1998-06-26 2001-01-09 Advanced Micro Devices System and method for controlling a multi-arm polishing tool
IL125337A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for lithography monitoring and process control
US6136712A (en) 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
US6281962B1 (en) 1998-12-17 2001-08-28 Tokyo Electron Limited Processing apparatus for coating substrate with resist and developing exposed resist including inspection equipment for inspecting substrate and processing method thereof
US20020158197A1 (en) * 1999-01-12 2002-10-31 Applied Materials, Inc AFM-based lithography metrology tool
US6662076B1 (en) * 1999-02-10 2003-12-09 Advanced Micro Devices, Inc. Management of move requests from a factory system to an automated material handling system
US6298470B1 (en) 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US6303395B1 (en) 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
EP1200885A1 (en) * 1999-06-22 2002-05-02 Brooks Automation, Inc. Run-to-run controller for use in microelectronic fabrication
US6421574B1 (en) 1999-09-23 2002-07-16 Advanced Micro Devices, Inc. Automatic defect classification system based variable sampling plan
US6248602B1 (en) 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6469518B1 (en) 2000-01-07 2002-10-22 Advanced Micro Devices, Inc. Method and apparatus for determining measurement frequency based on hardware age and usage
US6477432B1 (en) 2000-01-11 2002-11-05 Taiwan Semiconductor Manufacturing Company Statistical in-process quality control sampling based on product stability through a systematic operation system and method
US6337217B1 (en) 2000-02-14 2002-01-08 Advanced Micro Devices, Inc. Method and apparatus for improved focus in optical processing
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
AU2001282879A1 (en) * 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6461878B1 (en) 2000-07-12 2002-10-08 Advanced Micro Devices, Inc. Feedback control of strip time to reduce post strip critical dimension variation in a transistor gate electrode
US6442496B1 (en) 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line
JP2002076087A (ja) 2000-08-31 2002-03-15 Mitsubishi Electric Corp 抜き取り検査管理システム
US6746616B1 (en) * 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
JP3909223B2 (ja) * 2001-06-15 2007-04-25 株式会社デンソー 電子デバイスの製造工程管理システム
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6444481B1 (en) * 2001-07-02 2002-09-03 Advanced Micro Devices, Inc. Method and apparatus for controlling a plating process
US6842659B2 (en) 2001-08-24 2005-01-11 Applied Materials Inc. Method and apparatus for providing intra-tool monitoring and control
US6708129B1 (en) * 2001-12-13 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for wafer-to-wafer control with partial measurement data
US6821792B1 (en) 2001-12-18 2004-11-23 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on process and equipment state information
US6650955B1 (en) 2001-12-18 2003-11-18 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on process and equipment fingerprinting
US6687561B1 (en) 2002-04-03 2004-02-03 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on defectivity
CN1182572C (zh) * 2002-04-03 2004-12-29 华邦电子股份有限公司 使用动态反馈计算工艺参数的研磨方法
US7069103B1 (en) * 2002-06-28 2006-06-27 Advanced Micro Devices, Inc. Controlling cumulative wafer effects
US7067333B1 (en) * 2002-06-28 2006-06-27 Advanced Micro Devices, Inc. Method and apparatus for implementing competing control models
US6959251B2 (en) 2002-08-23 2005-10-25 Kla-Tencor Technologies, Corporation Inspection system setup techniques
US6810296B2 (en) * 2002-09-25 2004-10-26 Advanced Micro Devices, Inc. Correlating an inline parameter to a device operation parameter
CN100407215C (zh) * 2002-09-30 2008-07-30 东京毅力科创株式会社 用于监视和控制半导体生产过程的方法和装置
DE10252613A1 (de) 2002-11-12 2004-05-27 Infineon Technologies Ag Verfahren, Vorrichtung, computerlesbares Speichermedium und Computerprogramm-Element zum Überwachen eines Herstellungsprozesses
US6907369B1 (en) * 2003-05-02 2005-06-14 Advanced Micro Devices, Inc. Method and apparatus for modifying design constraints based on observed performance
US20050021272A1 (en) * 2003-07-07 2005-01-27 Jenkins Naomi M. Method and apparatus for performing metrology dispatching based upon fault detection
US6988045B2 (en) * 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
US6999848B2 (en) * 2003-12-19 2006-02-14 Intel Corporation Process control apparatus, systems, and methods
US7076321B2 (en) * 2004-10-05 2006-07-11 Advanced Micro Devices, Inc. Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
US20060178767A1 (en) * 2005-02-04 2006-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for inspection control

Also Published As

Publication number Publication date
WO2006041542A3 (en) 2006-06-01
WO2006041542A2 (en) 2006-04-20
JP2008523458A (ja) 2008-07-03
TW200627105A (en) 2006-08-01
CN101036092B (zh) 2010-11-03
EP1797486A2 (en) 2007-06-20
KR101129715B1 (ko) 2012-03-28
CN101036092A (zh) 2007-09-12
US7296103B1 (en) 2007-11-13
KR20070051939A (ko) 2007-05-18

Similar Documents

Publication Publication Date Title
TWI374345B (en) Method and system for dynamically controlling metrology work in progress
TWI294070B (en) A computer-readable storage medium and method for semiconductor manufacturing automation
Uzsoy et al. A review of production planning and scheduling models in the semiconductor industry part I: system characteristics, performance evaluation and production planning
Kim et al. Due-date based scheduling and control policies in a multiproduct semiconductor wafer fabrication facility
Kuo et al. Manufacturing intelligence to exploit the value of production and tool data to reduce cycle time
Chien et al. Manufacturing intelligence to forecast and reduce semiconductor cycle time
Cheng et al. Benefit model of virtual metrology and integrating AVM into MES
JP2008516447A (ja) 利用可能なメトロロジーキャパシティに基づいてメトロロジーサンプリングを動的に調整する方法およびシステム
TWI402762B (zh) 半導體產品級別控制的方法與系統
CN101387870B (zh) 改善晶片合格率的方法及其系统
US7120511B1 (en) Method and system for scheduling maintenance procedures based upon workload distribution
Pillai et al. 300-mm full-factory simulations for 90-and 65-nm IC manufacturing
Qi et al. Impact of production control and system factors in semiconductor wafer fabrication
JP2008508635A (ja) 例外条件をクリアする材料を優先する方法およびシステム
Miwa et al. Automated stepper load balance allocation system
US7783455B1 (en) Methods and systems for analyzing process equipment processing variations using sensor data
TW200416527A (en) Parallel fault detection
Barhebwa-Mushamuka Novel optimization approaches for global fab scheduling in semiconductor manufacturing
van Campen Design of a multi-process multi-product wafer fab
Beeg Wafer fab cycle forecast under changing loading situations
Yu et al. On-line learning delivery decision support system for highly product mixed semiconductor foundry
Potti et al. ASAP applications of simulation modeling in a wafer Fab
Ramlan et al. AGENT-BASED CHEMICAL MECHANICAL PLANARIZATION QUALIFICATION FOR SEMICONDUCTOR WAFER FABRICATION
JP5326506B2 (ja) 生産管理方法及び生産管理システム
Koolen et al. Data-driven Throughput Evaluation

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees