KR101107539B1 - 레시피들을 미세 튜닝하기 위한 모델들을 생성하는 방법 및 장치 - Google Patents

레시피들을 미세 튜닝하기 위한 모델들을 생성하는 방법 및 장치 Download PDF

Info

Publication number
KR101107539B1
KR101107539B1 KR1020107006961A KR20107006961A KR101107539B1 KR 101107539 B1 KR101107539 B1 KR 101107539B1 KR 1020107006961 A KR1020107006961 A KR 1020107006961A KR 20107006961 A KR20107006961 A KR 20107006961A KR 101107539 B1 KR101107539 B1 KR 101107539B1
Authority
KR
South Korea
Prior art keywords
input
data
model
mathematical
recipe
Prior art date
Application number
KR1020107006961A
Other languages
English (en)
Other versions
KR20100049678A (ko
Inventor
청-호 황
창 엘 코
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100049678A publication Critical patent/KR20100049678A/ko
Application granted granted Critical
Publication of KR101107539B1 publication Critical patent/KR101107539B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/20Pc systems
    • G05B2219/23Pc programming
    • G05B2219/23399Adapt set parameter as function of measured conditions
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32096Batch, recipe configuration for flexible batch control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • General Factory Administration (AREA)

Abstract

플라즈마 프로세싱 시스템의 사용자에 의해 프로세싱된 기판에 대한 측정 데이터를 수집하기 위한 모델을 생성하는 장치가 제공된다. 이 장치는 적어도 모델을 생성하도록 구성되는 범용 모델 구축기를 포함한다. 모델은 입력 데이터 세트와 출력 데이터 세트 간의 관계식이다. 장치는 또한 복수의 입력 소스들로부터의 입력 데이터 세트를 포함하는 입력 모듈을 포함한다. 장치는 적어도 입력 데이터 세트의 무결성을 결정하도록 구성되는 입력 컨디셔닝 및 인증 모듈을 포함한다. 장치는 적어도 수학 관계식 세트를 생성하도록 구성되는 관계식 모듈을 더 포함한다. 장치는 또한 적어도 출력 데이터 세트의 무결성을 결정하도록 구성되는 출력 컨디셔닝 및 인증 모듈을 더 포함한다.

Description

레시피들을 미세 튜닝하기 위한 모델들을 생성하는 방법 및 장치{METHODS AND ARRANGEMENT FOR CREATING MODELS FOR FINE-TUNING RECIPES}
플라즈마 프로세싱에서의 발전이 반도체 산업의 성장을 용이하게 하였다. 일반적으로, 단일의 프로세싱된 웨이퍼로부터 복수의 반도체 디바이스들이 생성될 수도 있다. 레시피들이 반도체 디바이스들을 생성하는 단계들을 제공하기 위해 오랫동안 사용되었다. 그러나, 상이한 챔버 상태와 같은 외부 상태로 인해, 레시피들은 변화를 고려하기 위하여 조정되어야 할 수도 있다.
레시피들을 조정하는데 통상적으로 사용되는 방법은 범용 레시피 튜닝 방법이다. 범용 레시피 튜닝 방법의 경우, 하나 이상의 웨이퍼들은 그 웨이퍼가 프로세싱된 후에 독립형 메트롤로지 툴에 의해 측정될 수도 있다. 그러나, 범용 레시피 튜닝 방법의 경우에는, 측정 데이터가 통상적으로 현재의 웨이퍼 배치 (batch) 에 대한 레시피를 조정하기 위해 즉시 적용될 수 없다. 대신에, 측정치들은 순방향 입력되어, 다음의 웨이퍼 배치에 대한 레시피를 미세 튜닝하기 위해 이용될 수도 있다. 따라서, 레시피가 가진 문제가 현재의 챔버에 대해 식별되더라도, 현재의 웨이퍼 배치가 프로세싱될 때까지 측정치들로부터 얻어진 지식은 적용되지 않을 수도 있다.
최근에는, 측정치들을 인라인으로 얻을 수 있고, 이로써 얻어진 측정치들을 플라즈마 프로세싱 시스템의 프로세스 제어 컴퓨터로의 피드백으로서 전송할 수 있는 통합 메트롤로지 방법이 구현되었다. 따라서, 레시피는 동일한 웨이퍼 배치 내의 다음 웨이퍼가 조정된 레시피로부터 이익을 얻을 수도 있도록 미세 튜닝될 수도 있다. 즉, 범용 레시피 튜닝 방법과는 달리, 통합 메트롤로지 방법은 현재의 웨이퍼 배치가 프로세싱될 때까지 대기해야 하는 대신에 바로 이웃의 웨이퍼 배치에 대한 레시피에 대해 조정을 행하도록 이용될 수도 있다.
범용 레시피 튜닝 방법과 특히 통합 메트롤로지 방법 양자로 인해, 복수의 측정치들 (예를 들어, 측벽 각도 측정치, 에지와 센터 간의 임계 치수, 균일도를 결정하는 측정치 등) 이 얻어질 수도 있다. 측정 데이터를 수집하기 위하여, 레시피에 대해 행해져야 할 수도 있는 조정들을 결정하기 위해 필요한 측정치들을 수집하도록 하나 이상의 모델들이 사용될 수도 있다.
본원에 논의된 바와 같이, 모델은 하나 이상의 입력들과 하나 이상의 출력들 간의 관계식을 지칭한다. 이 관계식은 통상적으로 수식으로서 표현되는 수학 관계식이다. 일반적으로, 수식은 레시피 특정이다. 입력 데이터는 센서 데이터, 프로세스 데이터 및 소프트웨어 데이터를 포함하는 (그러나 이들로 제한되지는 않는다) 다양한 소스들로부터 나올 수도 있다. 출력은 통상적으로 레시피의 단일의 파라미터를 조정하도록 사용된다.
시간의 경과에 따라, 새로운 모델들이 생성될 수도 있고 또는 기존 모델들이 변형될 수도 있다. 일 예로, 새로운 모델들은 레시피에 대한 변화가 새로운 파라미터들을 도입하는 경우에 생성되어야 할 수도 있다. 다른 예로, 파라미터에 대한 측정 데이터를 생성하는 모델은 그 파라미터에 대해 허용가능한 범위가 변화한 경우에 변형되어야 할 수도 있다. 또 다른 예로, 새로운 모델들은 새로운 레시피가 도입될 때 생성되어야 할 수도 있다.
새로운 모델 또는 변형된 모델의 필요성의 이유에도 불구하고, 새로운 모델을 생성하고/하거나 현재의 모델을 변형하는 프로세스는 통상적으로 단순한 업무가 아니다. 그 프로세스는 통상적으로 적어도 2 명의 관계자, 즉, 툴 사용자 및 소프트웨어 엔지니어를 포함한다. 툴 사용자는 통상적으로 플라즈마 프로세싱 시스템을 소유하는 회사의 고용인이다. 툴 사용자는 새로운 모델을 생성하고/하거나 현재의 모델을 조정하기 위한 필요성을 식별했을 수도 있다. 따라서, 툴 사용자는 통상적으로 소프트웨어 엔지니어에게 사양을 제공할 책임이 있다. 소프트웨어 엔지니어는 통상적으로 회사의 고용인이 아니며 통상적으로 플라즈마 프로세싱 시스템의 제조자와 연관된다.
설명을 용이하게 하기 위해, 도 1 은 새로운 모델을 획득하는 종래 기술의 방법의 단순한 흐름도를 도시한다. 예를 들어, 회사 A 에 의해 소유된 플라즈마 프로세싱 시스템의 툴 사용자가 새로운 모델의 필요성을 식별한 상황을 고려해보자.
제 1 단계 102 에서, 툴 사용자는 모델에 대한 파라미터들을 식별할 수도 있다. 즉, 툴 사용자는 입력, 수식 및 원하는 출력을 식별해야 할 수도 있다.
다음 단계 104 에서, 툴 사용자는 요구된 파라미터들을 외부인에게 제공할 수도 있다. 툴 사용자는 모델을 생성 및/또는 변형하기 위하여 외부인 (즉, 소프트웨어 엔지니어) 을 거쳐야 하기 때문에, 툴 사용자는 외부인과 독점적 정보를 공유해야 할 수도 있다. 일 예로, 웨이퍼를 에칭하는 레시피는 회사 B 보다 회사 A 에게 경쟁력 우위를 제공하는 단계들을 포함할 수도 있다. 그러나, 회사 A 로부터의 툴 사용자가 새로운 모델을 생성하길 원하기 때문에, 그 툴 사용자는 외부인이 모델을 변형하도록 하기 위하여 그 외부인에게 레시피에 대한 독점적 데이터를 공급해야 할 수도 있다.
현재의 모델을 변형하고/하거나 새로운 모델을 생성하는 현재의 방법은 플라즈마 프로세싱 시스템의 제조자와 툴 사용자 양자에 대해 잠재적인 지적 자산 위험을 야기한다. 당업자는 레시피가 회사에 그 회사의 경쟁자에 비해 특별한 우위를 제공할 수도 있는 독점적 데이터를 포함할 수도 있다는 것을 알고 있다. 따라서, 외부인과 레시피에 대한 상세를 공유함으로써, 툴 사용자는 독점적 데이터의 적어도 일부를 외부인에게 노출시키고 있다.
또한, 지적 자산 (예를 들어, 독점적 레시피) 의 일부가 의도되지 않은 사용자들에게 공개적으로 이용가능해질 수도 있는 위험이 존재할 수도 있다. 일 예로, 플라즈마 프로세싱 시스템의 제조자와 연관된 엔지니어는 2 개의 경쟁 회사들을 위해 모델들을 동시에 개발중일 수도 있다. 클라이언트들과 상호작용하는 동안, 엔지니어는 무심코 회사 A 에 대해 의도된 모델을 회사 B 의 중개인에게 전송할 수도 있다. 엔지니어의 부주의한 행동으로 인해, 회사 A 의 독점적 정보는 무심코 공유되었고 플라즈마 프로세싱 툴의 제조자와 엔지니어는 책임을 져야 할 수도 있다.
잠재적인 지적 자산 노출에 더하여, 모델을 생성 및/또는 변형하는 업무는, 그 업무가 완료될 수도 있기 전에 수 주, 그렇지 않으면 여러 달이 걸릴 수도 있다. 긴 소요 시간 (turnaround time) 이 여러 이유들의 결과일 수도 있다. 첫째로, 툴 사용자가 모델을 생성 및/또는 변형하는 업무를 수행하기 위하여 외부인 (즉, 소프트웨어 엔지니어) 과 함께 작업해야 하기 때문에, 그 업무를 완료하기 위한 소요 시간은 외부인의 작업 스케줄에 의존할 수도 있다. 일 예로, 소프트웨어 엔지니어의 바쁜 스케줄로 인해, 소프트웨어 엔지니어는 2 달이 지날 때까지 툴 사용자의 요구를 처리할 수 없을 수도 있다. 둘째로, 긴 소요 시간은 또한 어느 정도는 레시피에 익숙해져야하는 외부인 때문일 수도 있다. 셋째로, 프로세스는 임베딩된 모델을 가진 새로운 코드가 제품으로 이동되어 레시피를 미세 튜닝하는데 이용가능해지기 전에 코드에 대한 변화를 테스트하도록 소프트웨어 엔지니어와 툴 사용자 양자에 대해 적어도 하나의 테스트 사이클을 요구할 수도 있다.
다음 단계 106 에서, 툴 사용자는 모델을 수신하고 그 모델에 테스트 실행 (test run) 을 수행할 수도 있다. 즉, 일단 엔지니어가 모델을 생성했다면, 그 모델은 툴 사용자에게 전송되어 테스트될 수도 있다.
다음 단계 108 에서, 모델이 그 사양에 따라 수행중인지 여부에 대해 툴 사용자에 의해 결정된다. 만약 그렇지 않다면, 단계 104 및 단계 106 이 반복될 수도 있다.
그러나, 추가 변화가 요구되지 않는다면, 다음 단계 110 에서, 툴 사용자는 시스템 소프트웨어로 임베딩된 새로운 모델을 가진 현재의 플라즈마 프로세싱 시스템에 대한 시스템 소프트웨어 프로그램의 새로운 제품 카피를 수신할 수도 있다. 일단 툴 사용자가 새로운 제품 버전을 수신했다면, 추가 변화가 전체 프로세스를 다시 반복할 것을 요구할 수도 있다.
다음 단계 112 에서, 툴 사용자는 제품에서 임베딩된 모델을 가진 새로운 소프트웨어 코드를 실행할 수도 있다. 종래 기술에서, 그 모델들은 레시피들에 연결되지 않는다. 따라서, 툴 사용자는 어느 모델이 어느 레시피 단계에 가장 적합한지를 결정하기 위해 레시피와 모델에 대한 충분한 지식을 가져야 할 수도 있다. 또한, 툴 사용자는 상이한 프로세싱 챔버 상태와 같은 변화를 고려하기 위해 레시피를 미세 튜닝하기 위하여 단일의 레시피 단계가 2 개 이상의 모델을 요구할 수도 있을 때를 알아야 할 수도 있다.
일 예로, 챔버 B 에서 현재 프로세싱되고 있는 웨이퍼 배치는 챔버 A 에서 사전에 프로세싱되었다. 그러나, 챔버 B 의 상태는 챔버 A 의 상태와 약간 상이하며; 따라서, 레시피는 상이한 프로세싱 환경을 고려하기 위해 미세 튜닝되어야 할 수도 있다. 툴 사용자는 일반적으로 미세 튜닝을 수행하기 위해 필요한 측정 데이터를 제공할 수도 있는 모델 세트를 식별할 책임이 있다. 만약, 툴 사용자가 경험 및/또는 기량이 부족하다면, 툴 사용자는 정확한 모델을 신속하게 식별할 수 없을 수도 있고/있거나 레시피의 미세 튜닝을 수행하기 위해 필요한 데이터를 획득하기 위하여 2 개의 상이한 모델들이 실행되어야 할 수도 있다는 것을 알지 못할 수도 있다.
도 1 로부터 알 수 있는 것처럼, 모델 구축 및/또는 변형의 업무는 그 업무를 달성하기 위하여 외부인의 협조를 요구할 수도 있는 융통성 없이 시간이 걸리는 프로세스이다. 그 결과, 종래 기술의 방법은 지적 자산 노출의 가능성을 야기할 수도 있다. 또한, 종래 기술의 방법은 레시피를 미세 튜닝하기 위해 이용될 수도 있는 측정 데이터를 생성하기 위해 모델들을 정확하게 적용하기 위하여 툴 사용자가 레시피 및 모델에 대해 상당히 충분한 이해를 하도록 요구할 수도 있다.
개요
본 발명은 일 실시형태에서 플라즈마 프로세싱 시스템의 사용자에 의해 프로세싱된 기판에 대한 측정 데이터를 수집하기 위한 모델을 생성하는 장치에 관한 것이다. 이 장치는 적어도 모델을 생성하도록 구성되는 범용 모델 구축기를 포함한다. 모델은 입력 데이터 세트와 출력 데이터 세트 간의 관계식이다. 이 장치는 또한 복수의 입력 소스들로부터의 입력 데이터 세트를 포함하는 입력 모듈을 포함한다. 이 장치는 적어도 입력 데이터 세트의 무결성을 결정하도록 구성되는 입력 컨디셔닝 및 인증 모듈을 포함한다. 이 장치는 적어도 수학 관계식 세트를 생성하도록 구성되는 관계식 모듈을 더 포함한다. 이 장치는 또한 적어도 출력 데이터 세트의 무결성을 결정하도록 구성되는 출력 컨디셔닝 및 인증 모듈을 더 포함한다.
상기 개요는 본원에 개시된 본 발명의 다수의 실시형태들 중 단지 하나의 실시형태에만 관한 것이며, 본원의 특허청구범위에 기재된 본 발명의 범위를 제한하도록 의도되지 않는다. 본 발명의 이들 및 다른 특징들은 본 발명의 상세한 설명 및 다음의 도면과 함께 이하 더 상세히 설명될 것이다.
본 발명은 첨부 도면들의 도형에서 제한이 아닌 일 예로 도시되며, 여기서 동일한 참조 번호들은 유사한 엘리먼트들을 지칭한다.
도 1 은 새로운 모델을 획득하는 종래 기술의 방법의 단순한 흐름도를 도시한 도면이다.
도 2 는 본 발명의 일 실시형태에서 범용 모델 구축기 장치의 단순한 논리도를 도시한 도면이다.
도 3 은 본 발명의 일 실시형태에서 범용 모델 구축기에 대한 사용자 인터페이스의 메인 화면의 일 예를 도시한 도면이다.
도 4a 및 도 4b 는 본 발명의 일 실시형태에서 수식 편집기의 예들을 도시한 도면이다.
도 5a 는 본 발명의 일 실시형태에서 모델과 레시피 간의 관계를 나타내는 단순한 블록도를 도시한 도면이다.
도 5b 는 본 발명의 일 실시형태에서 레시피 편집기의 값 화면 (value view) 의 일 예를 도시한 도면이다.
도 6 은 본 발명의 일 실시형태에서 모델이 생성 및/또는 변형될 수도 있는 방법을 나타내는 단순한 흐름도를 도시한 도면이다.
본 발명은 이제 첨부 도면에 도시한 것처럼 본 발명의 몇몇 실시형태들을 참조하여 상세히 설명될 것이다. 다음의 설명에서, 다수의 특정 상세들이 본 발명의 완전한 이해를 제공하기 위하여 설명된다. 그러나, 본 발명이 이들 특정 상세의 일부 또는 전부 없이 실시될 수도 있다는 것이 당업자에게 명백할 것이다. 다른 경우에, 널리 공지된 프로세스 단계들 및/또는 구조들은 본 발명을 불필요하게 불명료하게 하지 않기 위하여 상세히 설명되지 않았다.
방법들 및 기술들을 포함하는 다양한 실시형태들이 이하에 설명된다. 본 발명이 또한 본 발명의 기술의 실시형태들을 수행하기 위한 컴퓨터 판독가능 명령들이 저장되는 컴퓨터 판독가능 매체를 포함하는 제품을 포함할 수도 있다는 것을 잊지 말아야 한다. 컴퓨터 판독가능 매체는 컴퓨터 판독가능 코드를 저장하기 위한 예를 들어 반도체, 자기, 광자기, 광학, 또는 다른 형태의 컴퓨터 판독가능 매체를 포함할 수도 있다. 게다가, 본 발명은 본 발명의 실시형태들을 실시하기 위한 장치를 또한 포함할 수도 있다. 이러한 장치는 본 발명의 실시형태들과 관련되는 업무들을 수행하기 위해, 전용 및/또는 프로그램가능한 회로들을 포함할 수도 있다. 이러한 장치의 예들은 적절히 프로그램될 때 범용 컴퓨터 및/또는 전용 컴퓨팅 디바이스를 포함하고 본 발명의 실시형태들과 관련되는 다양한 업무들에 적합한 컴퓨터/컴퓨팅 디바이스와 전용/프로그램가능한 회로들의 조합을 포함할 수도 있다.
본 발명의 일 양태에서, 발명자들은 본원에서 모델들이 플라즈마 프로세싱 시스템의 사용자에 의해 국부적으로 구축될 수도 있다는 것을 인식하였다. 모델 구축기가 사용자에게 제공되는 경우, 사용자는 상이한 챔버 상태, 새로운 레시피들, 기존 레시피들에 대한 변화 등을 포함하는, 사용자가 생산 시에 경험할 수도 있는 변화들을 수용하기 위하여, 필요에 따라 모델을 생성 및/또는 변형할 능력을 갖는다.
본 발명의 하나 이상의 실시형태에 의하면, 범용 모델 구축 장치는 결함 검출을 수행하는 것, 레시피를 미세 튜닝하는 것 및 입력 데이터를 수식에 제공하는 것 중 적어도 하나를 위해 이용될 수도 있는 모델들을 생성 및 변형하기 위해 제공된다. 본 발명의 실시형태들은 입력 데이터의 무결성을 인증하고, 수학 관계식을 생성하며 출력 데이터의 무결성을 검증하는 모듈들 및 방법들을 포함한다. 또한, 본 발명의 실시형태들은 사용자 생성 템플릿을 레시피 단계와 연관시키는 방법들을 포함한다.
본 발명의 일 실시형태에서, 범용 모델 구축기 장치는 새로운 모델을 생성하고/하거나 기존 모델을 변형하도록 이용될 수도 있는 범용 모델 구축기를 포함할 수도 있다. 범용 모델 구축기는 입력 모듈로부터의 데이터를 수락하도록 구성될 수도 있다. 입력 모듈은 센서 데이터, 메트롤로지 데이터, 엔드포인트 데이터, 소프트웨어 계산된 데이터, 프로세스 데이터, 사용자 정의 데이터 등을 포함하는 (그러나 이들로 제한되지는 않는다), 복수의 소스들로부터의 데이터를 포함할 수도 있다.
일 실시형태에서, 범용 모델 구축기는 입력 컨디셔닝 및 인증 모듈을 포함할 수도 있다. 일 실시형태에서, 입력 컨디셔닝 및 인증 모듈은 별개의 모듈들로서 구현될 수도 있다. 입력 데이터가 복수의 소스들로부터 나올 수도 있기 때문에, 그 입력 데이터가 수식으로 입력되기 전에 무결성 체크가 수행되어야 할 수도 있다. 따라서, 입력 컨디셔닝 및 인증 모듈은 입력 모듈의 무결성을 체크하도록 구성될 수도 있다.
일 실시형태에서, 컨디셔닝은 데이터를 필터링하는 것을 포함할 수도 있다. 필터링 기술의 예들은 유한 임펄스 응답 (Finite Impulse Response; FIR) 및 무한 임펄스 응답 (Infinite Impulse Response; IIR) 을 포함할 수도 있지만 이들로 제한되지는 않는다. 필터링 기술들은 챔버 드리프팅과 같이 외부 상태가 데이터 (예를 들어, 프로세스 데이터) 의 일부를 다른 데이터와는 다르게 벗어나게 할 때 발생할 수도 있는 노이즈를 최소화하기 위해 사용될 수도 있다.
필터링 기술들을 적용하는 것 외에, 입력 컨디셔닝 및 인증 모듈은 또한 데이터를 확립된 범위와 비교할 수도 있다. 확립된 범위는 입력 데이터에 대한 기대값, 소프트 허용오차, 하드 허용오차, 최소값, 및 최대값을 포함할 수도 있지만, 이들로 제한되지는 않는다. 일 예로, 입력 데이터가 기대값에 매칭하지 않는다면, 그 입력 데이터는 적어도 하드 허용오차 내에 있어야 할 수도 있다. 그러나, 입력 데이터가 사전 정의된 범위 밖에 있다면, 입력 컨디셔닝 및 인증 모듈은 수식으로 입력될 수도 있는 유효한 데이터 세트로서의 입력 데이터를 폐기할 수도 있다.
일 실시형태에서, 범용 모델 구축기는 관계식 모듈을 포함할 수도 있다. 그 관계식 모듈은 사용자가 입력 데이터를 입력 변수에 맵핑할 수 있게 하도록 구성될 수도 있다. 일 실시형태에서, 관계식 모듈은 또한 입력 변수 세트를 수학 연산자 세트에 조합함으로써, 사용자가 수식과 같은 수학 관계식을 생성 및/또는 변형할 수 있게 하도록 구성될 수도 있다. 레시피 내의 특정한 설정을 고려하기 위해 사용자가 수학식을 변경할 수 있게 하기 위하여, 관계식 모듈은 또한 행렬 변환 튜닝 컴포넌트를 포함할 수도 있다. 일 실시형태에서, 관계식 모듈은 또한 사용자가 복잡한 수학식을 임포트 (import) 하는 것을 허용한다.
일 실시형태에서, 모델은 하나 이상의 수학 관계식을 포함할 수도 있다. 따라서, 단일의 모델에 대해, 2 개 이상의 출력 변수들이 생성될 수도 있다. 일 실시형태에서, 출력 컨디셔닝 및 인증 모듈이 출력 데이터의 무결성을 체크하는데 이용가능할 수도 있다. 사전에 논의된 것과 동일한 필터링 기술들이 또한 출력 컨디셔닝 및 인증 모듈에 의해 적용될 수도 있다. 또한, 출력 컨디셔닝 및 인증 모듈은 출력 데이터를 사전 정의된 범위와 비교할 수도 있다.
일단 모델이 생성되었다면, 사용자는 모델을 이용하여 예를 들어 특정 레시피 단계들에 대해 상세하게 맞춰질 수도 있는 일련의 템플릿들을 생성할 수도 있다. 템플릿을 레시피 단계와 연관시키는 프로세스는 사용자들에게 레시피와 템플릿 간의 관계를 우선 설정할 것을 요구하지 않고 상이한 기량 및 지식의 사용자들이 임베딩된 템플릿들로 레시피를 실행할 수 있게 한다.
일 실시형태에서, 수식으로부터의 출력 데이터는 생산 환경에서 레시피를 미세 튜닝하기 위한 레시피 세트포인트로서 이용될 수도 있다. 다른 실시형태에서, 출력 데이터는 다른 수식에 대한 입력 데이터로서 이용될 수도 있다. 또 다른 실시형태에서, 출력 데이터는 결함 검출을 수행하기 위해 사용될 수도 있다. 따라서, 하나 이상의 모델들은 상세하게는 사용자가 결함 검출을 수행할 수 있게 할 수도 있는 출력 데이터를 산출할 수도 있는 수식으로 구축될 수도 있다.
본 발명의 특징들 및 이점들은 다음에 오는 도면 및 설명을 참조하여 더 잘 이해될 수도 있다.
도 2 는 본 발명의 일 실시형태에서 범용 모델 구축기 장치의 단순한 논리도를 도시한다. 범용 모델 구축기 장치 (200) 는 입력 데이터와 출력 데이터 간의 관계식을 나타내는 다양한 방식을 나타내는데 사용될 수도 있다. 일 실시형태에서, 범용 모델 구축기 장치 (200) 는 입력 모듈 (202) 을 포함할 수도 있다. 입력 모듈 (202) 은 복수의 입력 소스들 (예를 들어, 프리 (pre)-메트롤로지 데이터 소스 (204), 포스트 (post)-메트롤로지 데이터 소스 (206), 사용자 정의 데이터 (208), 소프트웨어 계산된 데이터 소스 (210), 엔드포인트 데이터 소스 (212) 등) 을 포함할 수도 있다. 일 예로, 프리-메트롤로지 데이터 소스 (204) 는 웨이퍼를 프로세싱하기 전에 수집된 측정 데이터를 포함할 수도 있다. 다른 예로, 소프트웨어 계산된 데이터 소스 (210) 는 다른 수식에 의해 계산된 데이터를 포함할 수도 있다. 또 다른 예로, 사용자 정의 데이터 (208) 는 독점적 데이터를 절대값으로서 숨김으로써 지적 자산 보호를 위해 사용될 수도 있다. 전술한 것으로부터 알 수 있는 바와 같이, 가능한 입력 소스들은 변할 수도 있고 사용자의 판단에 의존할 수도 있다.
입력 모듈 (202) 로부터의 데이터는 입력 컨디셔닝 모듈 (214) 및 입력 인증 모듈 (216) 에 의해 수신될 수도 있다. 입력 데이터가 수식에 이용되기 전에, 예를 들어, 필터링 및/또는 인증에 의해 데이터의 무결성이 체크되어야 할 수도 있다. 일 실시형태에서, 입력 컨디셔닝 모듈 (214) 은 노이즈를 제거하기 위해 수신된 입력 데이터에 대해 필터링을 수행하도록 구성된다. 본원에 논의된 바와 같이, 노이즈는 외부 상태 (예를 들어, 프로세싱 챔버 내에서의 드리프팅) 로 인해 다른 데이터와 인라인이 아닐 수도 있는 데이터를 지칭할 수도 있다. 노이즈를 최소화하기 위하여, 유한 임펄스 응답 (FIR) 및 무한 임펄스 응답 (IIR) 과 같은 필터링 기술들이 사용될 수도 있다.
본원에 논의된 바와 같이, FIR 은 파라미터에 대한 데이터 세트가 표준화되는 필터링 기술을 지칭한다. 일 예로, 가장 최근의 웨이퍼로부터 수집된 미가공 데이터 (raw data) 를 이용하는 대신에, FIR 방법은 가장 최근의 5 개의 웨이퍼들로부터 미가공 데이터를 얻고 평균화와 같은 통계 분석을 수행하여, 노이즈의 가능성을 최소화하는 단계를 포함할 수도 있다. 따라서, 수식으로 입력될 수도 있는 데이터는 파라미터의 트루 (true) 특성의 양호한 표현일 수도 있다.
입력 컨디셔닝 모듈 (214) 에 의해 사용될 수도 있는 다른 필터링 기술은 IIR 이다. 본원에 논의된 바와 같이, IIR 은 파라미터에 대해 수집된 모든 데이터가 표준화되는 필터링 기술을 지칭한다. 일 예로, 가장 최근의 웨이퍼로부터 수집된 미가공 데이터를 이용하는 대신에, IIR 방법은 파라미터에 대해 수집된 모든 데이터에 대해 통계 분석을 수행하는 단계를 포함할 수도 있다. IIR 방법이 더 많은 미가공 데이터를 포함할 수도 있지만, 파라미터에 대한 가장 최근의 데이터 세트는 히스토리적으로 더 오래될 수도 있는 데이터보다 더 무거운 가중치를 부여받을 수도 있다. 일 예로, 100 개의 데이터 세트가 수집되었다. 최신 데이터 세트는 더 무거운 가중치 (예를 들어, 80%) 를 부여받을 수도 있고, 나머지 99 개의 데이터 세트들은 더 가벼운 가중치 (예를 들어, 20%) 를 부여받을 수도 있다. 일단 데이터가 가중되었다면, 그 가중된 데이터는 예를 들어 수식으로 입력될 수도 있는 데이터 세트를 계산하기 위해 평균화될 수도 있다.
데이터를 필터링하는 것 외에, 인증이 또한 발생할 수도 있다. 일 실시형태에서, 입력 인증 모듈 (216) 은 데이터를 사전 정의된 범위와 비교함으로써 입력 데이터를 인증하도록 구성될 수도 있다. 일 예로, 각 파라미터에 대해, 기대값, 소프트 허용오차, 하드 허용오차, 최소값 및 최대값이 인입 데이터의 합리성을 비교하는데 이용가능할 수도 있다. 즉, 입력 데이터가 확립된 사전 정의된 범위 밖에 있다면, 그 입력 데이터는 폐기될 수도 있다. 일 예로, 입력 데이터 최소값이 예상 최소값 이하가 되거나 예상 최대값을 초과한다면, 그 입력 데이터는 폐기될 수도 있다.
일단 데이터 필터링 및 검증이 발생했다면, 입력 데이터는 하나 이상의 입력 변수들에 맵핑될 수도 있다. 일 예로, 임계 치수와 관련된 입력 데이터는 예를 들어 입력 변수 ffBotCD 및/또는 입력 변수 ffMidCD 에 맵핑될 수도 있다. 입력 소스들을 입력 변수들에 맵핑시킴으로써, 데이터는 수동 조정에 의존하는 대신에 소스들로부터 자동으로 풀링 (pull) 될 수도 있다.
일 실시형태에서, 범용 모듈 구축기 장치 (200) 는 관계식 모듈 (218) 을 포함할 수도 있다. 일 실시형태에서, 관계식 모듈 (218) 은 수학식 구축기 컴포넌트 (220), 행렬 변환 튜닝 컴포넌트 (222), 및 맞춤형 수학식 컴포넌트 (224) 를 포함할 수도 있다. 일 실시형태에서, 관계식 모듈 (218) 의 각 컴포넌트는 수학 관계식을 생성하기 위해 개별적으로 사용될 수도 있다. 다른 실시형태에서, 관계식 모듈 (218) 의 하나 이상의 컴포넌트들은 하나 이상의 수학 관계식들을 생성하는데 있어서 함께 작동할 수도 있다.
종래, 수식과 같은 수학 관계식은 하나 이상의 입력 변수들을 하나 이상의 수학 연산자와 조합함으로써 생성될 수도 있다. 일 실시형태에서, 사용자는 수학식 구축기 컴포넌트 (220) 를 활성화하여 수학 관계식에 대한 입력 변수 세트를 입력 및/또는 선택할 수도 있다. 또한, 사용자는 입력 변수 세트를 수학 연산산자 세트와 조합하여 수학 관계식을 생성할 수도 있다. 일 예로, 사용자는 입력 변수로서 ffMidCD 및 ffBotCD 를 선택하고 수학 연산자로서 곱셈 심볼 (*) 및 제곱근 심볼 (sqrt) 을 선택하여 수학 관계식 [ffMidCD*(sqrt)(ffBotCD)] 을 생성할 수도 있다.
부가적으로 또는 대안으로, 행렬 변환 튜닝 컴포넌트 (222) 는 수학 관계식을 생성하도록 이용될 수도 있다. 소정의 수학 관계식은 보다 추상적일 수도 있고 조작을 요구할 수도 있다. 이런 유형의 수학 관계식이 표현될 수 있게 하기 위해, 행렬 변환 튜닝 컴포넌트 (222) 는 이런 유형의 수학 관계식을 정의하도록 이용될 수도 있다.
수학식 구축기 컴포넌트 (220) 및 행렬 변환 튜닝 컴포넌트 (222) 양자는 사용자가 그 자신의 수학 관계식을 생성하는 것을 허용한다. 그러나, 복수의 수학 관계식, 특히 복잡한 관계식들은 이미 정의되어 있고 복수의 수학 소프트웨어 프로그램 (이를 테면, MATHLAB®) 을 통해 이용가능할 수도 있다. 쉽게 이용가능한 수학 관계식을 이용하기 위해, 범용 모델 구축기 장치 (200) 는 맞춤형 수학식 컴포넌트 (224) 를 포함할 수도 있다. 맞춤형 수학식 컴포넌트 (224) 를 구현함으로써, 사용자는 제 3 자를 통해 이용가능한 복잡한 수학 관계식을 구축 및/또는 변형되고 있는 모델에 통합할 수도 있다.
일 실시형태에서, 범용 모델 구축기 장치 (200) 는 출력 컨디셔닝 모듈 (226) 및 출력 인증 모듈 (228) 을 포함할 수도 있다. 출력 컨디셔닝 모듈 (226) 및 출력 인증 모듈 (228) 은 입력 컨디셔닝 모듈 (214) 및 입력 인증 모듈 (216) 과 유사하게 작동할 수도 있다. 그러나, 입력 데이터를 분석하는 대신에, 출력 컨디셔닝 모듈 (226) 및 출력 인증 모듈 (228) 은 출력 데이터를 분석하고 있다. 즉, 출력 데이터는 클리닝 (예를 들어, 노이즈를 제거, 사전 정의된 범위 밖에 있는 출력 데이터를 폐기 등) 되고 있다.
종래 기술에서, 출력 데이터는 일반적으로 레시피를 미세 튜닝하도록 이용된다. 종래 기술과는 달리, 출력 데이터는 다수의 상이한 목적을 가질 수도 있다. 일 예로, 출력 데이터는 하나 이상의 레시피 단계들 동안 레시피 세트포인트 (230) 로서 이용될 수도 있다. 레시피 세트포인트 (230) 로서 이용되는 것 외에, 출력 데이터는 또한 다른 수식에 대한 입력 데이터 소스 (232) 로서 이용될 수도 있다. 또한, 출력 데이터는 결함 검출 (234) 을 수행하기 위해 이용될 수도 있다. 일 예로, 출력 데이터는 프로세싱 챔버가 상당한 양의 드리프팅을 경험해 왔을 수도 있고, 프로세싱 챔버가 클리닝되지 않는다면 프로세싱 챔버에서 프로세싱되는 웨이퍼가 결함이 있을 수도 있다는 것을 나타낼 수도 있다.
도 2 로부터 알 수 있는 바와 같이, 범용 모델 구축기 장치 (200) 를 구현함으로써, 사용자는 제 3 자에게 의존할 필요 없이 그 자신의 모델들을 생성할 수 있다. 사용자가 모델을 생성 및/또는 구축하기 위해 더이상 외부인에게 의존하지 않기 때문에, 소요 시간이 상당히 감소될 수도 있다. 또한, 독점적 데이터가 더이상 외부인과 공유되고 있지 않기 때문에 지적 자산 노출의 위험이 상당히 제거될 수도 있다.
도 3 은 본 발명의 일 실시형태에서 범용 모델 구축기에 대한 사용자 인터페이스의 메인 화면의 일 예를 도시한다. 전술한 바와 같이, 범용 모델 구축기는 새로운 모델을 생성하고/하거나 현재 모델을 변형하도록 이용될 수도 있다. 사용자 인터페이스 (302) 의 메인 화면은 수식들과 같은 하나 이상의 수학 관계식들이 생성 및/또는 변형될 수 있을 수도 있는 수식 모듈 (304) 을 포함할 수도 있다. 수식 모듈 (304) 은 액션 섹션 (306), 수식 섹션 (308) 및 초기값 섹션 (310) 을 포함할 수도 있다.
액션 섹션 (306) 은 사용자가 수식에 대한 액션을 수행하는 것을 허용한다. 일 예로, 액션 섹션 (306) 의 일 액션 셀을 클릭함으로써, 수식 편집기 (402) 가 도 4a 에 도시한 것처럼 보이게 될 수도 있다. 수식 편집기 (402) 는 수식을 생성 및/또는 변형하도록 이용될 수도 있다. 일 실시형태에서, 수식 편집기 (402) 는 도 2 의 관계식 모듈의 하나 이상의 컴포넌트들을 포함할 수도 있다. 따라서, 사용자는 관계식 모듈 컴포넌트들 중 적어도 하나를 이용하여 수식을 생성할 수 있다. 따라서, 사용자가 생성할 수도 있는 수식의 유형은 1 차 방정식, 스트레이트-포워드 (straight-forward) 방정식, 사용자의 조작을 요구할 수 있는 방정식, 외부 공급자로부터의 복잡한 방정식 등을 포함할 수도 있다.
일 실시형태에서, 수식 편집기 (402) 는 입력 변수 리스트 (404) 를 포함할 수도 있는데, 이는 도 4b 의 입력 변수 리스트 (454) 에 도시된 바와 같은 복수의 입력 변수들로부터 사용자가 선택할 수 있는 사용자-선택가능 리스트일 수도 있다. 입력 변수 리스트 (454) 는 센서 및 메트롤로지 데이터 (세그먼트 456), 다른 수식들로부터의 출력들 (세그먼트 458), 및 사용자 정의 변수들 (세그먼트 460) 을 포함하는 (그러나 이들로 제한되지는 않는다) 다양한 소스들로부터 비롯될 수도 있다.
하나 이상의 입력 변수를 세그먼트 406 및 408 에 도시된 바와 같은 수학 연산자와 조합함으로써, 사용자는 수식을 생성할 수도 있다. 일 예로, 사용자는 입력 변수 "ffMidCD" 및 입력 변수 "udCoeff1" 를 덧셈 연산자 (+) 와 조합함으로써 수식 (410) 을 생성할 수 있다. 전술한 것으로부터 알 수 있는 바와 같이, 수식에 포함될 수도 있는 입력 변수들 및 수학 연산자의 수는 사용자의 판단에 따라 변할 수도 있다.
일단 수식이 생성되었다면, 그 수식은 수식 섹션 (308) 에 나타날 수도 있다. 일 예로, 수식 (410) (예를 들어, ffMidCD + udCoeff1) 은 수식 섹션 (308) 의 수식 셀 (312) 에 나타날 수도 있다. 종래 기술과 달리, 생성 및/또는 변형될 수도 있는 수식의 수는 변할 수도 있다. 이 예에서는, 4 개의 수식이 생성 및/또는 변형될 수도 있다. 2 개 이상의 수식이 1 개의 모델과 연관될 수 있게 함으로써, 단일의 모델은, 레시피가 미세-튜닝되어야 할 때 조정되어야 할 수도 있는 레시피 단계의 상이한 파라미터들을 어드레싱하도록 이용될 수도 있다.
일 실시형태에서, 초기값 섹션 (310) 에 도시된 바와 같이, 값이 제공되지 않거나 아직 이용가능하지 않은 경우, 각 수식은 초기값을 가질 수도 있다. 일 예로, 모델은 2 개의 수식을 가질 수도 있는데, 제 2 수식은 필요한 입력 값들 중 하나를 제공하기 위해 제 1 수식에 의존한다. 모델의 제 1 실행 동안, 예를 들어 제 2 수식이 출력 값을 컴퓨터링할 수 있게 하기 위하여, 제 1 수식의 초기값은 80.00 과 같은 디폴트 값일 수도 있다.
일 실시형태에서, 사용자 인터페이스 (302) 의 메인 화면은 또한, 출력 데이터를 필터링하기 위해 이용될 수도 있는 컨디셔닝 모듈 (314) 을 포함할 수도 있다. 데이터 필터링의 예들은, 유한 임펄스 응답 (FIR) 및 무한 임펄스 응답 (IIR) 을 포함할 수도 있으나, 이들로 제한되지는 않는다. 전술한 바와 같이, 필터링은 데이터의 무결성을 테스트하기 위하여 입력 및/또는 출력 데이터에 적용될 수도 있다. 즉, 필터링은 외부 상태로 인해 발생할 수도 있는 노이즈를 제거하기 위하여 수행될 수도 있다. 일 예로, 필터링은 예를 들어, 노이즈 팩터를 실질적으로 제거하기 위하여, 덜 유리한 상태 하에서 수집되었을 수도 있는 미가공 데이터를 평균화할 수도 있다.
컨디셔닝 모듈 (314) 은 입력 필터링 섹션 (316) 및 출력 필터링 섹션 (318) 을 포함할 수도 있다. 각 섹션은 필터링 기술 섹션, 다수의 샘플 섹션, 및 계수 섹션을 포함할 수도 있다. 일 예로, 입력 필터링 기술 섹션 (320) 은 사용자가, 적용될 수도 있는 FIR 및 IIR 과 같은 필터링 기술의 유형을 정의하도록 허용할 수도 있다. FIR 필터링 기술이 선택되는 경우, 사용자는 이용될 수도 있는 소정 수의 샘플을 정의할 수도 있다. 일 예로, 입력된 샘플 수 섹션 (322) 에 따라, 제 1 수식에 대한 FIR 필터링 기술 동안 3 개의 샘플이 이용될 수도 있다. 그러나, IIR 필터링 기술이 선택되는 경우, 사용자는 입력 계수 섹션 (324) 에 따라 가장 최근의 데이터 세트의 가중치 값을 나타낼 수도 있다. 일 예로, 사용자는 입력 계수로서 0.90 을 입력할 수도 있다. 전술한 바와 같이, 출력 필터링 섹션 (318) 은 또한 입력 필터링 섹션 (316) 에서 설명된 것과 유사한 섹션들 (출력 필터링 기술 섹션 (326), 출력된 샘플 수 섹션 (328), 및 출력 계수 섹션 (330)) 을 포함할 수도 있다.
일 실시형태에서, 범용 모델 구축기는 또한, 사용자가 출력이 이용될 수도 있는 방법을 결정할 수 있게 할 수도 있는 출력 섹션 (332) 을 포함할 수도 있다. 일 예로, 사용자는 파라미터명을 제공함으로써 특정 레시피 세트포인트에 출력을 연관시킬 수도 있다. 다른 예로, 사용자는, 출력 값이 다른 수식의 입력으로서 이용될 수도 있거나 또는 결함 검출을 수행하도록 이용될 수도 있다는 것을 나타내기 위해 "널 (null)" 을 선택할 수도 있다.
일 실시형태에서, 사용자 인터페이스 (302) 의 메인 화면은 또한 입력 인증 모듈 (334) 을 포함할 수도 있다. 입력 인증 모듈 (334) 은 입력 변수에 대한 데이터를 인증하도록 이용될 수도 있다. 일 실시형태에서, 각 입력 변수에 대해, 기대값, 소프트 허용오차, 하드 허용오차, 최소값 및 최대값이 제공될 수도 있다. 일 예로, 입력 변수 (336) (예를 들어, ffMidCD) 는 15.00 의 소프트 허용오차 및 20.00 의 하드 허용오차와 함께 80.00 의 기대값을 가질 수도 있다. 따라서, ffMidCD 에 대한 입력 데이터가 정의된 범위 밖에 있는 경우, 입력 데이터는 예를 들어 폐기될 수도 있다.
일 실시형태에서, 사용자 인터페이스 (302) 의 메인 화면은 또한 사용자 정의 변수 모듈 (338) 을 포함할 수도 있다. 전술한 바와 같이, 범용 모델 구축기는 사용자가 입력 변수를 부가할 수 있게 한다. 사용자는 사용자 정의 변수 모듈 (338) 에서 변수들을 정의함으로써 추가 입력 변수들을 부가할 수도 있다. 일 예로, 이용은 udCoeff1 을 1.25 로서, udCoeff2 를 2.15 로서 정의할 수도 있다. 사용자 정의 변수를 이용함으로써, 사용자는 회사에 경쟁력 우위를 제공할 수도 있는 레시피의 특정한 설정과 같은 독점적 데이터를 숨길 수 있다. 사용자 정의 변수를 이용함으로써, 사용자는 레시피의 유일성을 고려하기 위하여 독점적 데이터를 노출시키지 않고 수식을 조작할 수도 있다.
또한, 사용자 인터페이스 (302) 의 메인 화면은 또한 출력 데이터를 인증하도록 이용될 수도 있는 출력 인증 모듈 (340) 을 포함할 수도 있다. 각 출력 변수에 대해, 범위가 정의될 수도 있다. 일 실시형태에서, 범위는 기대값, 소프트 허용오차, 및 하드 허용오차를 포함할 수도 있다. 일 예로, (출력 셀 (342) 에 도시된 바와 같은) 프로세스 시간에 대한 기대값은 10.00 의 소프트 허용오차 및 20.00 의 하드 허용오차와 함께 30.00 이다. 생산 작업 동안, 실제 출력은 출력 인증 모듈 (340) 에 정의된 바와 같은 값에 대하여 평가될 수도 있다. 그 값이 설정된 범위 내에 있는 경우, 출력은 레시피 세트포인트를 조정하고/하거나 다른 수식에 대한 입력 변수가 되도록 이용될 수도 있다. 그러나, 출력 값은 또한, 특히 출력 값이 허용오차 레벨 밖에 있는 경우 결함 검출을 수행하도록 이용될 수도 있다.
일 실시형태에서, 사용자 인터페이스 (302) 의 메인 화면은 또한, 입력 데이터의 신뢰 수준을 체크하도록 이용될 수도 있는 GOF (goodness of fit) 임계값 모듈 (344) 을 포함할 수도 있다. 당업자는, 실제값과 기대값 간의 불일치를 결정하기 위해 GOF 가 주로 이용된다는 것을 알고 있다. 통상, 최소값은 GOF 최소값에 대하여 체크된다. 이 예에서, GOF 임계값 모듈 (344) 은 입력 변수가 정의한 것처럼 신뢰 수준 내에 있지 않은 경우 경고를 활성화시킬 수 있다. 일 예로, 값이 1.00 보다 큰 경우 FFWarning 이 활성화된다.
전술한 것으로부터 알 수 있는 바와 같이, 도 3 및 도 4 는 새로운 모델을 생성하고/하거나 기존 모델을 변형하는데 있어서 사용자를 도울 수도 있는 사용자 인터페이스의 예들을 도시한다. 범용 모델 구축기를 이용하면, 모델은 외부인의 도움을 필요로 하지 않고 생성 및/또는 변형될 수도 있다. 따라서, 독점적 레시피가 외부인과 공유되는 것을 요구하지 않고 모델이 생성 및/또는 변형될 수도 있기 때문에, 외부인에게 지적 자산을 노출시킬 위험이 상당히 제거된다. 또한, 엔지니어의 시간에 대해 다른 요구자들과 경쟁하는 대신에 모델이 내부적으로 처리될 수도 있기 때문에, 모델의 생성 및/또는 변형이 더 빠른 소요 시간을 가질 수도 있다.
도 5a 는 본 발명의 실시형태에서 모델과 레시피 간의 관계를 나타내는 단순한 블록도를 도시한다. 장치 (500) 는 범용 모델 구축기 (502) 및 플라즈마 프로세싱 시스템 (504) 을 포함할 수도 있다. 범용 모델 구축기 (502) 는 불가지론적인 로케이션일 수도 있는 소프트웨어 프로그램일 수도 있다. 일 실시형태에서, 범용 모델 구축기 (502) 는, 범용 모델 구축기 (502) 와 플라즈마 프로세싱 시스템 (504) 간에 데이터 교환이 발생할 수 있게 하기 위하여 플라즈마 프로세싱 시스템 (504) 의 제어기 (506) 와 직접 또는 매개 컴포넌트를 통해 상호작용하도록 구성된다.
전술한 바와 같이, 범용 모델 구축기 (502) 는 새로운 모델을 생성하고/하거나 현재 모델을 변형하도록 이용될 수도 있다. 일단 각 모델이 생성 및/또는 변형되었다면, 각 모델로부터 하나 이상의 템플릿이 생성될 수도 있다. 본원에 논의된 바와 같이, 템플릿은 특정 프로세싱 챔버 내의 특정 레시피 단계에 대해 맞춰진 모델을 지칭한다. 일 실시형태에서, 범용 모델 구축기 (502) 는 복수의 템플릿을 저장하기 위한 데이터베이스 (508) 를 포함할 수도 있다.
템플릿의 데이터베이스 (508) 는 범용 모델 구축기 (502) 로부터 경로 (510) 를 통해 플라즈마 프로세싱 시스템 (504) 의 제어기 (506) 로 송신될 수도 있다. 따라서, 제어기 (506) 는 플라즈마 프로세싱 시스템 (504) 과 연관된 이용가능한 템플릿 (514) 의 현재 버전을 저장할 수도 있다.
일 실시형태에서, 제어기 (506) 내에 상주할 수도 있는 레시피 편집기 (512) 는 템플릿을 레시피 단계와 연관시키도록 구성될 수도 있다. 도 5b 는 본 발명의 일 실시형태에서 레시피 편집기 (550) 의 값 화면의 일 예를 도시한다. 값 화면에서, 레시피에 대한 파라미터들 (예를 들어, 바이어스 매치, 최대 흐름, 헬륨 흐름 등) 각각에 대한 값을 입력하는 것 외에, 사용자는 템플릿을 레시피 단계와 연관시키도록 레시피 편집기 (550) 를 또한 이용할 수도 있다.
일 예로, 레시피 편집기 (550) 는 (열 (552) 및 열 (554) 로 도시된 바와 같은) 2 개의 단계를 갖는 레시피를 포함할 수도 있다. 각 단계에 대해, 단계 1 및 단계 2 각각에 대한 셀들 (556 및 558) 에서 템플릿이 선택될 수도 있다. 이 예에서, "inner" 로 알려진 템플릿은 단계 1 과 연관되어 있는 반면, "innerOuter" 로 알려진 템플릿은 단계 2 와 연관되어 있다.
템플릿을 레시피 단계와 연관시킴으로써, 템플릿을 레시피 단계와 연관시키는 업무가 일단 결정된다. 종래 기술과 달리, 사용자는 측정 데이터를 수집하는데 있어서 템플릿을 이용하기 위하여, 레시피 및/또는 템플릿 중 어느 하나에 대해 깊이 있는 (in-depth) 지식을 갖도록 요구되지 않는다. 전술한 것으로부터 알 수 있는 바와 같이, 템플릿을 레시피 단계에 연결함으로써, 템플릿이 어느 레시피 단계에 속하는지를 결정하는 것과 연관된 결정이 계속하여 (ongoing basis) 본질적으로 제거되어 왔다.
또한, 모델을 생성 및/또는 변형하는 업무는 툴 제조자의 스케줄에 의존하지 않는다. 대신에, 소비자가 범용 모델 구축기를 이용하여 모델을 생성 및/또는 변형할 수도 있다. 따라서, 모델의 생성 및/또는 변형을 위한 소요 시간이 상당히 감소될 수도 있다.
도 6 은 본 발명의 일 실시형태에서 모델이 생성 및/또는 변형될 수도 있는 방법을 나타내는 단순한 흐름도를 도시한다.
제 1 단계 602 에서, 플라즈마 프로세싱 시스템의 제조자는 사용자에게 범용 모델 구축기를 제공할 수도 있다. 종래 기술과 달리, 범용 모델 구축기는 플라즈마 프로세싱 시스템의 통합부 (integral part) 일 수도 있다.
다음 단계 604 에서, 사용자는 모델을 생성 또는 변형하기 위해 범용 모델 구축기를 활성화시킬 수도 있다. 종래 기술과 달리, 각 모델은 업무를 수행하기 위해 외부인을 데려와야 할 필요 없이 사용자에 의해 생성될 수도 있다. 따라서, 사용자는 자신의 회사의 지적 자산이 외부인에게 노출되는 것을 보호할 수도 있다. 또한, 모델을 생성 및/또는 변형하는 업무는 툴 제조자의 스케줄에 의존하지 않는다. 대신에, 소비자는 범용 모델 구축기를 이용하여 모델을 생성 및/또는 변형할 수도 있다. 따라서, 모델의 생성 및/또는 변형을 위한 소요 시간이 상당히 감소될 수도 있다.
다음 단계 606 에서, 사용자는 모델을 테스트 및 인증할 수도 있다. 일 예로, 사용자는 예를 들어 균일성 문제가 고정되어 있는지 여부를 결정할 수도 있는 측정 데이터를 획득하기 위해 시뮬레이션을 실행시킬 수도 있다.
다음 단계 608 에서, 방법은 모델이 변형될 필요가 있는지를 결정하는 것을 허용한다. 추가 변화가 필요한 경우, 단계 604 내지 단계 606 이 반복될 수도 있다. 테스트 단계 동안 변화가 식별될 수도 있지만, 그 변화는 외부인의 스케줄에 착수해야 할 필요 없이 신속하게 구현될 수도 있다. 따라서, 모델은 적절한 시기에 구축 및/또는 변형될 수도 있다.
그러나, 변화가 요구되지 않는 경우, 다음 단계 610 에서, 모델이 수락되고 템플릿 세트가 생성될 수도 있다.
다음 단계 612 에서, 사용자는 템플릿 세트를 레시피 단계와 연관시킬 수도 있다. 종래 기술과 달리, 템플릿은 레시피에 연결될 수도 있고, 이로써 사용자가 어느 템플릿이 생산 작업 동안 실행되어야 하는지에 대해 결정할 필요성이 제거된다.
전술한 것으로부터 알 수 있는 바와 같이, 본 발명의 하나 이상의 실시형태는 레시피 미세-튜닝을 가능하게 하기 위하여 모델을 생성 및/또는 변형하기 위한 범용 모델 구축기 장치를 제공한다. 범용 모델 구축기 장치를 이용함으로써, 사용자는 모델에 대한 제어를 유지하면서 지적 자산을 보호할 수 있다. 또한, 템플릿을 특정 레시피 단계에 연결함으로써, 범용 모델 구축기 장치는 레시피 및/또는 템플릿의 깊이 있는 지식 없이 지식이 적은 사용자가 레시피를 미세-튜닝할 수 있게 할 수 있다. 또한, 범용 모델 구축기 장치는 이전 기종과 호환이 되는 저가의 솔루션이며, 이로써, 현재 플라즈마 프로세싱 시스템의 소유주가 추가의 많은 소요 비용을 초래하지 않고 범용 모델 구축기 장치를 구현할 수 있게 한다.
본 발명은 몇몇 바람직한 실시형태의 관점에서 설명되었으나, 본 발명의 범위 내에 있는 교체물, 치환물, 및 등가물이 존재한다. 다양한 예들이 본원에 제공되지만, 이들 예들은 본 발명에 대하여 제한하는 것이 아닌 예시인 것으로 의도된다.
또한, 발명의 명칭 및 개요가 편의를 위해 본원에 제공되며, 이는 본원의 특허청구의 범위를 이해하도록 이용되어서는 안된다. 또한, 요약서는 매우 간략화된 형태로 기록되고, 편의를 위해 본원에 제공되므로, 특허청구범위에 표현되는 발명 전체를 해석하거나 제한하도록 이용되어서는 안된다. "세트" 라는 용어가 본원에 이용되는 경우, 이러한 용어는 통상적으로 0, 1, 또는 2 이상의 요소를 포함하기 위한 수학적 의미로 이해되어야 한다. 또한, 본 발명의 방법 및 장치를 구현하는 다수의 대안의 방법들이 존재한다는 것을 주목해야 한다. 따라서, 다음의 첨부된 특허청구범위는 본 발명의 진정한 사상 및 범위 내에 있는 모든 이러한 교체물, 치환물 및 등가물을 포함하는 것으로 해석되어야 하는 것으로 의도된다.

Claims (20)

  1. 플라즈마 프로세싱 시스템의 사용자에 의해 프로세싱된 기판에 대한 측정 데이터를 수집하기 위한 모델을 생성하는 장치로서,
    적어도 상기 모델을 생성하도록 구성되는 범용 모델 구축기로서, 상기 모델은 입력 데이터 세트와 출력 데이터 세트 간의 관계식인, 상기 범용 모델 구축기;
    복수의 입력 소스들로부터의 상기 입력 데이터 세트를 포함하는 입력 모듈;
    적어도 상기 입력 데이터 세트의 무결성을 결정하도록 구성되는 입력 컨디셔닝 및 인증 모듈;
    적어도 수학 관계식 세트를 생성하도록 구성되는 관계식 모듈; 및
    적어도 상기 출력 데이터 세트의 무결성을 결정하도록 구성되는 출력 컨디셔닝 및 인증 모듈을 포함하는, 모델을 생성하는 장치.
  2. 제 1 항에 있어서,
    상기 복수의 입력 소스들은 사용자 정의 소스들, 프리-메트롤로지 데이터 소스, 포스트-메트롤로지 데이터 소스, 센서 데이터 소스, 엔드포인트 데이터 소스 및 소프트웨어 계산된 데이터 소스들을 포함하는, 모델을 생성하는 장치.
  3. 제 2 항에 있어서,
    상기 관계식 모듈은 상기 입력 데이터 세트에 입력 변수 세트를 맵핑하도록 구성되는, 모델을 생성하는 장치.
  4. 제 3 항에 있어서,
    상기 관계식 모듈은 수학식 컴포넌트, 행렬 변환 튜닝 컴포넌트 및 맞춤형 수학식 컴포넌트 중 적어도 하나의 컴포넌트를 포함하도록 구성되는, 모델을 생성하는 장치.
  5. 제 4 항에 있어서,
    상기 출력 데이터 세트는 레시피 세트포인트, 다른 수학 관계식에 대한 입력 데이터 및 결함 검출을 수행하기 위한 데이터 중 적어도 하나와 연관되는, 모델을 생성하는 장치.
  6. 제 5 항에 있어서,
    상기 입력 컨디셔닝 및 인증 모듈들 및 상기 출력 컨디셔닝 및 인증 모듈들 중 적어도 하나에 대한 파라미터들의 값들을 입력함으로써 상기 모델로부터 템플릿 세트가 생성되는, 모델을 생성하는 장치.
  7. 제 6 항에 있어서,
    상기 템플릿 세트 중 일 템플릿은 레시피 단계들 중 일 레시피 단계와 연관되는, 모델을 생성하는 장치.
  8. 플라즈마 프로세싱 시스템의 사용자에 의해 프로세싱 기판에 대한 측정 데이터를 수집하기 위한 모델을 생성하는 방법으로서,
    적어도 상기 모델을 생성하도록 구성되는 범용 모델 구축기를 활성화하는 단계로서, 상기 모델은 입력 데이터 세트와 출력 데이터 세트 간의 관계식인, 상기 범용 모델 구축기를 활성화하는 단계;
    적어도 상기 입력 데이터 세트의 무결성을 결정하도록 구성되는 입력 컨디셔닝 및 인증 모듈에 대한 필터링 조건들 및 인증 룰들 중 적어도 하나를 확립하는 단계;
    수학 관계식 세트를 생성하는 단계로서, 상기 수학 관계식 세트의 제 1 수학 관계식은 적어도 입력 변수 세트의 제 1 입력 변수 및 수학 연산자 세트를 포함하고, 상기 제 1 수학 관계식은 적어도 상기 출력 데이터 세트의 제 1 출력 데이터를 제공하도록 구성되는, 상기 수학 관계식 세트를 생성하는 단계; 및
    적어도 상기 출력 데이터 세트의 무결성을 결정하도록 구성되는 출력 컨디셔닝 및 인증 모듈에 대한 필터링 조건들 및 인증 룰들 중 적어도 하나를 확립하는 단계를 포함하는, 모델을 생성하는 방법.
  9. 제 8 항에 있어서,
    상기 입력 변수 세트를 복수의 입력 소스들로부터 수신된 상기 입력 데이터 세트에 맵핑하는 단계를 더 포함하는, 모델을 생성하는 방법.
  10. 제 9 항에 있어서,
    상기 복수의 입력 소스들은 사용자 정의 소스들, 프리-메트롤로지 데이터 소스, 포스트-메트롤로지 데이터 소스, 센서 데이터 소스, 엔드포인트 데이터 소스 및 소프트웨어 계산된 데이터 소스들을 포함하는, 모델을 생성하는 방법.
  11. 제 10 항에 있어서,
    상기 제 1 수학 관계식은 관계식 모듈에 의해 생성되며, 상기 관계식 모듈은 수학식 컴포넌트, 행렬 변환 튜닝 컴포넌트 및 맞춤형 수학식 컴포넌트 중 적어도 하나의 컴포넌트를 포함하도록 구성되는, 모델을 생성하는 방법.
  12. 제 11 항에 있어서,
    상기 수학 관계식 세트의 제 2 수학 관계식을 생성하는 단계를 더 포함하며, 상기 제 2 수학 관계식은 적어도 상기 입력 변수 세트의 제 2 입력 변수 및 상기 수학 연산자 세트를 포함하며, 상기 제 2 수학 관계식은 적어도 상기 출력 데이터 세트의 제 2 출력 데이터를 제공하도록 구성되는, 모델을 생성하는 방법.
  13. 제 12 항에 있어서,
    상기 출력 데이터 세트를, 레시피 세트포인트, 다른 수학 관계식에 대한 입력 데이터 및 결함 검출을 수행하기 위한 데이터 중 적어도 하나인 것으로서 정의하는 단계를 더 포함하는, 모델을 생성하는 방법.
  14. 제 13 항에 있어서,
    상기 필터링 조건들 및 상기 인증 룰들 중 적어도 하나에 대한 값들을 입력함으로써 상기 모델로부터 템플릿 세트를 생성하는 단계를 더 포함하는, 모델을 생성하는 방법.
  15. 제 14 항에 있어서,
    상기 템플릿 세트 중 일 템플릿을 레시피 단계들 중 일 레시피 단계와 연관시키는 단계를 더 포함하는, 모델을 생성하는 방법.
  16. 컴퓨터 판독가능 코드를 포함하고 있는 프로그램 저장 매체를 포함하는 제품으로서,
    상기 컴퓨터 판독가능 코드는 플라즈마 프로세싱 시스템의 사용자에 의해 프로세싱된 기판에 대한 측정 데이터를 수집하기 위한 모델을 생성하도록 구성되며,
    적어도 상기 모델을 생성하도록 구성되는 범용 모델 구축기를 활성화하는 코드로서, 상기 모델은 입력 데이터 세트와 출력 데이터 세트 간의 관계식인, 상기 범용 모델 구축기를 활성화하는 코드;
    적어도 상기 입력 데이터 세트의 무결성을 결정하도록 구성되는 입력 컨디셔닝 및 인증 모듈에 대한 필터링 조건들 및 인증 룰들 중 적어도 하나를 확립하는 코드;
    적어도 입력 변수 세트 및 수학 연산자 세트를 포함하는 수학 관계식 세트를 생성하는 코드로서, 상기 수학 관계식 세트는 적어도 상기 출력 데이터 세트를 제공하도록 구성되는, 상기 수학 관계식 세트를 생성하는 코드; 및
    적어도 상기 출력 데이터 세트의 무결성을 결정하도록 구성되는 출력 컨디셔닝 및 인증 모듈에 대한 필터링 조건들 및 인증 룰들 중 적어도 하나를 확립하는 코드를 포함하는, 컴퓨터 판독가능 코드를 포함하고 있는 프로그램 저장 매체를 포함하는 제품.
  17. 제 16 항에 있어서,
    상기 입력 변수 세트를 복수의 입력 소스들로부터 수신된 상기 입력 데이터 세트에 맵핑하는 코드를 더 포함하는, 컴퓨터 판독가능 코드를 포함하고 있는 프로그램 저장 매체를 포함하는 제품.
  18. 제 17 항에 있어서,
    상기 출력 데이터 세트를, 레시피 세트포인트, 다른 수학 관계식에 대한 입력 데이터 및 결함 검출을 수행하기 위한 데이터 중 적어도 하나인 것으로서 정의하는 코드를 더 포함하는, 컴퓨터 판독가능 코드를 포함하고 있는 프로그램 저장 매체를 포함하는 제품.
  19. 제 18 항에 있어서,
    상기 필터링 조건들 및 상기 인증 룰들 중 적어도 하나에 대한 값들을 입력함으로써 상기 모델로부터 템플릿 세트를 생성하는 코드를 더 포함하는, 컴퓨터 판독가능 코드를 포함하고 있는 프로그램 저장 매체를 포함하는 제품.
  20. 제 19 항에 있어서,
    상기 템플릿 세트 중 일 템플릿을 레시피 단계들 중 일 레시피 단계와 연관시키는 코드를 더 포함하는, 컴퓨터 판독가능 코드를 포함하고 있는 프로그램 저장 매체를 포함하는 제품.
KR1020107006961A 2007-09-28 2008-09-29 레시피들을 미세 튜닝하기 위한 모델들을 생성하는 방법 및 장치 KR101107539B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US97616507P 2007-09-28 2007-09-28
US60/976,165 2007-09-28
PCT/US2008/078129 WO2009043008A2 (en) 2007-09-28 2008-09-29 Methods and arrangement for creating models for fine-tuning recipes

Publications (2)

Publication Number Publication Date
KR20100049678A KR20100049678A (ko) 2010-05-12
KR101107539B1 true KR101107539B1 (ko) 2012-01-31

Family

ID=40509348

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107006961A KR101107539B1 (ko) 2007-09-28 2008-09-29 레시피들을 미세 튜닝하기 위한 모델들을 생성하는 방법 및 장치

Country Status (7)

Country Link
US (1) US20090089024A1 (ko)
JP (1) JP5443365B2 (ko)
KR (1) KR101107539B1 (ko)
CN (1) CN101878522B (ko)
SG (1) SG184776A1 (ko)
TW (1) TWI447597B (ko)
WO (1) WO2009043008A2 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8225269B2 (en) * 2009-10-30 2012-07-17 Synopsys, Inc. Technique for generating an analysis equation
US9280151B2 (en) * 2012-05-15 2016-03-08 Wafertech, Llc Recipe management system and method
JP6239294B2 (ja) 2013-07-18 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
US10558204B2 (en) * 2016-09-19 2020-02-11 Palo Alto Research Center Incorporated System and method for scalable real-time micro-object position control with the aid of a digital computer
TWI797187B (zh) * 2017-11-03 2023-04-01 日商東京威力科創股份有限公司 功能微電子元件之良率提高
GB2570115B (en) 2018-01-10 2022-12-21 Spiro Control Ltd Process control system and method
JP7094377B2 (ja) 2019-12-23 2022-07-01 株式会社日立ハイテク プラズマ処理方法およびプラズマ処理に用いる波長選択方法
US20230147976A1 (en) * 2021-11-11 2023-05-11 Applied Materials, Inc. Coded substrate material identifier communication tool

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002103778A2 (en) 2001-06-19 2002-12-27 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
KR20050069160A (ko) * 2003-12-31 2005-07-05 동부아남반도체 주식회사 반도체의 레서피 변경방법
US7155301B2 (en) 2002-08-28 2006-12-26 Tokyo Electron Limited Method and system for dynamic modeling and recipe optimization of semiconductor etch processes

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6442512B1 (en) * 1998-10-26 2002-08-27 Invensys Systems, Inc. Interactive process modeling system
US6631299B1 (en) * 1998-12-22 2003-10-07 Texas Instruments Incorporated System and method for self-tuning feedback control of a system
US6961636B1 (en) * 2001-04-19 2005-11-01 Advanced Micro Devices Inc. Method and apparatus for dynamically monitoring controller tuning parameters
US6965895B2 (en) * 2001-07-16 2005-11-15 Applied Materials, Inc. Method and apparatus for analyzing manufacturing data
JP4158384B2 (ja) * 2001-07-19 2008-10-01 株式会社日立製作所 半導体デバイスの製造工程監視方法及びそのシステム
US6578183B2 (en) * 2001-10-22 2003-06-10 Silicon Perspective Corporation Method for generating a partitioned IC layout
US6905895B1 (en) * 2002-06-28 2005-06-14 Advanced Micro Devices, Inc. Predicting process excursions based upon tool state variables
US6720194B1 (en) * 2002-10-02 2004-04-13 Siverion, Inc. Semiconductor characterization and production information system
US6836691B1 (en) * 2003-05-01 2004-12-28 Advanced Micro Devices, Inc. Method and apparatus for filtering metrology data based on collection purpose
JP4495960B2 (ja) * 2003-12-26 2010-07-07 キヤノンItソリューションズ株式会社 プロセスと品質との関係についてのモデル作成装置
TW200604865A (en) * 2004-07-16 2006-02-01 Hon Hai Prec Ind Co Ltd System and method for crosstalk checking of nets in a layout
JP2007250647A (ja) * 2006-03-14 2007-09-27 Omron Corp モデル作成装置およびモデル作成方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002103778A2 (en) 2001-06-19 2002-12-27 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7155301B2 (en) 2002-08-28 2006-12-26 Tokyo Electron Limited Method and system for dynamic modeling and recipe optimization of semiconductor etch processes
KR20050069160A (ko) * 2003-12-31 2005-07-05 동부아남반도체 주식회사 반도체의 레서피 변경방법

Also Published As

Publication number Publication date
TWI447597B (zh) 2014-08-01
KR20100049678A (ko) 2010-05-12
CN101878522B (zh) 2014-04-30
US20090089024A1 (en) 2009-04-02
JP2010541254A (ja) 2010-12-24
WO2009043008A3 (en) 2009-05-22
JP5443365B2 (ja) 2014-03-19
TW200933390A (en) 2009-08-01
CN101878522A (zh) 2010-11-03
SG184776A1 (en) 2012-10-30
WO2009043008A2 (en) 2009-04-02

Similar Documents

Publication Publication Date Title
KR101107539B1 (ko) 레시피들을 미세 튜닝하기 위한 모델들을 생성하는 방법 및 장치
JP5933485B2 (ja) モデルベース制御技法におけるロバストなプロセスモデルの同定方法及びシステム
KR101009384B1 (ko) 처리시스템의 자동 구성 방법
JP6893549B2 (ja) 高次元変数選択モデルを使用した重要なパラメータの決定システム
US20040148049A1 (en) Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools
US20100292824A1 (en) System and method for implementing a wafer acceptance test ("wat") advanced process control ("apc") with novel sampling policy and architecture
US11619926B2 (en) Information processing device, program, process treatment executing device, and information processing system
JP2018537853A (ja) ウエハのポイントバイポイント分析及びデータの提示
TWI726401B (zh) 資料處理方法、裝置與系統、以及電腦可讀取記錄媒體
Hamby et al. A probabilistic approach to run-to-run control
KR101432844B1 (ko) 가장 잘 알려진 방법을 이용하여 레시피를 생성하기 위한 방법 및 장치
Bavdekar et al. Stochastic predictive control with adaptive model maintenance
JP5775803B2 (ja) 製造ラインシミュレーションモデル構築方法、製造ラインシミュレーションモデル構築装置、及び製造ラインシミュレーションモデル構築プログラム
CN110416111A (zh) 实现多个腔室匹配的方法和实现多个腔室匹配的装置
Carbone et al. Measurement uncertainty and metrological confirmation in quality-oriented organizations
Albey et al. Load dependent lead time modelling: A robust optimization approach
TW200424816A (en) Method for automatic configuration of a processing system
Chen et al. Stochastic gradient algorithm for a dual-rate Box-Jenkins model based on auxiliary model and FIRmode
Ko et al. Machine Learning Powered Capacity Planning for Semiconductor Fab
US8219341B2 (en) System and method for implementing wafer acceptance test (“WAT”) advanced process control (“APC”) with routing model
Subramany et al. CPE run-to-run overlay control for high volume manufacturing
Haskaraman Chamber matching in semiconductor manufacturing using statistical analysis and run-to-run control
KR100479227B1 (ko) 다이그래프를 이용한 결함수 자동합성 방법
Nerurkar Design of experiments on a semiconductor plasma ashing process: methods and analysis
Nilgianskul Control of a semiconductor dry etch process using variation and correlation analyses

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141226

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151228

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161230

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171228

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20181226

Year of fee payment: 8