KR101015596B1 - Apparatus for Heat Treatment of Semiconductor Thin Film - Google Patents

Apparatus for Heat Treatment of Semiconductor Thin Film Download PDF

Info

Publication number
KR101015596B1
KR101015596B1 KR1020050017005A KR20050017005A KR101015596B1 KR 101015596 B1 KR101015596 B1 KR 101015596B1 KR 1020050017005 A KR1020050017005 A KR 1020050017005A KR 20050017005 A KR20050017005 A KR 20050017005A KR 101015596 B1 KR101015596 B1 KR 101015596B1
Authority
KR
South Korea
Prior art keywords
support plate
cooling
heat treatment
semiconductor element
temperature
Prior art date
Application number
KR1020050017005A
Other languages
Korean (ko)
Other versions
KR20060095371A (en
Inventor
박왕준
김정배
Original Assignee
주식회사 비아트론
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 비아트론 filed Critical 주식회사 비아트론
Priority to KR1020050017005A priority Critical patent/KR101015596B1/en
Priority to PCT/KR2005/001393 priority patent/WO2005109486A1/en
Priority to JP2007513068A priority patent/JP4796056B2/en
Priority to TW094135594A priority patent/TWI268556B/en
Publication of KR20060095371A publication Critical patent/KR20060095371A/en
Priority to US11/598,447 priority patent/US7989736B2/en
Application granted granted Critical
Publication of KR101015596B1 publication Critical patent/KR101015596B1/en

Links

Images

Classifications

    • AHUMAN NECESSITIES
    • A44HABERDASHERY; JEWELLERY
    • A44BBUTTONS, PINS, BUCKLES, SLIDE FASTENERS, OR THE LIKE
    • A44B11/00Buckles; Similar fasteners for interconnecting straps or the like, e.g. for safety belts
    • A44B11/005Buckles combined with other articles, e.g. with receptacles
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01CMEASURING DISTANCES, LEVELS OR BEARINGS; SURVEYING; NAVIGATION; GYROSCOPIC INSTRUMENTS; PHOTOGRAMMETRY OR VIDEOGRAMMETRY
    • G01C17/00Compasses; Devices for ascertaining true or magnetic north for navigation or surveying purposes
    • G01C17/02Magnetic compasses
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01CMEASURING DISTANCES, LEVELS OR BEARINGS; SURVEYING; NAVIGATION; GYROSCOPIC INSTRUMENTS; PHOTOGRAMMETRY OR VIDEOGRAMMETRY
    • G01C22/00Measuring distance traversed on the ground by vehicles, persons, animals or other moving solid bodies, e.g. using odometers, using pedometers
    • G01C22/006Pedometers

Abstract

본 발명은 반도체 소자의 열처리 장치에 관한 것으로서, 보다 상세하게는 LCD 또는 OLED와 같은 평판디스플레이 패널에 사용되는 유리기판의 표면에 형성된 비정질실리콘 박막의 결정화 또는 다결정실리콘 박막의 도펀트 활성화를 위한 열처리시 열처리 후 이송되는 유리기판을 변형되지 않는 소정온도까지 균일하게 냉각시켜 배출하는 배출부를 구비하며, 유리기판의 변형을 방지하면서 열처리 온도까지 단계적으로 가열 온도를 승온 및 냉각시켜 열처리가 신속하게 수행될 수 있는 것을 특징으로 하는 반도체 소자의 열처리 장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a heat treatment apparatus for a semiconductor device, and more particularly, to heat treatment for crystallization of an amorphous silicon thin film formed on a surface of a glass substrate used in a flat panel display panel such as an LCD or an OLED, or for dopant activation of a polysilicon thin film. After discharging the glass substrate to be transported uniformly to a predetermined temperature that is not deformed and has a discharge portion for discharging, the heat treatment can be quickly performed by raising and cooling the heating temperature step by step to the heat treatment temperature while preventing the deformation of the glass substrate The heat treatment apparatus of the semiconductor element characterized by the above-mentioned.

비정질실리콘막, 결정화, 도펀트 활성화, 균일 냉각, 변형방지 Amorphous Silicon Film, Crystallization, Dopant Activation, Uniform Cooling, Strain Resistant

Description

반도체 소자의 열처리 장치 {Apparatus for Heat Treatment of Semiconductor Thin Film}Heat treatment device for semiconductor device {Apparatus for Heat Treatment of Semiconductor Thin Film}

도 1은 본 발명의 실시예에 따른 반도체 소자의 열처리 장치의 구성도.1 is a block diagram of a heat treatment apparatus of a semiconductor device according to an embodiment of the present invention.

도 2는 반도체 소자의 열처리 장치를 구성하는 장입부의 정면도.2 is a front view of a charging unit constituting the heat treatment apparatus of the semiconductor element.

도 3은 장입부를 구성하는 서스셉터의 평면도.3 is a plan view of the susceptor constituting the charging unit;

도 4a는 가열부를 구성하는 가열로의 단면 사시도.4A is a sectional perspective view of a heating furnace constituting a heating unit.

도 4b는 도 4a의 가열로가 서로 연결되는 부위에 대한 단면 사시도.4B is a cross-sectional perspective view of a portion where the heating furnaces of FIG. 4A are connected to each other.

도 5a는 반도체 소자의 열처리 장치를 구성하는 배출부의 정면도.5A is a front view of a discharge part constituting the heat treatment apparatus of the semiconductor element.

도 5b는 도 5a의 측면도.5B is a side view of FIG. 5A.

도 6a는 배출부를 구성하는 냉각서스셉터의 평면도.Figure 6a is a plan view of the cooling susceptor constituting the discharge portion.

도 6b는 도 6a의 A-A 단면도.6B is a cross-sectional view taken along the line A-A of FIG. 6A.

도 7은 본 발명의 실시예에 따른 반도체 소자의 열처리 장치에서 실시되는 열처리의 공정 조건을 나타내는 그래프.7 is a graph showing process conditions of heat treatment performed in the heat treatment apparatus of the semiconductor device according to the embodiment of the present invention.

< 도면의 주요부분에 대한 부호의 설명 >Description of the Related Art

10 - 반도체 소자 20 - 지지판10-semiconductor device 20-support plate

100 - 장입부 200 - 가열부100-charging 200-heating

300 - 공정부 400 - 냉각부300-Process 400-Cooling

500 - 배출부500-outlet

510 - 냉각서스셉터 512 - 분사홀510-Cooling Susceptor 512-Injection Hole

520 - 냉각상하이송수단 530 - 가스분사노즐520-Cooling and transporting means 530-Gas jet nozzle

540 - 냉각수평이송수단 550 - 상부가열수단540-Horizontal cooling means 550-Upper heating means

본 발명은 반도체 소자의 열처리 장치에 관한 것으로서, 보다 상세하게는 LCD 또는 OLED와 같은 평판디스플레이 패널에 사용되는 유리기판의 표면에 형성된 비정질실리콘 박막의 결정화 또는 다결정실리콘 박막의 도펀트 활성화를 위한 열처리시 열처리 후 이송되는 유리기판을 변형되지 않는 소정온도까지 균일하게 냉각시켜 배출하는 배출부를 구비하며, 유리기판의 변형을 방지하면서 열처리 온도까지 단계적으로 가열 온도를 승온 및 냉각시켜 열처리가 신속하게 수행될 수 있는 것을 특징으로 하는 반도체 소자의 열처리 장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a heat treatment apparatus for a semiconductor device, and more particularly, to heat treatment for crystallization of an amorphous silicon thin film formed on a surface of a glass substrate used in a flat panel display panel such as an LCD or an OLED, or for dopant activation of a polysilicon thin film. After discharging the glass substrate to be transported uniformly to a predetermined temperature that is not deformed and has a discharge portion for discharging, the heat treatment can be quickly performed by raising and cooling the heating temperature step by step to the heat treatment temperature while preventing the deformation of the glass substrate The heat treatment apparatus of the semiconductor element characterized by the above-mentioned.

평판디스플레이 장치 중에서 액정표시장치(Liquid Crystal Display) 또는 유기발광디스플레이(Organic Light Emitting Display)는 활성소자로서 유리기판의 표면에 형성되는 박막트랜지스터(Thin Film Transistor)를 포함하여 형성된다. 이러한 박막트랜지스터는 일반적으로 투명한 유리기판 또는 석영기판의 표면에 비정질실리콘 박막을 증착 시킨 후 이를 결정실리콘 박막으로 결정화시키고 여기에 필요한 도펀트를 주입하여 활성화시켜 형성하게 된다.Among the flat panel display devices, a liquid crystal display or an organic light emitting display is formed by including a thin film transistor formed on the surface of a glass substrate as an active element. Such a thin film transistor is generally formed by depositing an amorphous silicon thin film on the surface of a transparent glass substrate or a quartz substrate, crystallizing it into a crystalline silicon thin film, and injecting a dopant necessary to activate the thin film.

이러한 유리기판에 형성된 비정질 실리콘 박막은 일반적으로 화학증착법(Chemical Vapor Deposition Method : CVD)에 의하여 형성되며, 소정의 열처리 과정에 의하여 다결정실리콘 박막으로 결정화되며, 필요한 도펀트가 주입되어 활성화된다.An amorphous silicon thin film formed on such a glass substrate is generally formed by a chemical vapor deposition method (CVD), crystallized into a polycrystalline silicon thin film by a predetermined heat treatment process, and a necessary dopant is injected and activated.

비정질실리콘 박막을 결정화하는 방법은 기존에 여러 가지 방법이 제시되고 있으며, 고상 결정화 방법(Solid Phase Crystallization: SPC), 금속유도 결정화 방법(Metal Induced Crystallization: MIC), 엑사이머 레이저 결정화 방법(Excimer Laser Crystallization: ELC) 등이 있다.A number of methods for crystallizing amorphous silicon thin films have been proposed.Solid phase crystallization (SPC), metal induced crystallization (MIC), excimer laser crystallization (Excimer Laser) Crystallization: ELC).

고상 결정화 방법은 소정온도에서 열처리를 통하여 결정화를 하는 방법으로 일반적으로 비정질실리콘 박막이 형성된 유리기판을 600 ℃이상에서 열처리하여 결정화하는 방법이다. The solid phase crystallization method is a method of crystallizing through heat treatment at a predetermined temperature is a method for crystallizing the glass substrate on which an amorphous silicon thin film is generally formed by heat treatment at 600 ℃ or more.

금속유도 결정화 방법은 비정질실리콘 박막에 소정의 금속원소를 첨가하여 비교적 저온에서 결정화를 유도하는 방법이다. 그러나, 이 방법은 열처리 온도가 너무 낮게 되면 결정립의 크기가 작고 결정성이 떨어져 소자의 구동특성이 나쁘게 될 수 있으며, 특히 첨가된 금속이 트랜지스터의 채널 영역에 유입되어 누설 전류가 증가되는 문제점이 있다. 이러한 금속유도 결정화 방법의 단점을 개선한 금속유도 측면결정화 방법((Metal Induced Lateral Crystallization: MILC)이 개발되었으며, 이 방법은 측면 결정성장을 유도하기 위해서는 500 ℃ 이상에서 열처리 공정이 필요하게 된다.Metal-induced crystallization is a method of inducing crystallization at a relatively low temperature by adding a predetermined metal element to the amorphous silicon thin film. However, in this method, if the heat treatment temperature is too low, the grain size is small and the crystallinity is poor, so that the driving characteristics of the device may be poor. In particular, the added metal is introduced into the channel region of the transistor, thereby increasing the leakage current. . Metal induced lateral crystallization (MIC) has been developed to improve the disadvantages of the metal induced crystallization method, which requires heat treatment at 500 ° C. or higher to induce lateral crystal growth.

엑사이머 레이저 결정화 방법은 유리기판 상의 비정질실리콘 박막에 고 에너 지의 레이저를 조사하여 비정질실리콘을 순간적으로 용융(melting)시키며, 용융된 실리콘 박막이 다시 냉각되면서 결정화되도록 하는 방법이다. 엑사이머 레이저 결정화 방법은 유리기판의 손상 없이 비정질실리콘 박막을 결정화시킬 수 있으나, 레이저 조사에 따른 줄무늬 결합이 발생되거나, 레이저 조사량의 불균일에 따른 결정상 불균일이 발생되어 소자의 특성을 저하시키는 문제점이 있다. 또한, 이 방법은 장비가 고가이므로 초기 투자비와 유지비가 많이 소요되며, 대량생산에 적용하는데 한계가 있다.Excimer laser crystallization is a method of irradiating the amorphous silicon thin film on the glass substrate with a high energy laser to melt the amorphous silicon instantaneously (melting), and the molten silicon thin film is cooled again to crystallize. The excimer laser crystallization method can crystallize an amorphous silicon thin film without damaging the glass substrate, but there is a problem of deterioration of device characteristics due to streaked bonds due to laser irradiation or crystal phase unevenness due to nonuniformity of laser irradiation amount. have. In addition, this method requires a lot of initial investment and maintenance costs because the equipment is expensive, there is a limit to apply to mass production.

한편, 다결정실리콘 박막을 이용하는 박막 트랜지스터는 상기와 같은 결정화 공정 후에 소정의 금속원소를 도펀트로 주입하고 활성화하는 공정이 추가적으로 진행된다.Meanwhile, in the thin film transistor using the polysilicon thin film, a process of injecting and activating a predetermined metal element with a dopant is further performed after the crystallization process as described above.

일반적으로 박막 트랜지스터에 있어서, 소스 및 드레인 영역과 같은 n형 (또는 p형) 영역을 형성하기 위하여, 이온 주입 또는 플라즈마 도핑법을 사용하여 비소(arsenic), 인(phosphorus) 또는 붕소(boron)와 같은 도펀트를 다결정실리콘 박막의 필요한 위치에 주입한다. 그런 다음, 레이저 또는 열처리 방법을 통하여 상기 도펀트를 활성화시킨다. In general, in thin film transistors, in order to form n-type (or p-type) regions such as source and drain regions, arsenic, phosphorus, or boron may be formed using ion implantation or plasma doping. The same dopant is implanted in the required location of the polysilicon thin film. Then, the dopant is activated by a laser or heat treatment method.

이러한 도펀트의 활성화 공정은, 비정질실리콘 박막의 결정화 방법과 유사하게, 레이저 조사 또는 열처리 방법이 사용된다. 예를 들면, 엑사이머 레이저 어닐링(Excimer Laser Anneals: ELA)방법, 순간고온 어닐링(Rapid Thermal Anneals: RTA)방법, 또는 로 어닐링(Furnace annealing : FA)방법 등이 사용되었다.In the activation process of such a dopant, a laser irradiation or heat treatment method is used, similar to the method of crystallizing an amorphous silicon thin film. For example, Excimer Laser Anneals (ELA) method, Rapid Thermal Anneals (RTA) method, Furnace annealing (FA) method, and the like have been used.

상기 ELA방법은 비정질실리콘 박막의 결정화 공정에 사용되는 ELC와 동일한 메카니즘이 적용되며, 초단파(nano-second) 레이저 펄스로 다결정실리콘을 빠르게 재용융 및 결정화하는 과정에서 도펀트를 활성화하게 된다. 그러나, 이러한 ELA법은 ELC법에서 발견되는 문제점이 그대로 나타난다. 즉, 상기 ELA방법은 국부적을 레이저 조사량의 불균일에 따른 재용융과 재결정화가 불균일하게 진행되어 다결정실리콘 박막에도 열 응력이 발생될 수 있으며, 소자의 신뢰성이 저하되는 문제점이 있다.The ELA method applies the same mechanism as the ELC used in the crystallization process of the amorphous silicon thin film, and activates the dopant in the process of rapidly remelting and crystallizing the polysilicon with a nano-second laser pulse. However, this ELA method is a problem found in the ELC method. That is, in the ELA method, re-melting and recrystallization are performed unevenly according to the non-uniformity of the laser irradiation amount, and thermal stress may be generated in the polysilicon thin film, and the reliability of the device may be degraded.

또한, 상기 RTA방법은 가열원으로 텅스텐-할로겐 또는 Xe 아크 램프와 같은 광학 가열원을 사용하여 유리기판을 600 ∼ 1000 ℃에 근접한 온도에서 수초 내지 수분 동안 열처리하게 된다. 그러나, 이러한 RTA법은 광학 가열원으로부터 조사되는 광이 다결정실리콘 박막뿐만 아니라 유리 기판까지 가열시키는 파장 범위를 갖게 되어 공정 중에 유리 기판이 손상되는 문제점이 있다. 또한, 광학 가열원으로부터 조사되는 광은 방사열의 제어가 어렵고 투명재료의 가열효율이 낮아 전체적으로 열처리 온도를 균일하게 제어하는 것이 어려운 문제점이 있다.In addition, in the RTA method, the glass substrate is heat-treated for several seconds to several minutes at a temperature close to 600 to 1000 ° C using an optical heating source such as tungsten-halogen or Xe arc lamp as a heating source. However, this RTA method has a problem that the light substrate irradiated from the optical heating source has a wavelength range for heating not only the polysilicon thin film but also the glass substrate, thereby damaging the glass substrate during the process. In addition, the light irradiated from the optical heating source is difficult to control the radiant heat and the heating efficiency of the transparent material is low, it is difficult to control the heat treatment temperature uniformly as a whole.

상기 로 어닐링방법은 소정의 열처리 온도에서 수 시간 동안 다결정실리콘 박막이 형성된 유리기판을 유지하여 주입되는 도펀트를 활성화하게 된다. 그러나, 이러한 로 어닐링방법은 열처리 온도가 낮게 되면 도펀트의 불충분한 활성화로 인해 소자의 신뢰성이 떨어지며 수 시간의 공정시간이 필요하며 생산성이 떨어지게 된다.The furnace annealing method activates the dopant implanted by maintaining the glass substrate on which the polysilicon thin film is formed for a predetermined time at a predetermined heat treatment temperature. However, in the furnace annealing method, when the heat treatment temperature is low, the device is unreliable due to insufficient activation of the dopant, requires several hours of processing time, and reduces productivity.

상기에서 살펴본 바와 같이 비정질실리콘 박막을 결정화하거나, 도펀트를 활성화하는 과정에 있어서, 열처리 온도는 공정시간과 결정화된 다결정실리콘 박막 또는 소자의 신뢰성에 영향을 주게 된다.As described above, in the process of crystallizing the amorphous silicon thin film or activating the dopant, the heat treatment temperature affects the process time and the reliability of the crystallized polysilicon thin film or device.

그러나, 비정질실리콘 박막이 형성된 유리기판은 일반적으로 600 ℃이상으로 가열되면 유리의 유동도 증가와 이에 다른 기계적 강도의 저하에 따라 열변형이 발생되며, 상온으로 냉각되어도 원상으로 복귀되지 않는다. 또한, 유리기판은 가열될 때 국부적으로 온도편차가 발생되면 변형이나 손상이 더욱 심하게 된다. 따라서, 600 ℃ 이상의 열처리 온도가 필요한 고상 결정화 방법은 유리기판의 변형을 방지할 수 있는 수단이 필요하게 된다. 또한, 금속유도 결정화 방법도 유리기판의 변형을 방지하기 위해서 열처리 온도가 낮은 대신에 수 시간 내지 수십 시간 동안에 열처리가 진행된다.However, when a glass substrate on which an amorphous silicon thin film is formed is generally heated to 600 ° C. or higher, thermal deformation occurs due to an increase in flow rate of glass and a decrease in mechanical strength. In addition, when the glass substrate is locally heated when a temperature deviation occurs, deformation or damage is more severe. Therefore, the solid phase crystallization method requiring a heat treatment temperature of 600 ° C or higher requires a means for preventing deformation of the glass substrate. In addition, the metal-induced crystallization method also undergoes heat treatment for several hours to several tens of hours instead of low heat treatment temperature to prevent deformation of the glass substrate.

기존에 고상 결정화 또는 금속유도 결정화 방법을 사용하여 유리기판을 열처리하는 장치로는 수평 연속로와 수직 관상로가 있다. 상기 수평 연속로는 수십 미터에 달하는 긴 로의 내부로 컨베이어 또는 롤러를 사용하여 유리기판을 이송하며 열처리하는 장치이다. 이러한 수평 연속로는 유리기판의 손상과 변형을 방지하기 위해서 유리기판의 온도를 완만하게 상승 및 하강시키면서 열처리하게 되므로, 전체적인 로의 길이가 길어지게 된다. 따라서, 수평 연속로는 로의 길이를 줄이는 것이 어려우며, 열처리 공정시간이 수 시간 내지 수십 시간으로 길어지게 된다. 또한, 수평 연속로는 열처리시간이 길게 되므로 유리기판의 변형을 막기 위해서는 열처리 온도를 높이는데 한계가 있다. 한편, 수직 관상로는 수직으로 형성되는 로 내부에 석영(quartz) 또는 실리콘 카바이드(SiC) 틀에 유리기판을 수직방향으로 여러 장 장착하여 한번에 열처리하는 장치이다. 이러한 수직 관상로는 유리기판의 외 측에서 열을 가하여 열처리를 하게 되므로 유리기판의 내측과 외측 사이에 온도차가 발생하게 된다. 특히, 수직 관상로는 유리기판이 큰 경우에 유리기판의 내측과 외측의 가열 및 냉각 속도 차이가 크게 되어 유리기판의 변형이 심하게 발생된다. 따라서, 수직 관상로는 유리기판의 내측과 외측의 가열 및 냉각 속도 차이를 줄이기 위해서 서서히 가열 및 냉각시키게 되므로 공정시간이 길어지는 문제점이 있다. 또한, 유리기판은 수직관상로의 내부에 설치된 틀에 일부가 지지되어 장착되므로 500 ℃ 이상의 온도에서 장시간 열처리하게 되면 자체 하중에 의하여 처짐 현상이 발생되는 문제점이 있다.Conventionally, the apparatus for heat-treating a glass substrate by using a solid phase crystallization or metal induced crystallization method includes a horizontal continuous furnace and a vertical tubular furnace. The horizontal continuous furnace is a device that transfers and heat-treats a glass substrate using a conveyor or a roller into a long furnace of several tens of meters. The horizontal continuous furnace is heat treated while gently raising and lowering the temperature of the glass substrate in order to prevent damage and deformation of the glass substrate, so that the length of the overall furnace becomes long. Therefore, it is difficult to reduce the length of the furnace in a horizontal continuous furnace, and the heat treatment process time is lengthened to several hours to several tens of hours. In addition, the horizontal continuous furnace has a long heat treatment time, there is a limit to increase the heat treatment temperature in order to prevent the deformation of the glass substrate. On the other hand, the vertical tubular furnace is a device for heat-treating at once by mounting a plurality of glass substrates in a vertical direction in a quartz or silicon carbide (SiC) frame in a vertically formed furnace. Since the vertical tubular furnace is subjected to heat treatment by applying heat from the outside of the glass substrate, a temperature difference occurs between the inside and the outside of the glass substrate. In particular, when the glass substrate is large, the difference in heating and cooling rates between the inside and the outside of the glass substrate becomes large, and the glass substrate is severely deformed. Therefore, the vertical tubular furnace is gradually heated and cooled in order to reduce the difference in the heating and cooling rates between the inside and the outside of the glass substrate, so that the process time is long. In addition, since the glass substrate is partially supported by the frame installed inside the vertical tubular furnace, the glass substrate has a problem of sagging due to its own load when heat treated at a temperature of 500 ° C. or more for a long time.

상기와 같은 문제점을 해결하기 위한 본 발명은 LCD 또는 OLED와 같은 평판디스플레이 패널에 사용되는 유리기판의 표면에 형성된 비정질실리콘 박막의 결정화 또는 다결정실리콘 박막의 도펀트 활성화를 위한 열처리시 열처리 후 이송되는 유리기판을 변형되지 않는 소정온도까지 균일하게 냉각시켜 배출하는 배출부를 구비하며, 유리기판의 변형을 방지하면서 열처리 온도까지 단계적으로 가열 온도를 승온 및 냉각시켜 열처리가 신속하게 수행될 수 있는 것을 특징으로 하는 반도체 소자의 열처리 장치를 제공하는 것을 그 목적으로 한다.The present invention for solving the above problems is a glass substrate that is transferred after heat treatment during the heat treatment for crystallization of the amorphous silicon thin film formed on the surface of the glass substrate used in a flat panel display panel such as LCD or OLED or dopant activation of the polysilicon thin film A semiconductor having a discharge portion for uniformly cooling to a predetermined temperature not to be deformed and discharged, and the heat treatment can be quickly performed by raising and cooling the heating temperature step by step to the heat treatment temperature while preventing the deformation of the glass substrate It is an object to provide a heat treatment apparatus for an element.

상기와 같은 과제를 해결하기 위해서 안출된 본 발명의 반도체 소자의 열처리 장치는 상기 반도체 소자와 상기 반도체 소자가 안착되는 지지판이 안착되어 이송되는 장입부와, 열처리 온도까지 단계적으로 유지 온도가 각각 설정되어 독립적으로 제어되는 적어도 두 개의 가열로를 포함하며 상기 장입부에서 이송되는 상기 반도체 소자 및 지지판을 소정의 열처리 온도로 가열하는 가열부와, 열처리 온도부터 소정의 냉각온도까지 단계적으로 유지 온도가 각각 설정되어 독립적으로 제어되는 적어도 두 개의 가열로를 포함하며 열처리 공정이 수행되어 상기 가열부로부터 이송되는 반도체 소자와 지지판을 소정의 냉각 온도까지 냉각하는 냉각부 및 소정의 냉각온도까지 냉각된 상기 반도체 소자와 지지판을 변형되지 않는 소정온도까지 균일하게 냉각시켜 배출하는 배출부를 구비하고, 상기 가열부와 냉각부는 내부의 열처리 공간에 외부의 공기가 유입되는 것이 방지되도록 설치되며, 상기 배출부는 상기 냉각부에서 이송되는 상기 지지판과 반도체 소자에 소정 각도로 가스를 분사하는 가스분사노즐과, 상기 지지판이 안착되며 상기 지지판이 안착되는 소정 영역에 상하로 관통되어 가스가 분사되는 분사홀이 형성되는 냉각서스셉터와, 상기 냉각서스셉터를 상하로 이송하는 냉각상하이송수단과, 상기 지지판을 수평으로 이송하는 냉각수평이송수단을 포함하는 것을 특징으로 한다. 또한, 반도체 소자의 열처리 장치는 상기 가열부와 냉각부 사이에 설치되며, 상기 반도체 소자를 유도 가열수단에 의하여 소정 온도로 급속 가열하여 열처리하는 공정부를 더 포함하여 형성될 수 있다. 또한, 상기 배출부는 상기 냉각서스셉터의 상부에 설치되어 상기 반도체 소자 및 지지판의 상부를 가열하는 상부가열수단을 더 포함하여 형성될 수 있다. 이때, 상기 냉각서스셉터는 알루미늄 금속 또는 합금, 알루미늄 산화물, 알루미늄 나이트라이드, 보론 나이트라이드, 흑연을 포함하는 재질 중 어느 하나로 형성될 수 있으며, 상기 지지판의 면적보다 큰 면적으로 형성될 수 있다. 또한, 상기 분사홀은 상기 지지판이 상기 냉각서스셉터의 상면에 안착될 때, 상기 지지판 폭의 적어도 50%의 폭에 상응하는 영역에, 단면 형상이 원형 또는 다각형 형상인 다수개의 홀이 배열되며, 그 직경 또는 폭이 0.5 mm 내지 3mm를 갖도록 형성될 수 있다. 또한, 상기 분사홀은 그 직경 또는 폭보다 큰 간격으로 배열되어 형성될 수 있다. 또한, 상기 가스분사노즐은 상부노즐과 하부노즐을 포함하며, 상기 반도체 소자와 지지판의 높이보다 큰 높이로 서로 이격되어 설치되며, 이송되는 상기 반도체 소자와 지지판상부와 하부에 각각 가스를 분사하도록 형성될 수 있으며, 상기 가스분사노즐은 가스 분사 각도가 상기 지지판의 이송방향과 둔각을 이루도록 형성될 수 있다. 이때, 상기 가스분사노즐은 그 폭이 적어도 상기 지지판의 폭으로 형성될 수 있다. 또한, 상기 냉각상하이송수단은 상기 냉각서스셉터의 하부에 설치되는 공압실린더 또는 볼스크류 이송기구 또는 타이밍벨트로 형성될 수 있다. 또한, 상기 냉각수평이송수단은 롤러와 이를 회전시키는 모터를 포함하며, 상기 롤러는 상기 냉각서스셉터의 상면에 소정 길이로 형성되는 냉각롤러홈에 삽입되어 상부에 소정 폭으로 접촉되며 안착되는 상기 지지판을 이송하도록 형성될 수 있다. 또한, 상기 상부가열수단은 상기 냉각서스셉터의 상부에 적어도 상기 지지판의 면적보다 큰 영역에 설치되어 상기 반도체 소자 및 지지판의 상부를 가열하도록 형성되며, 다수의 램프히터로 형성될 수 있다.In order to solve the above problems, the heat treatment apparatus of the semiconductor device of the present invention has a holding temperature is set step by step until the semiconductor device and the support plate on which the semiconductor device is seated and transported, and the heat treatment temperature, respectively A heating part including at least two heating furnaces independently controlled and heating the semiconductor element and the support plate transferred from the charging part to a predetermined heat treatment temperature, and a holding temperature is set in stages from the heat treatment temperature to a predetermined cooling temperature, respectively. And at least two heating furnaces which are independently controlled, a heat treatment process is performed to cool the semiconductor element and the support plate transferred from the heating unit to a predetermined cooling temperature, and the semiconductor element cooled to a predetermined cooling temperature; Cool the support plate evenly to a certain temperature without deformation It is provided with a discharge portion for discharging, the heating portion and the cooling portion is installed to prevent the outside air flow into the heat treatment space therein, the discharge portion is a gas at a predetermined angle to the support plate and the semiconductor element conveyed from the cooling portion A gas injection nozzle for spraying the gas, a cooling susceptor having a support hole on which the support plate is seated, and an injection hole through which the gas is injected through a predetermined area on which the support plate is seated, and a cooling upper and lower conveying the cooling susceptor up and down; It characterized in that it comprises a conveying means and a cooling horizontal conveying means for horizontally conveying the support plate. In addition, the heat treatment apparatus of the semiconductor element may be formed between the heating unit and the cooling unit, and may further include a process unit for rapidly heat-treating the semiconductor element to a predetermined temperature by an induction heating means. The discharge unit may further include an upper heating unit installed on the cooling susceptor to heat the upper portion of the semiconductor device and the support plate. In this case, the cooling susceptor may be formed of any one of a material including aluminum metal or alloy, aluminum oxide, aluminum nitride, boron nitride, graphite, it may be formed with an area larger than the area of the support plate. Further, when the support plate is seated on the upper surface of the cooling susceptor, a plurality of holes having a circular or polygonal cross-sectional shape is arranged in a region corresponding to at least 50% of the width of the support plate. Its diameter or width can be formed to have 0.5 mm to 3 mm. In addition, the injection holes may be formed are arranged at intervals greater than the diameter or width thereof. In addition, the gas injection nozzle includes an upper nozzle and a lower nozzle and is spaced apart from each other at a height greater than the height of the semiconductor element and the support plate, and is formed so as to inject gas onto the transported upper and lower portions of the semiconductor element and the support plate. The gas injection nozzle may be formed such that a gas injection angle forms an obtuse angle with a transfer direction of the support plate. In this case, the gas spray nozzle may have a width that is at least the width of the support plate. In addition, the cooling up and down conveying means may be formed by a pneumatic cylinder or a ball screw feed mechanism or a timing belt installed below the cooling susceptor. In addition, the cooling horizontal conveying means includes a roller and a motor for rotating the roller, the roller is inserted into a cooling roller groove formed in a predetermined length on the upper surface of the cooling susceptor, the support plate is contacted with a predetermined width on the upper seat It can be formed to convey. In addition, the upper heating means is formed in an area larger than the area of the support plate at least on the cooling susceptor is formed to heat the upper portion of the semiconductor element and the support plate, it may be formed of a plurality of lamp heaters.

또한, 상기 반도체 소자는 유기기판에 형성되는 비정질실리콘 박막, 유리기판에 형성된 다결정실리콘 박막, 반도체 소자가 형성되는 유리기판을 포함하는 반도체 소자 중 어느 하나이며, 액정디스플레이 또는 유기발광 디스플레이 장치에 사용되는 박막트랜지스터를 포함한다. The semiconductor device may be any one of semiconductor devices including an amorphous silicon thin film formed on an organic substrate, a polysilicon thin film formed on a glass substrate, and a glass substrate on which a semiconductor element is formed. The semiconductor device may be used in a liquid crystal display or an organic light emitting display device. And a thin film transistor.

또한, 상기 열처리는 비정질실리콘 박막의 고상결정화, 금속유도결정화, 금속유도측면결정화, 이온 주입된 다결정실리콘 박막의 활성화, 유리기판의 프리컴팩션 처리 중의 어느 하나이며, 400 ℃ 내지 1000 ℃ 사이의 온도에서 수행될 수 있다. The heat treatment may be any one of solid phase crystallization, metal induction crystallization, metal induced side crystallization, activation of ion implanted polysilicon thin film, and precompaction treatment of a glass substrate, and the temperature between 400 ° C and 1000 ° C. It can be performed in.

또한, 상기 지지판은 3mm 내지 10mm 두께의 석영으로 형성되며, 그 폭과 길이가 상기 반도체 소자보다 적어도 10mm 크게 형성될 수 있다.In addition, the support plate is formed of quartz having a thickness of 3mm to 10mm, the width and length may be formed at least 10mm larger than the semiconductor device.

또한, 본 발명에 따른 반도체 소자의 열처리 장치는 지지판에 안착되어 이송되는 반도체 소자를 열처리하는 반도체 소자의 열처리 장치에 있어서,In addition, the heat treatment apparatus of the semiconductor element according to the present invention in the heat treatment apparatus of the semiconductor element for heat-treating the semiconductor element seated on the support plate,

상기 열처리되어 이송되는 상기 지지판과 반도체 소자를 소정 온도로 냉각시키는 배출부는 상기 지지판이 안착되며 상기 지지판이 안착되는 소정 영역에 상하로 관통되어 가스가 분사되는 분사홀이 형성되는 냉각서스셉터와, 상기 냉각서스셉터의 일측에 형성되어 이송되는 상기 지지판과 반도체 소자에 소정 각도로 가스를 분사하는 가스분사노즐과, 상기 냉각서스셉터를 상하로 이송하는 냉각상하이송수단과, 상기 지지판을 수평으로 이송하는 냉각수평이송수단을 포함하는 것을 특징으로 한다. 이때, 상기 배출부는 상기 냉각서스셉터의 상부에 설치되어 상기 반도체 소자 및 지지판의 상부를 가열하는 상부가열수단을 더 포함하여 형성될 수 있다.A cooling susceptor for cooling the support plate and the semiconductor element to be transported by heat treatment to a predetermined temperature, and having a support hole on which the support plate is seated and a spray hole through which gas is injected through a predetermined area where the support plate is seated; Gas injection nozzles for injecting gas to the support plate and the semiconductor element formed on one side of the cooling susceptor at a predetermined angle, the cooling up and down conveying means for transporting the cooling susceptor up and down, and for transporting the support plate horizontally It characterized in that it comprises a cooling horizontal transfer means. In this case, the discharge unit may be formed on the cooling susceptor further comprises an upper heating means for heating the upper portion of the semiconductor element and the support plate.

이하에서, 첨부된 도면을 참조하여 본 발명의 실시예를 통하여 본 발명을 보다 상세히 설명한다.Hereinafter, the present invention will be described in more detail with reference to the accompanying drawings.

도 1은 본 발명의 실시예에 따른 반도체 소자의 열처리 장치의 구성도를 나타낸다. 도 2는 반도체 소자의 열처리 장치를 구성하는 장입부의 정면도를 나타낸다. 도 3은 장입부를 구성하는 서스셉터의 평면도를 나타낸다. 도 4a는 가열부를 구성하는 가열로의 단면 사시도를 나타낸다. 도 4b는 도 4a의 가열로가 서로 연결되는 부위에 대한 단면 사시도를 나타낸다. 도 5a는 반도체 소자의 열처리 장치를 구성하는 배출부의 정면도를 나타낸다. 도 5b는 도 5a의 측면도를 나타낸다. 도 6a는 배출부를 구성하는 냉각서스셉터의 평면도를 나타낸다. 도 6b는 도 6a의 A-A 단면도를 나타낸다. 도 7은 본 발명의 실시예에 따른 반도체 소자의 열처리 장치에서 실시되는 열처리의 공정 조건을 나타내는 그래프이다.1 shows a configuration of a heat treatment apparatus of a semiconductor device according to an embodiment of the present invention. 2 shows a front view of a charging unit constituting the heat treatment apparatus of the semiconductor element. 3 shows a plan view of the susceptor constituting the charging unit. 4A is a sectional perspective view of a heating furnace constituting a heating unit. FIG. 4B is a sectional perspective view of a portion where the heating furnaces of FIG. 4A are connected to each other. FIG. 5A shows a front view of a discharge part constituting the heat treatment apparatus of the semiconductor element. FIG. 5B shows a side view of FIG. 5A. 6A is a plan view of the cooling susceptor constituting the discharge portion. 6B is a cross-sectional view taken along the line A-A of FIG. 6A. 7 is a graph showing process conditions of heat treatment performed in the heat treatment apparatus of the semiconductor device according to the embodiment of the present invention.

본 발명의 실시예에 따른 반도체 소자의 열처리 장치는, 도 1을 참조하면, 장입부(100)와 가열부(200)와 공정부(300)와 냉각부(400) 및 배출부(500)를 포함하여 형성된다. 상기 반도체 소자의 열처리 장치는 장입부(100)부터 배출부(500)가 서로 접하여 연속적으로 설치되어 가열부(200)와 공정부(300)와 냉각부(400)내의 열처리 공간에 외부의 공기가 유입되는 것을 방지하게 된다. 또한, 상기 반도체 소자의 열처리 장치는 각 구성부가 독립적으로 제어되는 온도 제어 모듈과 독립적으로 구동되는 수평이송수단을 구비하여 형성되므로 각 구성부 별로 단계적으로 온도를 올리거나 내리면서 열처리를 수행할 수 있다. 또한, 상기 반도체 소자의 열처리 장치는 열처리되는 반도체 소자의 변형이 발생되지 않도록 반도체 소자를 별도의 지지판(setter)에 안착시켜 이송하면서 열처리를 수행하게 된다. 따라서, 상기 반도체 소자의 열처리 장치는 단계적으로 반도체 소자의 온도를 상승시키면서 반도체 소자의 변형 또는 손상을 방지할 수 있게 되므로 보다 빠른 시간 내에 반도체 소자의 열처리를 수행할 수 있게 된다. 또한, 상기 반도체 소자의 열처리 장치는 반도체 소자의 변형을 방지하면서 빠른 시간 내에 열처리를 수행하게 되므로 보다 높은 온도, 즉 600 ℃이상의 온도에서도 유리기판을 포함하는 반도체 소자의 열처리가 가능하게 된다. 상기 반도체 소자의 열처리 장치에 의하여 열처리되는 반도체 소자(10)는 열처리가 필요한 다양한 반도체 소자를 의미하며, 상부에 비정질실리콘 박막이 형성된 유리기판, 다결정실리콘 TFT가 형성된 유리기판을 포함한다. 또한, 반도체 소자는 상면에 반도체 박막을 형성하기 위하여 예비수축(pre-compaction)이 필요한 유리기판을 포함한다. 이하에서는 반도체 소자가 비정질실리콘 박막이 형성된 유리기판인 경우에 대하여 설명한다.In the heat treatment apparatus of a semiconductor device according to an embodiment of the present invention, referring to FIG. 1, the charging unit 100, the heating unit 200, the process unit 300, the cooling unit 400, and the discharge unit 500 are provided. It is formed to include. In the heat treatment apparatus of the semiconductor device, the charging unit 100 to the discharge unit 500 are continuously installed in contact with each other so that external air is supplied to the heat treatment space in the heating unit 200, the processing unit 300, and the cooling unit 400. It will prevent the inflow. In addition, the heat treatment apparatus of the semiconductor device is formed with a horizontal transfer means that is driven independently of the temperature control module that each component is independently controlled, so that the heat treatment can be performed by increasing or decreasing the temperature step by step for each component. . In addition, the heat treatment apparatus of the semiconductor device performs heat treatment while the semiconductor device is seated and transferred to a separate setter so that deformation of the semiconductor device to be heat-treated does not occur. Accordingly, the heat treatment apparatus of the semiconductor device may prevent deformation or damage of the semiconductor device while gradually raising the temperature of the semiconductor device, thereby performing heat treatment of the semiconductor device within a shorter time. In addition, since the heat treatment apparatus of the semiconductor device performs heat treatment within a short time while preventing deformation of the semiconductor device, heat treatment of the semiconductor device including the glass substrate is possible even at a higher temperature, that is, a temperature of 600 ° C. or higher. The semiconductor device 10 which is heat treated by the heat treatment apparatus of the semiconductor device means various semiconductor devices that require heat treatment, and includes a glass substrate having an amorphous silicon thin film formed thereon and a glass substrate having a polysilicon TFT formed thereon. In addition, the semiconductor device includes a glass substrate that requires pre-compaction in order to form a semiconductor thin film on the upper surface. Hereinafter, a case in which the semiconductor device is a glass substrate on which an amorphous silicon thin film is formed will be described.

먼저, 반도체 소자의 열처리 장치의 전체적인 구성을 설명한다. First, the whole structure of the heat treatment apparatus of a semiconductor element is demonstrated.

상기 장입부(100)는 열처리되는 반도체 소자를 소정 온도로 예열 하여 상기 가열부(200)로 이송하게 된다. 상기 장입부(100)는 반도체 소자 즉, 비정질실리콘 박막이 형성된 유리기판이 변형되지 않도록 지지하면서 소정온도(예를 들면 200 ℃)까지 균일하게 예열 하게 된다. The charging unit 100 preheats the semiconductor element to be heated to a predetermined temperature and transfers it to the heating unit 200. The charging unit 100 is uniformly preheated to a predetermined temperature (eg, 200 ° C.) while supporting the semiconductor device, that is, the glass substrate on which the amorphous silicon thin film is formed so as not to be deformed.

상기 가열부(200)는 이송되는 반도체 소자를 소정의 온도로 가열하여 상기 공정부(300)로 이송하게 된다. 상기 가열부(200)는 독립적으로 온도가 제어되는 적어도 2개의 가열로(furnace)(210)를 포함하여 구성되며, 열처리 온도를 고려하여 적정한 수로 구성된다. 따라서, 상기 가열부(200)는 각 가열로(210)가 각각 단계별 로 적정한 온도로 설정되어 유지되며, 바람직하게는 마지막 가열로는 설정온도를 열처리 온도로 설정하여 가열부(200)에서 일부 열처리가 진행될 수 있도록 한다. 예를 들면, 반도체 소자의 열처리 온도가 600 ℃이면, 상기 가열부(200)는 바람직하게는 3개의 가열로(210)를 포함하여 구성되며, 상기 장입부(100)에 연결된 첫 번째 가열로는 장입부(100)의 예열온도를 고려하여 300 ℃이상으로 유지되며, 두 번째 로와 세 번째 가열로는 열처리 온도인 600 ℃이상으로 유지하게 된다. 즉, 반도체 소자는 저온에서는 빠르게 가열온도를 상승시켜도 변형이 방지될 수 있으나, 고온에서는 변형이 발생할 가능성이 있으므로 서서히 가열온도를 상승시키는 것이 바람직하게 된다. 따라서, 상기 가열부(200)는 가열로(210)의 유지 온도를 저온에서는 빠르게 가열되고, 고온에서는 서서히 가열되도록 설정하는 것이 바람직하게 된다.The heating unit 200 heats the transferred semiconductor element to a predetermined temperature and transfers the same to the process unit 300. The heating unit 200 is configured to include at least two furnaces (furnace) 210, the temperature is independently controlled, is composed of an appropriate number in consideration of the heat treatment temperature. Therefore, the heating unit 200 is maintained at each heating furnace 210 is set to the appropriate temperature step by step, preferably, the last heating furnace by setting the set temperature to the heat treatment temperature, the heat treatment part 200 in the heating unit 200 Allow to proceed. For example, when the heat treatment temperature of the semiconductor device is 600 ℃, the heating unit 200 preferably comprises three heating furnace 210, the first heating furnace connected to the charging unit 100 Considering the preheating temperature of the charging unit 100 is maintained at 300 ℃ or more, the second furnace and the third heating furnace is maintained at 600 ℃ or more heat treatment temperature. That is, the deformation of the semiconductor device can be prevented even if the heating temperature is rapidly increased at low temperatures. However, since the deformation may occur at high temperatures, it is preferable to gradually increase the heating temperature. Therefore, it is preferable that the heating unit 200 is set such that the holding temperature of the heating furnace 210 is rapidly heated at low temperature and gradually heated at high temperature.

상기 공정부(300)는 이송된 반도체 소자를 소정의 열처리 온도에서 열처리하게 되며, 열처리가 종료되면 소정 온도로 유지되는 상기 냉각부(400)로 이송하게 된다. 상기 공정부(300)는 상기 가열부(200)에 접하여 설치되는 가열로를 포함하며, 상기 가열부(200)에서 전송되는 반도체 소자를 순간적으로 높은 온도로 가열하게 된다. 따라서, 상기 공정부(300)는 반도체 소자를 순간적으로 높은 온도로 가열할 수 있도록 가열수단을 구비하며, 바람직하게는 유도가열(induction heating)방식 가열수단을 포함한다.The process unit 300 heat-processes the transferred semiconductor element at a predetermined heat treatment temperature, and transfers the transferred semiconductor element to the cooling unit 400 maintained at a predetermined temperature when the heat treatment is completed. The process unit 300 includes a heating furnace installed in contact with the heating unit 200 and heats the semiconductor element transmitted from the heating unit 200 to an instantaneously high temperature. Therefore, the process unit 300 includes heating means for heating the semiconductor device to a high temperature instantaneously, and preferably includes an induction heating type heating means.

상기 냉각부(400)는, 가열부(200)와 마찬가지로, 독립적으로 온도가 제어되는 적어도 2개의 가열로(furnace)(410)로 구성되며, 열처리 온도를 고려하여 적정 한 수로 구성된다. 예를 들면, 반도체 소자의 열처리 온도가 600 ℃이면, 상기 냉각부(400)는 바람직하게는 3개의 가열로(410)를 포함하여 구성되며, 상기 공정부(300)에 연결된 첫 번째 가열로는 공정부(300)의 열처리 온도로 유지되며, 두 번째 가열로는 500 ℃정도로 유지하며, 세 번째 가열로는 배출 온도를 고려하여 300 ℃이하로 유지하게 된다. 따라서, 상기 냉각부(400)는 보다 빠른 시간 내에 반도체 소자를 냉각시키는 것이 가능하게 된다. 상기 냉각부(400)는 이송된 반도체 소자를 단계별로 소정 온도로 냉각시킨 후 상기 배출부(500)로 이송하게 된다. The cooling unit 400, like the heating unit 200, is composed of at least two furnaces (410) in which the temperature is independently controlled, it is composed of an appropriate number in consideration of the heat treatment temperature. For example, when the heat treatment temperature of the semiconductor device is 600 ℃, the cooling unit 400 preferably comprises three heating furnaces 410, the first heating furnace connected to the process unit 300 It is maintained at the heat treatment temperature of the process unit 300, the second heating furnace is maintained at about 500 ℃, the third heating furnace is maintained below 300 ℃ in consideration of the discharge temperature. Therefore, the cooling unit 400 can cool the semiconductor device within a faster time. The cooling unit 400 cools the transferred semiconductor element to a predetermined temperature step by step and then transfers it to the discharge unit 500.

상기 배출부(500)는 이송된 반도체 소자의 변형이 발생되지 않는 소정 온도(일반적으로 100 ℃ 이하)까지 반도체 소자가 변형되지 않도록 균일하게 냉각시켜 다음 공정으로 이송하게 된다. 따라서, 상기 냉각부(400)는 이송되는 반도체 소자가 균일하게 냉각될 수 있도록 하는 다양한 냉각수단을 포함하여 형성될 수 있다. 또한, 상기 배출부(500)는 반도체 소자의 균일한 냉각을 위하여 반도체 소자의 상면을 가열할 수 있는 가열수단을 구비할 수 있다. The discharge part 500 is uniformly cooled so that the semiconductor element is not deformed to a predetermined temperature (generally 100 ° C. or less) at which deformation of the transferred semiconductor element does not occur, and then transferred to the next process. Therefore, the cooling unit 400 may be formed to include various cooling means for uniformly cooling the semiconductor device to be transferred. In addition, the discharge part 500 may be provided with a heating means for heating the upper surface of the semiconductor device for uniform cooling of the semiconductor device.

다음은 반도체 소자의 열처리 장치의 각 구성부에 대하여 설명한다.Next, each component part of the heat processing apparatus of a semiconductor element is demonstrated.

상기 장입부(100)는, 도 2를 참조하면, 반도체 소자(10) 및 지지판(20)이 안착되어 예열 되는 서스셉터(susceptor)(110)와 상기 서스셉터(110)를 상하로 이송하는 상하이송수단(130) 및 상기 지지판(20)을 좌우로 이송하는 수평이송수단(140)을 포함하여 형성된다. 또한, 상기 장입부(100)는 상기 서스셉터(110)의 상부에 설치되어 상기 반도체 소자(10)를 추가적으로 예열 하는 보조예열수단(150)이 포함되 어 형성될 수 있다. 상기 장입부(100)는 반도체 소자(10)가 상온 보다 높은 소정 온도로 유지되는 가열부(200) 내부로 이송되면서 급격한 온도변화와 국부적 온도차에 의하여 변형 또는 손상되는 것을 방지하기 위해서, 반도체 소자를 소정 온도로 예열하여 이송하게 된다. 한편, 상기 장입부(100)는, 도 2에서 보는 바와 같이, 대기 상태에서 반도체 소자를 예열하도록 형성되었으나, 필요한 경우에는 서스셉터(110)를 외부와 차단하는 별도의 케이스(도면에 표시하지 않음)가 장착될 수 있으며, 케이스 내부에 특정한 가스를 공급하여 분위기를 형성할 수 있도록 할 수 있음은 물론이다.Referring to FIG. 2, the charging unit 100 may move a susceptor 110 and the susceptor 110 up and down where the semiconductor device 10 and the support plate 20 are seated and preheated. It is formed to include the transport means 130 and the horizontal transport means 140 for transporting the support plate 20 to the left and right. In addition, the charging unit 100 may be formed to include an auxiliary preheating means 150 installed on the susceptor 110 to further preheat the semiconductor device 10. The charging unit 100 is used to prevent the semiconductor device 10 from being deformed or damaged by a sudden temperature change and a local temperature difference while being transferred into the heating unit 200 maintained at a predetermined temperature higher than room temperature. It is preheated to a predetermined temperature and transferred. Meanwhile, as shown in FIG. 2, the charging unit 100 is formed to preheat the semiconductor device in the standby state, but if necessary, a separate case for blocking the susceptor 110 from the outside (not shown in the drawing). ) Can be mounted, it can be possible to supply a specific gas to the inside of the case to form the atmosphere.

상기 지지판(20)은 바람직하게는 3mm ∼ 10mm 두께의 석영(quartz) 재질로 형성되며, 열처리 과정에서 상면에 반도체 소자(10)를 안착시켜 이송하게 된다. 상기 지지판(20)은 두께가 3mm보다 얇게 되면 열처리 과정 중에 변형될 우려가 있으며, 10mm보다 두껍게 되면 가열되는데 시간이 많이 소요되어 반도체 소자의 열처리 속도가 저하되는 문제가 있다. 또한, 상기 지지판(20)은 반도체 소자를 지지하는 동시에 전도된 열로 반도체 소자(10)를 가열하게 되므로 반도체 소자(10)의 균일한 예열을 위해서는 그 폭과 길이가 상부에 안착되는 반도체 소자(10)의 폭과 길이보다 크게 형성되며, 바람직하게는 적어도 10mm이상 크게 형성된다. 상기 지지판(20)은 바람직하게는 석영 재질로 형성되나 여기서 그 재질을 한정하는 것은 아니며, 알루미나 나이트라이드, 보론 나이트라이드와 같은 세라믹 재질을 포함하는 다양한 재질로 형성될 수 있으며, 여기서 그 재질을 한정하는 것은 아니다.The support plate 20 is preferably formed of a quartz material having a thickness of 3 mm to 10 mm, and is transported by mounting the semiconductor device 10 on the upper surface during the heat treatment process. If the thickness of the support plate 20 is thinner than 3mm, there is a fear that the support plate 20 is deformed during the heat treatment process. If the thickness of the support plate 20 is larger than 10mm, it takes a long time to heat, and thus the heat treatment rate of the semiconductor device is lowered. In addition, the support plate 20 supports the semiconductor element and simultaneously heats the semiconductor element 10 with conducted heat, so that the width and the length of the semiconductor element 10 are mounted on the upper portion for uniform preheating of the semiconductor element 10. It is formed larger than the width and length of), preferably is formed at least 10mm or more. The support plate 20 is preferably formed of a quartz material, but is not limited thereto, but may be formed of various materials including ceramic materials such as alumina nitride and boron nitride, and the material is limited thereto. It is not.

상기 서스셉터(110)는, 도 3을 참조하면, 상면(111)에 안착되는 지지판(20) 보다 큰 면적을 갖는 대략 수평인 판상으로, 서스셉터(110)를 가열하는 가열수단(114)과 지지판(20)이 균일하게 가열되도록 하는 단열홈(116)을 포함하여 형성된다. 상기 서스셉터(110)는 상기 가열부(200)의 입구에 상응하는 높이로 형성된다. 또한, 상기 서스셉터(110)는 상기 지지판(20)의 수평이송수단의 하나인 롤러가 수용되는 롤러홈(118)을 포함하여 형성될 수 있다. 상기 서스셉터(110)는 열전도성이 큰 재질로 이루어지며, 가열수단으로부터 전도되는 열을 지지판(20)에 효율적으로 전달하게 된다. 상기 서스셉터(110)는 알루미늄 금속 또는 합금, 흑연(graphite), 알루미늄 산화물(Aluminium Oxide), 알루미늄 나이트라이드(Aluminium Nitride), 보론 나이트라이드(Boron Nitride) 중 어느 하나로 형성될 수 있으며, 다만 여기서 서스셉터(110)의 재질을 한정하는 것은 아니다.Referring to FIG. 3, the susceptor 110 is a substantially horizontal plate having a larger area than the support plate 20 seated on the upper surface 111, and heating means 114 for heating the susceptor 110. The support plate 20 is formed to include a heat insulating groove 116 to uniformly heat. The susceptor 110 is formed at a height corresponding to the inlet of the heating unit 200. In addition, the susceptor 110 may be formed to include a roller groove 118 to accommodate the roller which is one of the horizontal transfer means of the support plate 20. The susceptor 110 is made of a material having high thermal conductivity, and efficiently transmits heat transferred from the heating means to the support plate 20. The susceptor 110 may be formed of any one of an aluminum metal or an alloy, graphite, aluminum oxide, aluminum nitride, and boron nitride. The material of the acceptor 110 is not limited.

상기 가열수단(114)은 열선 또는 램프와 같은 발열체를 구비하여 형성되며, 바람직하게는 서스셉터(110) 상면(111)의 온도를 전체적으로 균일하게 상승시킬 수 있도록 서스셉터(110)의 내부에 설치된다. 즉, 상기 가열수단(114)은 발열체가 상기 서스셉터(110)의 내부에 일정간격으로 설치되어 형성되며, 서스셉터(110)와 일체로 형성되거나 서스셉터(110)의 내부에 형성되는 홀에 삽입되어 형성될 수 있다. 한편, 상기 내부가열수단(114)은 상기 서스셉터(110)의 하면(112)에 설치되어 형성될 수 있음은 물론이다. 상기 내부가열수단(114)은 바람직하게는 상기 서스셉터(110)를 200 ℃이상으로 가열할 수 있는 용량을 갖도록 형성된다. The heating means 114 is formed with a heating element such as a heating wire or a lamp, and is preferably installed inside the susceptor 110 so as to raise the temperature of the upper surface 111 of the susceptor 110 uniformly as a whole. do. That is, the heating means 114 is a heating element is formed by being installed at a predetermined interval inside the susceptor 110, is formed in the hole formed integrally with the susceptor 110 or inside the susceptor 110. It can be inserted and formed. On the other hand, the internal heating means 114 may be formed on the lower surface 112 of the susceptor 110 may be formed. The internal heating means 114 is preferably formed to have a capacity capable of heating the susceptor 110 to 200 ° C or more.

상기 단열홈(116)은 서스셉터(110)의 상면(111)의 중앙 영역에 소정 형상으로 형성된다. 상기 단열홈(116)은 상기 서스셉터(110)와 지지판(116)의 접촉면적을 감소시켜 서스셉터(110)로부터 지지판(116)의 중앙 영역으로 전도되는 열의 양을 조절하게 된다. 따라서, 상기 서스셉터(110)의 상면(111)에 안착되는 지지판(116)은 단열홈(116)이 형성된 영역에 접촉되는 중앙 부분과 단열홈(116)이 형성되지 않은 영역에 접촉되는 외측부분은 전도되는 열의 차이가 발생하게 되어 지지판(116)은 전체적으로 균일하게 온도가 균일하게 상승된다. 이를 보다 상세히 설명하면, 상기 서스셉터(110)는 상기 내부가열수단(114)에 의하여 상면(111)이 전체적으로 대략 균일한 온도로 가열되며, 상기 지지판(20)은 상기 서스셉터(110)의 상면에 물리적으로 접촉되어 서스셉터(110)로부터 열이 전도되어 예열 된다. 그러나, 상기 지지판(20)은 전체적으로 대기 중에 노출되는 상태로 가열되므로, 지지판(20)으로 전달되는 열은 그 일부가 지지판(20)의 외측으로부터 대기 중으로 방열 된다. 따라서, 지지판(20)은 중앙과 외측 사이에 전도되는 열은 동일한 반면 방열 되는 열의 차이가 있어 중앙과 외측 사이에 온도 편차가 발생되며, 중앙의 온도가 외측의 온도보다 높게 된다. 이러한 경우에 상기 지지판(20)의 상면에 안착된 반도체 소자(10)도 지지판(20)의 온도 편차에 따라 중앙과 외측 사이에 온도편차가 발생되어 반도체 소자의 변형을 초래하게 된다. 그러나, 상기 서스셉터 상면(111)의 중앙 영역에 단열홈(116)이 형성되면, 지지판(116)은 단열홈(116)이 형성된 영역과 접촉되는 중앙 부분에 전도되는 열이 단열홈(116)이 형성되지 않은 영역과 접촉되는 외측부분에 전도되는 열보다 작게 된다. 따라서, 상기 지지판(20)은 외측부분에 전도되는 열의 일부가 방열 되어도 중앙 부분에 전도되는 열과 대략 동일하게 되어 전체적으로 균일하게 가열된다. 또한, 상기 지지판(20)의 상면에 안착되는 반도체 소자 (10)도 전체적으로 균일하게 가열된다.The insulating groove 116 is formed in a predetermined shape in the central region of the upper surface 111 of the susceptor 110. The insulation groove 116 reduces the contact area between the susceptor 110 and the support plate 116 to adjust the amount of heat conducted from the susceptor 110 to the central region of the support plate 116. Therefore, the support plate 116 seated on the upper surface 111 of the susceptor 110 has a central portion in contact with the region where the heat insulation grooves 116 are formed and an outer portion in contact with the region where the heat insulation grooves 116 are not formed. The difference in heat that is conducted is generated so that the support plate 116 is uniformly raised in temperature uniformly as a whole. In more detail, the susceptor 110 is heated by the internal heating means 114 to the entire surface 111 at a substantially uniform temperature, the support plate 20 is the top surface of the susceptor 110 In physical contact with the heat is transferred from the susceptor 110 is preheated. However, since the support plate 20 is heated to be exposed to the atmosphere as a whole, part of the heat transferred to the support plate 20 is radiated from the outside of the support plate 20 to the atmosphere. Therefore, the support plate 20 has the same heat conducted between the center and the outside, while the heat dissipation is different, so that a temperature deviation occurs between the center and the outside, and the temperature of the center becomes higher than the outside temperature. In this case, the temperature difference between the center and the outside of the semiconductor device 10 seated on the upper surface of the support plate 20 also occurs due to the temperature deviation of the support plate 20, resulting in deformation of the semiconductor device. However, when the insulating groove 116 is formed in the central region of the upper surface of the susceptor 111, the support plate 116 is a heat insulating groove 116 is conducted to the central portion in contact with the region where the insulating groove 116 is formed. This becomes smaller than the heat conducted to the outer portion in contact with the unformed area. Therefore, the support plate 20 is substantially the same as the heat conducted to the center portion even if a part of heat conducted to the outer portion is radiated heat is uniformly heated as a whole. In addition, the semiconductor element 10 mounted on the upper surface of the support plate 20 is also heated uniformly as a whole.

상기 단열홈(116)은 지지판(20) 및 반도체 소자(10)의 크기와 예열온도에 따라 서스셉터(110)의 중앙 영역에 소정의 면적과 형상으로 형성된다. 상기 단열홈(116)은, 도 3a에서 보는 바와 같이 서스셉터 상면(111)의 중앙영역에서 소정 깊이와 좌우 방향으로 연장되는 소정 길이의 트렌치(trench) 형상으로 형성될 수 있으며, 전후 방향으로 형성될 수 있음은 물론이다. 또한, 상기 단열홈(116)은 트렌치 형상이 소정 간격으로 형성될 있다. 상기 서스셉터(110)는 바람직하게는 중앙 부분에서 서스셉터(110)의 상면에 안착되는 지지판(20) 면적의 20% 내지 70% 영역, 바람직하게는 20% 내지 50%의 영역에 단열홈(116)이 형성된다. 상기 단열홈(116)이 형성되는 영역이 지지판(20) 면적의 20%보다 작게 되면 지지판(20)의 중앙 영역에 전도되는 열을 차단하는 정도가 작게 되어 지지판(20)의 중앙 영역의 온도 상승이 크게되어 지지판(20)을 전체적으로 균일하게 예열 하는 것이 어렵게 된다. 또한, 상기 단열홈(116)이 형성되는 영역이 지지판(20) 면적의 70%보다 크게 되면 지지판(20)의 외측부분에 전도되는 열을 차단하는 정도가 크게되어 외측부분의 온도 상승이 상대적으로 작게되며 지지판(20)을 전체적으로 균일하게 예열 하는 것이 어렵게 된다.The insulating groove 116 is formed in a predetermined area and shape in the central region of the susceptor 110 according to the size and preheating temperature of the support plate 20 and the semiconductor element 10. As shown in FIG. 3A, the insulating groove 116 may be formed in a trench shape having a predetermined length extending in a predetermined depth and left and right directions in a central region of the upper surface of the susceptor 111, and formed in a front-rear direction. Of course it can be. In addition, the insulating groove 116 may be formed in a trench shape at a predetermined interval. The susceptor 110 is preferably insulated in the area of 20% to 70%, preferably 20% to 50% of the area of the support plate 20 seated on the upper surface of the susceptor 110 in the central portion ( 116 is formed. When the area in which the heat insulation grooves 116 are formed is smaller than 20% of the area of the support plate 20, the degree of blocking heat conducted to the center area of the support plate 20 becomes small, thereby increasing the temperature of the center area of the support plate 20. This becomes large and it becomes difficult to preheat the support plate 20 uniformly as a whole. In addition, when the area in which the heat insulation grooves 116 are formed is larger than 70% of the area of the support plate 20, the degree of blocking heat conducted to the outer portion of the support plate 20 is increased, thereby increasing the temperature of the outer portion relatively. It becomes small and it becomes difficult to preheat the support plate 20 uniformly as a whole.

또한, 상기 지지판(20) 및 반도체 소자(10)의 예열온도가 상대적으로 작게 되면 즉, 상온과의 차이가 작게되면, 상기 지지판(20)의 측부로부터 방열 되는 열의 양이 상대적으로 작게 된다. 따라서, 상기 서스셉터(110)는 단열홈(116)이 상대적으로 작은 영역에 형성되어도, 상기 지지판(20)의 예열 온도를 전체적으로 균일 하게 할 수 있다.In addition, when the preheating temperatures of the support plate 20 and the semiconductor element 10 are relatively small, that is, when the difference from the normal temperature is small, the amount of heat radiated from the side of the support plate 20 is relatively small. Therefore, even if the susceptor 110 is formed in a relatively small region, the preheating temperature of the support plate 20 can be made uniform.

또한, 상기 단열홈(116)은 트렌치 폭과 형성 간격이 적정하게 조정되어 형성될 수 있다. 다만, 상기 단열홈(116)은 트렌치 폭을 너무 크게 하면 지지판(20)의 중앙부분에 열이 전도되지 않은 영역과 열이 전도되는 영역이 크게 구별되어 형성되면서 오히려 지지판(20)의 중앙영역에서 온도의 불균일이 초래될 수 있다. 이러한 경우에는 지지판(20)의 상부에 안착되어 있는 반도체 소자도 중앙부분에서 예열 온도의 불균일이 초래되어 변형 또는 손상이 발생될 수 있다. 따라서, 상기 단열홈(116)은 트렌치 폭을 작게 하면서 트렌치의 수를 증가시켜 형성하는 것이 바람직하다. 또한, 상기 단열홈(116)은 트렌치 폭이 트렌치 형성 간격과 같거나 작게 되도록 형성되며 바람직하게는 0.5배보다 작게 되도록 형성된다. 상기 단열홈(116)은 트렌치 폭이 트렌치의 형성간격보다 크게 되면 지지판(20)에 전도되는 열이 차단되는 정도가 크게 되어 오히려 단열홈(116)이 형성된 내측 부분의 온도가 낮게 될 수 있다. 예를 들면, 상기 단열홈(116)은 트렌치 폭은 1mm 내지 3mm, 트렌치의 형성간격은 3 mm 내지 6 mm가 되도록 형성될 수 있다.  In addition, the insulating groove 116 may be formed by adjusting the trench width and the forming interval appropriately. However, when the trench width 116 is too large, an area in which heat is not conducted and an area in which heat is conducted are formed in the central portion of the support plate 20. Unevenness of temperature can result. In this case, the semiconductor element mounted on the upper portion of the support plate 20 may also cause deformation or damage due to non-uniformity of preheating temperature in the center portion. Therefore, the insulating groove 116 is preferably formed by increasing the number of trenches while reducing the trench width. In addition, the insulation groove 116 is formed so that the trench width is equal to or smaller than the trench formation interval, and is preferably formed to be smaller than 0.5 times. When the trench width 116 is greater than the trench spacing, the heat conduction to the support plate 20 is blocked so that the temperature of the inner portion in which the heat insulation grooves 116 are formed may be lowered. For example, the insulating groove 116 may be formed so that the trench width is 1mm to 3mm, the forming interval of the trench is 3mm to 6mm.

또한, 상기 단열홈(116)은 지지판(20)과 서스셉터(110)가 직접 접촉되지 않도록 소정 깊이로 형성된다. 다만, 상기 단열홈(116)의 깊이가 너무 깊게 되면 내부에 설치되는 가열수단(114)의 설치 위치가 서스셉터(110)의 상면에서 멀어지게 되므로 적정한 깊이로 형성하는 것이 필요하다.In addition, the insulation groove 116 is formed to a predetermined depth so that the support plate 20 and the susceptor 110 do not directly contact. However, if the depth of the insulation groove 116 is too deep, the installation position of the heating means 114 installed therein is far from the upper surface of the susceptor 110, it is necessary to form to an appropriate depth.

상기 롤러홈(118)은 서스셉터(110)의 전 후측에 소정 간격으로 형성되며, 서스셉터(110)의 상면에 안착되는 지지판(20)의 전 후측 일부가 접촉될 수 있는 길이 로 형성된다. 또한, 상기 롤러홈(118)은 서스셉터(110)가 지지판(20)의 지지와 예열을 위하여 상승되었을 때, 롤러가 상면으로 돌출 되지 않도록 소정 깊이로 형성된다. 따라서, 상기 지지판(20)은 예열 과정에서는 서스셉터(110)의 상면(111)에 균일하게 접촉되며, 예열이 종료된 후에는 서스셉터(110)가 하강되면서 상기 롤러홈(118)에 삽입되어 있는 롤러(140)에 의하여 지지되어 좌우로 이송된다. 다만, 상기 롤러홈(118)은 지지판(20)을 좌우로 이송하는 수평이송수단(140)으로 롤러가 사용되는 경우에 형성된다.The roller groove 118 is formed at a front and rear sides of the susceptor 110 at predetermined intervals, and is formed to have a length such that a part of the front and rear sides of the support plate 20 seated on the upper surface of the susceptor 110 can be contacted. In addition, the roller groove 118 is formed to a predetermined depth so that the roller does not protrude to the upper surface when the susceptor 110 is raised to support and preheat the support plate 20. Therefore, the support plate 20 is uniformly in contact with the upper surface 111 of the susceptor 110 during the preheating process, and after the preheating is finished, the support plate 20 is inserted into the roller groove 118 while the susceptor 110 is lowered. It is supported by the roller 140, which is conveyed from side to side. However, the roller groove 118 is formed when the roller is used as a horizontal transfer means 140 for transporting the support plate 20 to the left and right.

상기 상하이송수단(130)은, 도 2를 참조하면, 상기 서스셉터(110)의 하면(112)에 결합되어 서스셉터(110)를 상하로 이송하게 된다. 상기 서스셉터(110)는 상하이송수단(130)에 의하여 상승되어 지지판(20)을 지지하여 예열하며, 예열이 종료된 후에는 하강되면서 지지판(20)이 롤러에 지지되도록 한다. 상기 상하이송수단(130)은 공압실린더, 볼스크류 이송기구, 타이밍벨트 등이 사용될 수 있으며, 바람직하게는 공압실린더가 사용된다. 다만 여기서 상하이송수단(130)의 종류를 한정하는 것은 아니며, 서스셉터(110)를 상하로 이송하는 다양한 이송기구가 사용될 수 있음은 물론이다. 또한, 상기 상하이송수단(130)은 서스셉터(110)의 무게, 면적에 따라 소정 개수로 형성될 수 있다. Referring to FIG. 2, the shanghai conveying means 130 is coupled to the lower surface 112 of the susceptor 110 to convey the susceptor 110 up and down. The susceptor 110 is elevated by the shanghai conveying means 130 to support the support plate 20 to preheat, and after the preheating is finished, the susceptor 110 is lowered to support the support plate 20 to the roller. The shanghai conveying means 130 may be a pneumatic cylinder, a ball screw feed mechanism, a timing belt, etc., preferably a pneumatic cylinder is used. However, the type of the Shanghai transport means 130 is not limited thereto, and various transport mechanisms for transferring the susceptor 110 up and down may be used. In addition, the shanghai conveying means 130 may be formed in a predetermined number depending on the weight, the area of the susceptor 110.

상기 수평이송수단(140)은 상기 지지판(20)을 수평으로 이송하여 상기 가열부(200)의 내부로 이송하게 된다. 상기 수평이송수단(140)은 바람직하게는 서스셉터(110)의 롤러홈(118)에 삽입되어 회전하는 롤러(140)로 형성되며, 롤러(140)는 이송되는 지지판(20)의 크기를 고려하여 적정간격으로 형성된다. 상기 롤러(140)는 별도의 지지수단(도면에 표시하지 않음)에 의하여 회전 가능하게 지지되며, 별도의 구(수)송수단(도면에 표시하지 않음)에 의하여 회전된다. 따라서, 상기 롤러(140)는 다수 개가 수평 방향으로 소정 간격을 두고 설치되며, 회전하면서 상부에 안착되어 있는 지지판(20)을 수평 방향으로 이송하게 된다.The horizontal transfer means 140 transfers the support plate 20 horizontally to the inside of the heating part 200. The horizontal conveying means 140 is preferably formed of a roller 140 that is inserted into the roller groove 118 of the susceptor 110 to rotate, the roller 140 considering the size of the support plate 20 to be transported It is formed at an appropriate interval. The roller 140 is rotatably supported by a separate support means (not shown), and is rotated by a separate sphere (hand) transport means (not shown). Therefore, a plurality of the rollers 140 are installed at a predetermined interval in the horizontal direction, and rotates to transport the support plate 20 mounted on the upper portion in the horizontal direction.

한편, 상기 수평이송수단(140)은 롤러 외에도 공압실린더, 볼스크류 이송기구 등이 사용될 수 있으며, 여기서 그 종류를 한정하는 것은 아니다. 예를 들면 공압실린더가 수평이송수단으로 사용되는 경우에, 도 2를 참조하면, 공압실린더는 서스셉터(110)의 외측에 별도의 지지수단에 의하여 지지되면서, 지지판(20)을 좌측에서 우측으로 밀어 수평으로 이송하게 된다. 상기 수평이송수단으로 이러한 이송기구가 사용되는 경우에는 서스셉터(110)의 상면에는 롤러홈(118)이 형성되지 않아도 됨은 물론이다.On the other hand, the horizontal transfer means 140 may be used in addition to the pneumatic cylinder, ball screw transfer mechanism, etc., but is not limited thereto. For example, when a pneumatic cylinder is used as a horizontal transfer means, referring to Figure 2, the pneumatic cylinder is supported by a separate support means on the outside of the susceptor 110, while supporting the support plate 20 from left to right Push it horizontally. When the transfer mechanism is used as the horizontal transfer means, the roller groove 118 does not need to be formed on the upper surface of the susceptor 110.

상기 가열부(200)는, 도 1을 참조하면, 열처리 온도를 고려하여 적정한 수의 가열로(210)로 구성되며, 적어도 2개의 가열로(210)를 포함하여 형성된다. 상기 가열부(200)는 각 가열로(210)가 열처리 온도에 따라 각각 단계별로 적정한 온도로 유지되며 독립적으로 제어된다. 또한, 바람직하게는 상기 가열부(200)는 마지막 가열로(210)의 설정온도를 열처리 온도로 설정하여 가열부(200)에서 일부 열처리가 진행될 수 있도록 한다.Referring to FIG. 1, the heating unit 200 includes an appropriate number of heating furnaces 210 in consideration of the heat treatment temperature, and includes at least two heating furnaces 210. The heating unit 200 is each heating furnace 210 is maintained at an appropriate temperature step by step according to the heat treatment temperature, respectively, and is independently controlled. In addition, the heating unit 200 preferably sets the set temperature of the last heating furnace 210 to the heat treatment temperature so that some heat treatment may be performed in the heating unit 200.

상기 가열로(210)는, 도 4a를 참조하면, 몸체를 이루는 몸체부(220)와 몸체부(220) 내부에서 열을 발생시키는 가열수단(230) 및 반도체 소자(10)와 지지판 (20)을 수평으로 이송시키는 롤러(240)를 포함하여 형성된다. 상기 가열로(210)는 일측에 지지판(20)과 반도체 소자(10)가 장입되는 입구(212)와 타측에 지지판(20)과 반도체 소자(10)가 배출되는 출구(214)가 소정 높이로 형성된다. 또한, 상기 가열로(210)는 내부에 지지판(20)이 이송되어 가열되는 위치를 감지하는 위치센서(도면에 표시하지 않음)를 포함하여 형성될 수 있다. 또한, 상기 가열로(210)는 내부에 질소 가스와 같은 불활성 가스를 일정하게 공급하는 가스 공급수단(도면에 표시하지 않음)을 구비할 수 있다. 상기 가열로(210)는 공급되는 가스에 의하여 내부가 일정한 분위기의 양압으로 유지될 수 있으며, 외부의 공기가 유입되는 것이 방지되어 내부 온도가 보다 균일하게 유지될 수 있다. 상기 가스 공급수단은 바람직하게는 가스가 가열로(210)의 상부에서 내부로 공급되고 가열로(210)의 하부로 배출되도록 구성된다. Referring to FIG. 4A, the heating furnace 210 includes a heating unit 230, a semiconductor device 10, and a support plate 20 that generate heat in the body 220 and the body 220 that form the body. It is formed including a roller 240 for transporting the horizontal. The heating furnace 210 has an inlet 212 into which the support plate 20 and the semiconductor element 10 are charged, and an outlet 214 through which the support plate 20 and the semiconductor element 10 are discharged to the other side to a predetermined height. Is formed. In addition, the heating furnace 210 may be formed to include a position sensor (not shown in the figure) that detects the position in which the support plate 20 is transported and heated therein. In addition, the heating furnace 210 may be provided with a gas supply means (not shown) for constantly supplying an inert gas such as nitrogen gas therein. The heating furnace 210 may be maintained at a positive pressure in a constant atmosphere by the gas supplied, and the internal temperature may be more uniformly prevented from entering the outside air. The gas supply means is preferably configured such that gas is supplied from the top of the furnace 210 to the inside and discharged to the bottom of the furnace 210.

상기 몸체부(220)는 가열로(210)의 외관을 이루는 외부하우징(222)과, 상기 외부하우징(222)의 내부에서 상하로 소정 공간이 이격되어 설치되는 단열재(223a, 223b)와 상기 단열재(223a, 223b)의 내측으로 소정간격 이격되어 상부와 하부에 설치되며 가열로(210)의 열처리 공간을 형성하는 내부하우징(224a, 224b)을 포함하여 형성된다. 상기 내부하우징(224a, 224b)은 바람직하게는 석영으로 형성되어 내부의 열처리 공간이 오염되는 것을 방지하게 된다.The body portion 220 is an outer housing 222 forming the appearance of the heating furnace 210, the heat insulating material (223a, 223b) and the heat insulating material are installed to be spaced up and down in the interior of the outer housing 222. The inner housings 224a and 224b are formed at upper and lower portions of the inner spaces 223a and 223b to be spaced apart from each other by a predetermined interval and form a heat treatment space of the heating furnace 210. The inner housings 224a and 224b are preferably made of quartz to prevent contamination of the heat treatment space therein.

상기 가열수단(230)은 발열체(232)와 열전대(236)를 포함하여 형성된다. 또한 상기 가열수단(230)은 가열로(210)의 입구(212)와 출구(214)의 상부와 하부에 설치되는 제2발열체(234)를 포함하여 형성될 수 있다. 또한, 상기 가열수단(230)은 내부하우징(224a, 224b)과 발열체(232) 사이에서 내부하우징(224a, 224b)에 인접하여 형성되는 전도판(238)을 포함하여 형성될 수 있다.The heating means 230 is formed to include a heating element 232 and the thermocouple 236. In addition, the heating means 230 may include a second heating element 234 is installed on the upper and lower portions of the inlet 212 and the outlet 214 of the heating furnace 210. In addition, the heating means 230 may be formed to include a conductive plate 238 formed adjacent to the inner housing (224a, 224b) between the inner housing (224a, 224b) and the heating element (232).

상기 발열체(232)는 상기 내부하우징(224a, 224b)과 단열재(232a, 232b) 사이에 소정 간격으로 설치되며, 열처리 공간을 소정 온도로 가열하게 된다. 상기 발열체(232)는 가열로(210)의 상부에만 설치될 수 있으며, 상부와 하부 모두에 설치될 수 있음은 물론이다. 상기 발열체(232)는 가열로(210)의 설정온도에 따라 적정한 수량으로 형성될 수 있다. 상기 발열체(232)는 전체적으로 하나의 발열체로 형성되기보다는 독립적으로 제어되는 소정 개수로 형성되며, 가열로(210)의 수평면을 기준으로 구분되는 소정 영역에 각각 설치되어 제어되는 것이 바람직하다. 예를 들면, 상기 가열로(210)를 9개의 영역으로 구분하여 각각의 영역에 발열체(232)를 설치하여 가열로(210)의 내부 온도를 제어할 수 있다. 상기 가열로(210)의 내부는 수평면을 기준으로 영역별로 온도 편차가 발생될 수 있으므로, 이러한 편차를 보정하기 위해서 각각의 영역의 발열체(232)를 독립적으로 제어하게 되면 보다 균일하게 온도를 제어할 수 있게 된다. 상기 발열체(232)는 저항히터 또는 램프히터가 사용될 수 있으며, 여기서 그 종류를 한정하는 것은 아니다. The heating element 232 is installed at predetermined intervals between the internal housings 224a and 224b and the heat insulators 232a and 232b and heats the heat treatment space to a predetermined temperature. The heating element 232 may be installed only in the upper portion of the heating furnace 210, of course, may be installed in both the upper and lower portions. The heating element 232 may be formed in an appropriate amount according to the set temperature of the heating furnace 210. The heating elements 232 are formed in a predetermined number independently controlled, rather than being formed as a single heating element as a whole, and are preferably installed and controlled in predetermined regions divided based on the horizontal plane of the heating furnace 210. For example, by dividing the heating furnace 210 into nine regions, the heating element 232 may be installed in each region to control the internal temperature of the heating furnace 210. Since the inside of the furnace 210 may generate a temperature deviation for each region on the basis of a horizontal plane, in order to independently control the heating elements 232 of each region to compensate for such deviation, the temperature may be more uniformly controlled. It becomes possible. A resistance heater or a lamp heater may be used as the heating element 232, but the type of the heating element 232 is not limited thereto.

상기 제2발열체(234)는 가열로(210)의 입구(212)와 출구(214)의 상부와 하부에 설치되어 입구(212)와 출구(214)의 온도가 내측보다 낮게 되는 것을 방지하게 된다. 즉, 상기 가열로(210)의 입구(212)와 출구(214)는 외부로 열이 누출되므로 상대적으로 온도가 낮게 되므로 별도의 발열체를 설치하여 온도를 내측과 동일하게 되도록 유지할 수 있다. 상기 제2발열체(234)는 상기 발열체(232)와 마찬가지로 저 항히터 또는 램프히터가 사용될 수 있으며, 여기서 그 종류를 한정하는 것은 아니다. The second heating element 234 is installed at the upper and lower portions of the inlet 212 and the outlet 214 of the heating furnace 210 to prevent the temperature of the inlet 212 and the outlet 214 is lower than the inner side. . That is, since the temperature of the inlet 212 and the outlet 214 of the heating furnace 210 is leaked to the outside, the temperature is relatively low, so that a separate heating element may be installed to maintain the same temperature as the inside. As the second heating element 234, a resistance heater or a lamp heater may be used similarly to the heating element 232, and the type of the second heating element 234 is not limited thereto.

상기 열전대(236)는 상부의 내부하우징(224a)에 근접한 위치에 설치되어 가열로의 온도를 측정하게 된다. 상기 열전대(236)의 온도 측정 결과를 근거로 상기 발열체(232)를 제어하게 된다. 한편, 상기 발열체(232)가 영역별로 독립적으로 설치되는 경우에는 열전대(236) 또한 발열체(232)에 대응되어 독립적으로 설치된다. 또한, 상기 열전대(236)는 열처리 공간 내에도 설치되어 열처리 공간 내의 온도를 정확하게 측정할 수 있도록 형성될 수 있다.The thermocouple 236 is installed at a position close to the upper inner housing 224a to measure the temperature of the heating furnace. The heating element 232 is controlled based on the temperature measurement result of the thermocouple 236. On the other hand, when the heating element 232 is installed independently for each region, the thermocouple 236 is also installed corresponding to the heating element 232 independently. In addition, the thermocouple 236 may also be installed in the heat treatment space so as to accurately measure the temperature in the heat treatment space.

상기 전도판(238)은 상기 발열체(232)와 내부하우징(224a, 224b)의 사이에 열처리 공간의 수평면적에 상응하는 면적으로 설치되며, 발열체(232)의 열이 내부하우징(224a, 224b)으로 균일하게 전달되도록 한다. 즉, 상기 발열체(232)는 소정 간격으로 형성되므로 내부하우징(224a, 224b)은 국부적으로 온도 차이가 있을 수 있으며, 내부의 열처리 공간에서도 이러한 차이가 발생할 수 있다. 따라서, 상기 전도판(238)은 발열체(232)의 열이 보다 균일하게 내부하우징(224a, 224b)으로 전달될 수 있도록 하여 준다. 상기 전도판(238)은 열전도성이 우수한 금속 또는 세라믹 재질로 형성될 수 있다. 예를 들면, 상기 전도판(238)은 스테인레스 스틸, 구리, 알루미늄, 알루미나와 같은 재질로 형성될 수 있다.The conductive plate 238 is installed between the heating element 232 and the inner housings 224a and 224b in an area corresponding to the horizontal area of the heat treatment space, and the heat of the heating element 232 is in the inner housings 224a and 224b. To ensure uniform delivery. That is, since the heating elements 232 are formed at predetermined intervals, the internal housings 224a and 224b may have a local temperature difference, and such a difference may occur in an internal heat treatment space. Therefore, the conductive plate 238 allows the heat of the heating element 232 to be more uniformly transferred to the inner housings 224a and 224b. The conductive plate 238 may be formed of a metal or a ceramic material having excellent thermal conductivity. For example, the conductive plate 238 may be formed of a material such as stainless steel, copper, aluminum, or alumina.

상기 롤러(240)는 대략 원기둥 형상으로 형성되며, 가열로(210)의 내부하우징(224a, 224b)의 내측에 소정 간격으로 다수 개가 설치된다. 상기 롤러(240)는 가열로(210)의 크기와 이송되는 지지판(20)의 크기에 따라 소정 간격으로 형성된다. 상기 롤러(240)는 지지판(20)의 이송방향, 입구(212)와 출구(214)의 방향에 수직한 방향으로 설치되며 외부하우징(222)의 외부로 연장되어 별도의 회전수단(도면에 표시하지 않음)에 의하여 회전된다. 상기 롤러(240)는 내부하우징(224a, 224b)의 내부에서 소정 높이로 형성되며, 바람직하게는 상기 가열로(210)의 입구(212)와 출구(214)의 바닥면보다 높은 위치로 형성되어 이송되는 지지판(20)의 하면이 입구(212)와 출구(214)의 바닥면에 접촉되지 않도록 한다. 상기 롤러(240)는, 바람직하게는 내부하우징(224a, 224b)과 동일한 재질인 석영(quartz)으로 형성되어 지지판(20)의 이송과정에서 마찰에 따른 오염물질의 발생이 최소화되도록 한다.The roller 240 is formed in a substantially cylindrical shape, and a plurality of rollers 240 are installed at predetermined intervals inside the inner housings 224a and 224b of the heating furnace 210. The roller 240 is formed at predetermined intervals according to the size of the heating furnace 210 and the size of the support plate 20 to be transferred. The roller 240 is installed in a direction perpendicular to the conveying direction of the support plate 20, the directions of the inlet 212 and the outlet 214, and extends to the outside of the outer housing 222 to display a separate rotating means (shown in the drawing). Not rotated). The roller 240 is formed at a predetermined height inside the inner housings 224a and 224b, and is preferably formed at a position higher than a bottom surface of the inlet 212 and the outlet 214 of the heating furnace 210. The lower surface of the supporting plate 20 is not in contact with the bottom surface of the inlet 212 and the outlet 214. The roller 240 is preferably made of quartz, which is the same material as the inner housings 224a and 224b, so that the generation of contaminants due to friction during the transport of the support plate 20 is minimized.

상기 가열부(200)는, 도 4b를 참조하면, 각각의 가열로(210)가 연결될 때 내부하우징(224a, 224b)이 서로 결합되도록 하여 외부의 공기가 유입되거나 내부의 공기가 외부로 유출되는 것을 최대한 방지하게 된다. 4B, when the respective heating furnaces 210 are connected, the heating unit 200 allows the inner housings 224a and 224b to be coupled to each other so that the outside air is introduced or the inside air flows out. To prevent as much as possible.

상기 공정부(300)는 외부하우징과 내부하우징과 롤러 및 유도가열수단을 포함하는 하나의 공정로를 포함하며, 상기 가열로(210)와 유사한 구조와 형상으로 형성된다. 상기 외부하우징과 내부하우징은 공정로의 외관과 내부 공간을 형성하며, 내부하우징이 형성하는 내부 공간에는 지지판를 이송하기 위한 롤러가 소정 간격으로 형성된다. 또한, 상기 유도가열수단은 유도 코일과 자성 코어를 포함하여 상기 외부하우징과 내부하우징 사이에서 내부하우징에 근접하여 수평 방향으로 설치된다. 상기 유도가열수단은 이송되는 지지판과 반도체 소자를 유도가열 방식에 의하여 가열하게 된다. 따라서, 상기 공정부(300)는 유도가열 방식에 의하여 지지판과 반도체 소자의 온도를 빠르게 고온으로 상승시켜 열처리를 함으로써, 반도체 소자의 변형과 손상을 방지할 수 있게 된다. 한편, 상기 공정부(300)는 반도체 소자의 열처리 온도가 낮은 경우에 반도체 소자의 열처리 장치에 포함되지 않을 수 있음은 물론이다. 즉, 상기 반도체 소자의 열처리 온도가 상기 가열부(200)가 유지할 수 있는 온도에서 실시되면, 상기 공정부(300)는 구비되지 않게 된다.The process unit 300 includes one process furnace including an outer housing, an inner housing, a roller, and an induction heating means, and is formed in a structure and a shape similar to the heating furnace 210. The outer housing and the inner housing form an outer space and an inner space of the process furnace, and rollers for transferring the support plate are formed at predetermined intervals in the inner space formed by the inner housing. In addition, the induction heating means includes an induction coil and a magnetic core and is installed in a horizontal direction in proximity to the inner housing between the outer housing and the inner housing. The induction heating means heats the transferred support plate and the semiconductor element by an induction heating method. Therefore, the process unit 300 is capable of preventing deformation and damage to the semiconductor element by rapidly increasing the temperature of the support plate and the semiconductor element to a high temperature by an induction heating method. Meanwhile, of course, the process unit 300 may not be included in the heat treatment apparatus of the semiconductor device when the heat treatment temperature of the semiconductor device is low. That is, when the heat treatment temperature of the semiconductor device is performed at a temperature that the heating unit 200 can maintain, the process unit 300 is not provided.

상기 냉각부(400)는 상기 가열부(200)와 마찬가지로 적어도 2개의 가열로(410)를 포함하여 형성되며, 상기 가열부(200) 또는 공정부(300)에서 가열된 지지판(20)과 반도체 소자(10)를 유리기판이 변형되지 않는 소정 온도 이하로 냉각하게 된다. 상기 냉각부(400)는 지지판(20)과 반도체 소자(10)를 단계적으로 충분히 낮은 온도로 냉각시키는 경우에 가열로(410)의 수가 증가되어 설치될 수 있다. 상기 냉각부(400)의 가열로(410)는 열처리 온도보다 낮은 온도로 단계적으로 설정되어 유지되며, 이송되는 지지판(20) 및 반도체 소자(10)를 소정 온도로 냉각하여 유지하게 된다. 또한, 상기 냉각부(400)의 가열로(410)도 외부에서 가스가 공급하는 가스공급수단(도면에 표시하지 않음)이 구비될 수 있으며, 소정 온도로 냉각된 가스를 공급하여 지지판(20)과 반도체 소자(10)를 보다 효과적으로 균일하게 냉각할 수 있게 된다. 상기 냉각부(400)의 가열로(410)는 상기 가열부(200)의 가열로(210)와 동일 또는 유사하므로 여기서 자세한 설명은 생략한다.Like the heating unit 200, the cooling unit 400 is formed to include at least two heating furnaces 410, and the support plate 20 and the semiconductor heated in the heating unit 200 or the process unit 300. The element 10 is cooled below a predetermined temperature at which the glass substrate is not deformed. The cooling unit 400 may be installed to increase the number of the heating furnace 410 when the support plate 20 and the semiconductor element 10 is cooled to a sufficiently low temperature step by step. The heating furnace 410 of the cooling unit 400 is set and maintained step by step at a temperature lower than the heat treatment temperature, it is cooled to maintain the support plate 20 and the semiconductor element 10 to be transferred to a predetermined temperature. In addition, the heating furnace 410 of the cooling unit 400 may also be provided with a gas supply means (not shown) to supply the gas from the outside, the support plate 20 by supplying the gas cooled to a predetermined temperature And the semiconductor element 10 can be cooled more effectively and uniformly. Since the heating furnace 410 of the cooling unit 400 is the same as or similar to the heating furnace 210 of the heating unit 200, a detailed description thereof will be omitted.

상기 배출부(500)는, 도 5a와 도 5b를 참조하면, 냉각서스셉터(510)와 냉각상하이송수단(520)과 가스분사노즐(530)과 냉각수평이송수단(540)을 포함하여 형성된다. 또한, 상기 배출부(500)는 상부가열수단(550)을 포함하여 형성될 수 있다.5A and 5B, the discharge part 500 includes a cooling susceptor 510, a cooling up and down conveying means 520, a gas injection nozzle 530, and a cooling horizontal conveying means 540. do. In addition, the discharge part 500 may be formed to include an upper heating means (550).

상기 냉각서스셉터(510)는, 도 6a와 6b를 참조하면, 상면(511)에 안착되는 지지판(20)보다 큰 면적을 갖는 대략 수평인 판상으로 형성되며, 냉각서스셉터(510)를 상하로 관통하는 분사홀(514)을 포함하여 형성된다. 상기 냉각서스셉터(510)는 상면이 상기 냉각부(400)의 출구에 상응하는 높이에 오도록 형성된다. 또한, 상기 냉각서스셉터(510)는 상기 지지판(20)을 수평으로 이송하는 수평이송수단의 하나인 롤러가 수용되는 냉각롤러홈(518)을 포함하여 형성될 수 있다. 상기 냉각서스셉터(510)는 열전도성이 큰 재질로 이루어지며, 지지판(20)과 반도체 소자(10)의 열이 빠르게 전도되어 방출될 수 있도록 한다. 상기 냉각서스셉터(510)는 알루미늄 금속 또는 합금, 흑연(graphite), 알루미늄 산화물(Aluminium Oxide), 알루미늄 나이트라이드(Aluminium Nitride), 보론 나이트라이드(Boron Nitride) 중 어느 하나로 형성될 수 있으며, 다만 여기서 냉각서스셉터(510)의 재질을 한정하는 것은 아니다.6A and 6B, the cooling susceptor 510 is formed in a substantially horizontal plate shape having an area larger than that of the supporting plate 20 seated on the upper surface 511, and the cooling susceptor 510 is moved up and down. It is formed including the injection hole 514 penetrating. The cooling susceptor 510 is formed such that an upper surface thereof is at a height corresponding to an outlet of the cooling unit 400. In addition, the cooling susceptor 510 may be formed to include a cooling roller groove 518 for receiving a roller which is one of the horizontal transfer means for transporting the support plate 20 horizontally. The cooling susceptor 510 is made of a material having high thermal conductivity, and allows the heat of the support plate 20 and the semiconductor element 10 to be quickly conducted and released. The cooling susceptor 510 may be formed of any one of aluminum metal or alloy, graphite, aluminum oxide, aluminum nitride, and boron nitride. The material of the cooling susceptor 510 is not limited.

상기 분사홀(514)은 냉각서스셉터(510)의 소정 영역에서 상하를 관통하여 소정 형상으로 형성된다. 상기 분사홀(514)은 냉각서스셉터(510)의 상면(511)에 이송되는 지지판(20)과 반도체 소자(10)의 하면에 냉각가스를 분사하여 지지판(20)이 보다 균일하게 냉각되도록 한다. 즉, 상기 지지판(20)이 냉각부로부터 이송되어 대기 중에 노출되면 지지판(20)의 외측 부분이 중앙부분보다 빠르게 자연 냉각되면서 온도 편차가 발생될 수 있다. 따라서, 상기 분사홀(514)은 냉각서스셉터(510)의 상면으로 이송되면 지지판(20)의 하면에서 전체적으로 균일하게 가스를 분사하여 지지판(20)이 균일하게 강제 냉각될 수 있도록 한다. The injection hole 514 penetrates up and down in a predetermined region of the cooling susceptor 510 and is formed in a predetermined shape. The injection hole 514 sprays a cooling gas to the support plate 20 and the lower surface of the semiconductor element 10 transferred to the upper surface 511 of the cooling susceptor 510 to allow the support plate 20 to be cooled more uniformly. . That is, when the support plate 20 is transferred from the cooling unit and exposed to the atmosphere, a temperature deviation may occur while the outer portion of the support plate 20 is naturally cooled faster than the center portion. Therefore, when the injection hole 514 is transferred to the upper surface of the cooling susceptor 510, the gas is uniformly injected from the lower surface of the support plate 20 so that the support plate 20 may be uniformly forcedly cooled.

상기 분사홀(514)은 지지판(20) 및 반도체 소자(10)의 크기와 냉각온도에 따 라 냉각서스셉터(510)의 중앙 영역에 소정의 면적과 형상으로 형성된다. 상기 분사홀(514)은 냉각서스셉터(510)의 폭 방향으로 중앙 영역에 상면과 하면을 관통하는 원통형상으로 형성된다. 또한, 상기 분사홀(514)은 단면형상이 원형 외에도 삼각형상 또는 사각형상을 포함하는 다각형 형상으로 형성될 수 있음은 물론이다. 상기 분사홀(514)은 바람직하게는 냉각서스셉터(510)의 폭 방향으로 냉각서스셉터(510)의 상부로 이송되는 지지판(20) 폭의 적어도 50%의 폭에 상응하는 영역에 형성된다. 상기 분사홀(514)이 지지판(20) 폭의 50% 보다 작은 영역으로 상기 냉각서스셉터(510)에 형성되면, 지지판(20)과 반도체 소자(10)는 폭 방향으로 균일하게 냉각되지 않게 되며 국부적인 냉각온도 차에 따라 변형될 수 있다. 다만, 상기 분사홀(514)은 냉각서스셉터(510) 전후측의 롤러홈(518) 형성영역에는 형성되지 않는다. 또한, 상기 분사홀(514)은 길이 방향으로 냉각서스셉터(510)의 전체 길이에 형성될 수 있다. The injection hole 514 is formed in a predetermined area and shape in the central region of the cooling susceptor 510 according to the size and cooling temperature of the support plate 20 and the semiconductor element 10. The injection hole 514 is formed in a cylindrical shape penetrating the upper surface and the lower surface in the central region in the width direction of the cooling susceptor 510. In addition, the injection hole 514 may be formed in a polygonal shape including a triangular shape or a quadrangular shape in addition to the circular shape. The injection hole 514 is preferably formed in a region corresponding to a width of at least 50% of the width of the support plate 20 transferred to the upper portion of the cooling susceptor 510 in the width direction of the cooling susceptor 510. When the injection hole 514 is formed in the cooling susceptor 510 in an area smaller than 50% of the width of the support plate 20, the support plate 20 and the semiconductor element 10 are not uniformly cooled in the width direction. It can be modified according to the local cooling temperature difference. However, the injection hole 514 is not formed in the roller groove 518 forming region before and after the cooling susceptor 510. In addition, the injection hole 514 may be formed in the entire length of the cooling susceptor 510 in the longitudinal direction.

또한, 상기 분사홀(514)은 홀의 크기와 형성 간격이 적정하게 조정되어 형성될 수 있다. 상기 분사홀(514)은 0.5 내지 3mm의 직경을 갖는 홀로 형성되며, 바람직하게는 0.5 mm 내지 1.5mm의 직경을 갖도록 형성된다. 또한 상기 분사홀(514)이 다각형 형상으로 형성되는 경우에는 그 폭이 0.5mm 내지 3mm를 갖도록 형성된다. 상기 분사홀(514)의 직경이 0.5mm보다 작게 되면 가스 분사량이 적게 되어 냉각효과가 작게 되며, 홀이 이물에 의하여 쉽게 막히는 현상이 발생된다. 또한, 상기 분사홀(514)의 직경이 3mm보다 크게 되면, 가스 분사량이 많게 되어 국부적으로 온도 편차가 발생된다. 또한 상기 분사홀(514)은 홀의 직경보다 큰 간격으로 형성되며 바람직하게는 적어도 홀의 직경보다 5배가 큰 간격으로 형성된다. 상기 분사홀(514)의 형성간격이 홀의 직경보다 작게 되면 홀 사이의 간격이 너무 작아 홀이 변형되어 막히거나 하여 냉각서스셉터(510)의 내구성이 저하된다. In addition, the injection hole 514 may be formed by appropriately adjusting the size and spacing of the holes. The injection hole 514 is formed as a hole having a diameter of 0.5 to 3mm, preferably formed to have a diameter of 0.5 mm to 1.5mm. In addition, when the injection hole 514 is formed in a polygonal shape it is formed to have a width of 0.5mm to 3mm. If the diameter of the injection hole 514 is smaller than 0.5mm, the gas injection amount is small, the cooling effect is small, and the phenomenon that the hole is easily clogged by foreign matter occurs. In addition, when the diameter of the injection hole 514 is larger than 3mm, the amount of gas injection is large, thereby causing a local temperature deviation. In addition, the injection holes 514 are formed at intervals larger than the diameter of the hole, preferably at least five times larger than the diameter of the hole. When the spacing between the injection holes 514 is smaller than the diameter of the holes, the gaps between the holes are so small that the holes are deformed and blocked, thereby reducing the durability of the cooling susceptor 510.

상기 냉각서스셉터(510)의 하면(512)에는 상기 분사홀(514)에 가스를 공급하는 별도의 가스공급수단(516)이 연결된다. 상기 가스공급수단(516)은 분사홀(514)의 형성방법에 따라서는 냉각서스셉터(510)의 측부에 형성될 수 있음은 물론이다.A separate gas supply means 516 for supplying gas to the injection hole 514 is connected to the lower surface 512 of the cooling susceptor 510. The gas supply means 516 may be formed at the side of the cooling susceptor 510 depending on the method of forming the injection hole 514.

상기 냉각롤러홈(518)은 냉각서스셉터(510)의 전 후측에 소정 간격으로 형성되며, 냉각서스셉터(510)의 상면에 안착되는 지지판(20)의 전 후측 일부가 접촉될 수 있는 길이로 형성된다. 또한, 상기 냉각롤러홈(518)은 냉각서스셉터(510)가 지지판(20)이 상승되었을 때, 롤러(540)가 상면으로 돌출 되지 않도록 소정 깊이로 형성된다. 따라서, 상기 지지판(20)은 냉각과정에서는 냉각상하이송수단(520)의 상면(511)에 균일하게 접촉되며, 냉각이 종료된 후에는 냉각서스셉터(510)가 하강되면서 냉각롤러홈(518)에 삽입되어 있는 롤러(540)에 의하여 지지되어 좌우로 이송된다. 다만, 상기 냉각롤러홈(518)은 지지판(20)을 좌우로 이송하는 수평이송수단(540)으로 롤러가 사용되는 경우에 형성된다.The cooling roller groove 518 is formed at a front and rear sides of the cooling susceptor 510 at predetermined intervals, and has a length that allows a portion of the front and rear sides of the supporting plate 20 to be seated on the upper surface of the cooling susceptor 510. Is formed. In addition, the cooling roller groove 518 is formed to a predetermined depth so that the cooling susceptor 510 when the support plate 20 is raised, so that the roller 540 does not protrude to the upper surface. Therefore, the support plate 20 is uniformly in contact with the upper surface 511 of the cooling up and down conveying means 520 in the cooling process, the cooling susceptor 510 is lowered after the cooling is finished, the cooling roller groove 518 It is supported by the roller 540 inserted in the is transported to the left and right. However, the cooling roller groove 518 is formed when the roller is used as a horizontal conveying means 540 for transporting the support plate 20 from side to side.

상기 냉각상하이송수단(520)은 냉각서스셉터(510)의 하면(512)에 결합되어 냉각서스셉터(510)를 상하로 이송하게 된다. 상기 냉각상하이송수단(520)은 지지판(20)이 냉각부(400)로부터 이송될 때는 하강한 상태 또는 롤러(540)가 지지판(20)의 상면에서 돌출되는 상태가 되도록 냉각서스셉터(510)를 이송하게 된다. 또한, 상기 냉각상하이송수단(520)은 지지판(20)의 이송이 완료된 때는 냉각서스셉터 (510)를 완전히 상승시켜 지지판(20)이 냉각서스셉터(510)의 상면에 안착되도록 이송한다. 또한, 냉각상하이송수단(520)은 지지판(20) 및 반도체 소자의 냉각이 완료된 때에는 냉각서스셉터(510)를 하강시켜 지지판(20)이 롤러에 의하여 이송될 수 있도록 한다. 상기 상하이송수단(130)은 공압실린더, 볼스크류 이송기구, 타이밍벨트 등이 사용될 수 있으며, 바람직하게는 공압실린더가 사용된다. 다만 여기서 상하이송수단(130)의 종류를 한정하는 것은 아니며, 서스셉터(110)를 상하로 이송하는 다양한 이송기구가 사용될 수 있음은 물론이다. 또한, 상기 상하이송수단(130)은 서스셉터(110)의 무게, 면적에 따라 소정 개수로 형성될 수 있다. The cooling up and down conveying means 520 is coupled to the lower surface 512 of the cooling susceptor 510 to convey the cooling susceptor 510 up and down. The cooling upper and lower conveying means 520 is a cooling susceptor 510 such that the support plate 20 is lowered when the support plate 20 is transferred from the cooling unit 400 or the roller 540 protrudes from the upper surface of the support plate 20. Will be transferred. In addition, the cooling upper and lower conveying means 520 when the transfer of the support plate 20 is completed, the cooling susceptor 510 is fully raised to transport the support plate 20 to be seated on the upper surface of the cooling susceptor 510. In addition, the cooling up and down conveying means 520 lowers the cooling susceptor 510 when the cooling of the support plate 20 and the semiconductor element is completed so that the support plate 20 can be transferred by the roller. The shanghai conveying means 130 may be a pneumatic cylinder, a ball screw feed mechanism, a timing belt, etc., preferably a pneumatic cylinder is used. However, the type of the Shanghai transport means 130 is not limited thereto, and various transport mechanisms for transferring the susceptor 110 up and down may be used. In addition, the shanghai conveying means 130 may be formed in a predetermined number depending on the weight, the area of the susceptor 110.

상기 가스분사노즐(530)은 상부노즐(530a)과 하부노즐(530b)로 구성되며, 상부노즐(530a)과 하부노즐(530b)은 각각 독립된 노즐이 다수개가 결합되어 소정 폭으로 형성되거나, 소정 폭을 갖는 하나의 노즐로 형성될 수 있다. 상기 가스분사노즐(530)은 질소가스와 같은 가스를 분사하여 지지판(20)과 반도체 소자(10)를 강제로 냉각하게 된다. 상기 가스분사노즐(530)은 필요한 냉각속도와 지지판(20)의 이송속도를 고려하여, 가스가 분사되는 노즐 입구의 크기를 결정하게 된다. 따라서, 상기 가스분사노즐(530)은 냉각속도가 빨라야 하거나, 지지판의 이송속도가 빠르게 해야 하는 경우에는 가스분사량을 증가시키기 위해서 노즐 입구의 크기를 크게 하며, 반대인 경우는 노즐 입구의 크기를 작게 형성하게 된다. 상기 가스분사노즐(530)은 바람직하게는 상기 지지판(20)의 폭보다 큰 폭을 갖도록 형성되어 지지판(20)을 폭 방향으로 균일하게 냉각시키게 된다. 상기 가스분사노즐(530)은 상부노즐(530a)과 하부노즐(530b)이 냉각서스셉터(510)의 일측 즉, 냉각부(400)와 인접한 측부에서 냉각서스셉터(510)의 상면을 기준으로 지지판(20) 및 반도체 소자(10)의 높이보다 큰 높이로 이격되어 설치된다. 상기 가스분사노즐(530)은 상부노즐(530a)과 하부노즐(530b)의 가스 분사 각도가 지지판(20)의 이송방향과 소정 각도를 이루도록 형성되며, 바람직하게는 지지판(20)의 이송방향과 둔각을 이루도록 형성된다. 또한, 상기 상부노즐(530a)과 하부노즐(530b)은 서로 다른 각도로 가스를 분사하도록 설치될 수 있으며, 상기 지지판(20)을 수직 방향으로 기준으로 다른 위치를 냉각시키도록 설치될 수 있다. 상기 가스분사노즐(530)에서 분사되는 가스는 지지판(20)의 이송을 지장을 주지 않으면서 지지판(20) 및 반도체 소자(10)의 표면을 따라 흐르면서 지지판(20)과 반도체 소자(10)를 냉각하게 된다.The gas injection nozzle 530 is composed of an upper nozzle 530a and a lower nozzle 530b, and each of the upper nozzle 530a and the lower nozzle 530b is formed in a predetermined width by combining a plurality of independent nozzles, or It can be formed with one nozzle having a width. The gas injection nozzle 530 injects a gas such as nitrogen gas to forcibly cool the support plate 20 and the semiconductor device 10. The gas injection nozzle 530 determines the size of the nozzle inlet through which the gas is injected in consideration of the required cooling rate and the transfer speed of the support plate 20. Therefore, the gas injection nozzle 530 has to increase the size of the nozzle inlet in order to increase the amount of gas injection when the cooling rate should be fast or the feeding speed of the support plate should be high. To form. The gas injection nozzle 530 is preferably formed to have a width larger than the width of the support plate 20 to uniformly cool the support plate 20 in the width direction. The gas injection nozzle 530 has an upper nozzle 530a and a lower nozzle 530b on one side of the cooling susceptor 510, that is, the side adjacent to the cooling unit 400, based on the upper surface of the cooling susceptor 510. The support plates 20 and the semiconductor elements 10 are spaced apart from each other at a height greater than that. The gas injection nozzle 530 is formed such that the gas injection angles of the upper nozzle 530a and the lower nozzle 530b form a predetermined angle with the conveying direction of the support plate 20, and preferably the conveying direction of the support plate 20. It is formed to achieve an obtuse angle. In addition, the upper nozzle 530a and the lower nozzle 530b may be installed to inject gas at different angles, and the support plate 20 may be installed to cool other positions based on the vertical direction. The gas injected from the gas injection nozzle 530 flows along the surfaces of the support plate 20 and the semiconductor device 10 without disturbing the transport of the support plate 20, thereby allowing the support plate 20 and the semiconductor device 10 to flow. To cool.

상기 냉각수평이송수단(540)은 상기 지지판(20)을 수평으로 이송하여 배출부(500)로부터 다른 공정으로 이송하게 된다. 상기 냉각수평이송수단(540)은 바람직하게는 냉각서스셉터(510)의 냉각롤러홈(518)에 삽입되어 회전하는 롤러(540)로 형성되며, 롤러(540)는 이송되는 지지판(20)의 크기를 고려하여 적정간격으로 형성된다. 상기 롤러(540)는 별도의 지지수단(도면에 표시하지 않음)에 의하여 회전 가능하게 지지되며, 별도의 구동수단(도면에 표시하지 않음)에 의하여 회전된다. 따라서, 상기 롤러(540)는 다수 개가 수평 방향으로 소정 간격을 두고 설치되며, 회전하면서 상부에 안착되어 있는 지지판(20)을 수평 방향으로 이송하게 된다.The cooling horizontal transfer means 540 transfers the support plate 20 horizontally to the other process from the discharge unit 500. The cooling horizontal conveying means 540 is preferably formed of a roller 540 which is inserted into the cooling roller groove 518 of the cooling susceptor 510 and rotates, and the roller 540 of the supporting plate 20 is conveyed. Considering the size, it is formed at proper intervals. The roller 540 is rotatably supported by a separate supporting means (not shown), and is rotated by a separate driving means (not shown). Therefore, a plurality of the rollers 540 are installed at predetermined intervals in the horizontal direction, and rotates to transport the support plate 20 mounted on the upper portion in the horizontal direction.

한편, 상기 냉각수평이송수단(540)은 롤러 외에도 공압실린더, 볼스크류 이송기구 등이 사용될 수 있으며, 여기서 그 종류를 한정하는 것은 아니다. 상기 냉각수평이송수단(540)으로 이러한 이송기구가 사용되는 경우에는 냉각서스셉터(510) 의 상면에는 롤러홈(518)이 형성되지 않아도 됨은 물론이다.On the other hand, the cooling horizontal transfer means 540 may be used in addition to the pneumatic cylinder, ball screw transfer mechanism, etc., but is not limited thereto. When such a transfer mechanism is used as the horizontal cooling means 540, the roller groove 518 does not need to be formed on the upper surface of the cooling susceptor 510.

상기 상부가열수단(550)은 다수의 저항히터 또는 램프히터로 형성되며, 바람직하게는 적외선 할로겐 램프와 같은 램프히터로 형성된다. 다만 여기서, 상기 상부가열수단(550)의 종류를 한정하는 것은 아니며, 반도체 소자(10)의 상면을 오염시키지 않는 다양한 가열수단이 사용될 수 있음은 물론이다. 상기 상부가열수단(550)은 냉각서스셉터(510)의 상부에 소정 높이로 형성되며, 이송되는 지지판(20)의 면적보다 큰 면적을 갖도록 형성된다. 따라서, 상기 상부가열수단(550)은 반도체 소자(10)의 상부에 설치되어 지지판(20)과 반도체 소자(10)의 상면에 전체적으로 열을 가하여 상면이 빠르게 냉각되는 것을 방지하게 된다. 상기 지지판(20)과 반도체 소자(10)는 상기 냉각서스셉터(510)의 상면으로 이송되면, 특히 반도체 소자(10)는 상면이 개방되어 대기 중으로 열을 방출하게 되므로 하면보다 빠르게 냉각될 수 있으며, 이러한 경우에 빠른 냉각속도와 상면과 하면 사이의 온도차 때문에 반도체 소자(10)가 손상을 입게 될 우려가 있다. 상기 상부가열수단(550)은 지지판(20)과 반도체 소자(10)가 냉각부(400)로부터 이송될 때의 온도를 고려하여 적정한 온도를 갖도록 제어된다. 또한, 상기 상부가열수단(550)은 냉각 초기에 반도체 소자(10)의 상면 온도를 적어도 100 ℃ 이상의 온도로 유지할 수 있는 열 용량을 갖도록 형성된다. 상기 상부가열수단(550)은 별도의 온도감지수단(도면에 표시하지 않음)에 의하여 측정하여 지지판(20)과 반도체 소자(10)의 온도가 100 ℃이하로 냉각되면 작동을 멈추게 된다.The upper heating means 550 is formed of a plurality of resistance heaters or lamp heaters, preferably formed of a lamp heater such as an infrared halogen lamp. However, the type of the upper heating means 550 is not limited thereto, and various heating means that do not contaminate the upper surface of the semiconductor device 10 may be used. The upper heating means 550 is formed at a predetermined height on the upper portion of the cooling susceptor 510 and is formed to have an area larger than that of the support plate 20 to be transferred. Therefore, the upper heating means 550 is installed on the upper portion of the semiconductor element 10 to apply heat to the upper surface of the support plate 20 and the semiconductor element 10 as a whole to prevent the upper surface from being quickly cooled. When the support plate 20 and the semiconductor element 10 are transferred to the upper surface of the cooling susceptor 510, in particular, the semiconductor element 10 may be cooled faster than the lower surface because the upper surface is opened to release heat to the atmosphere. In this case, the semiconductor device 10 may be damaged due to the high cooling rate and the temperature difference between the upper and lower surfaces. The upper heating means 550 is controlled to have an appropriate temperature in consideration of the temperature when the support plate 20 and the semiconductor element 10 is transferred from the cooling unit 400. In addition, the upper heating means 550 is formed to have a heat capacity that can maintain the upper surface temperature of the semiconductor device 10 at a temperature of at least 100 ℃ at the initial stage of cooling. The upper heating means 550 is measured by a separate temperature sensing means (not shown) to stop the operation when the temperature of the support plate 20 and the semiconductor element 10 is cooled to 100 ℃ or less.

다음은 본 발명의 실시예에 따른 반도체 소자의 열처리 장치의 작용에 대하여 설명한다.Next, the operation of the heat treatment apparatus of the semiconductor device according to the embodiment of the present invention will be described.

상기 장입부(100)의 서스셉터(110)가 상하이송수단(130)에 의하여 상승되면 상면에 지지판(20)과 반도체 소자(10)가 안착된다. 상기 지지판(20)과 반도체 소자(10)는 상기 서스셉터(110)의 내부가열수단(114)에 의하여 소정 온도로 예열 되며, 이때 서스셉터(110)의 중앙영역에 형성된 단열홈(116)에 의하여 지지판(20)과 반도체 소자(10)는 중앙 영역과 외측부분에 차등적으로 열이 전도되면서 전체적으로 균일하게 예열 된다. 상기 지지판(20)과 반도체 소자(10)가 소정 온도로 예열되면, 상기 서스셉터(110)는 상하이송수단(130)에 의하여 아래로 하강되며, 지지판(20)과 반도체 소자(10)는 롤러(140)의 회전에 의하여 가열부(200)의 가열로(210) 내부로 이송된다. 상기 가열부(200)의 각 가열로(210)는 각각 단계별로 소정 온도로 설정되어, 이송되는 지지판(20)과 반도체 소자(10)를 소정 온도로 가열하게 된다. 이때, 상기 가열부(200)는 마지막 가열로(210)의 설정온도를 열처리 온도로 설정하여 가열부(200)에서 일부 열처리가 진행될 수 있도록 한다. 상기 공정부(300)는 이송된 반도체 소자(10)를 소정 온도에서 열처리하게 되며, 열처리가 종료되면 소정 온도로 유지되는 상기 냉각부(400)로 이송하게 된다. 상기 냉각부(400)는 각 가열로(410)가 각각 단계별로 소정 온도로 설정되어, 이송된 반도체 소자(10)를 단계별로 냉각시켜 소정 온도로 냉각시킨 후 상기 배출부(500)의 냉각서스셉터(510)의 상부로 이송하게 된다. 상기 배출부(500)의 가스분사노즐(530)은 냉각부(400)에서 이송 되는 지지판(20)과 반도체 소자(10)의 상면과 하면에 가스를 분사하여 냉각시키게 된다. 상기 지지판(20)이 냉각수평이송수단인 롤러(540)의 구동에 의하여 냉각서스셉터(510)의 상부로 이송되면 냉각상하이송수단(520)에 의하여 냉각서스셉터(510)가 상부로 이송되어 상면에 지지판(20)과 반도체 소자(10)가 안착된다. 이때, 상기 냉각서스셉터(510)는 분사홀(514)에서 가스가 분사되어 지지판(20)과 반도체 소자(10)를 전체적으로 균일하게 냉각시키게 된다. 또한, 상기 상부가열수단(550)은 지지판(20)과 반도체 소자(10)의 상면에 열을 가하여 급격히 냉각되는 것을 방지하게 된다. 상기 배출부(500)는 냉각서스셉터(510)의 상면에 이송된 지지판(20)과 반도체 소자(10)가 변형이 없는 소정 온도 예를 들면 100 ℃이하 또는 상온으로 냉각되면, 반도체 소자를 이송하여 다음 공정으로 이송하게 된다.When the susceptor 110 of the charging unit 100 is lifted by the shanghai conveying means 130, the support plate 20 and the semiconductor device 10 is mounted on the upper surface. The support plate 20 and the semiconductor device 10 are preheated to a predetermined temperature by the internal heating means 114 of the susceptor 110, in which the heat insulating groove 116 is formed in the central region of the susceptor 110. As a result, the support plate 20 and the semiconductor device 10 are preheated uniformly as a whole while differentially conducting heat to the central region and the outer portion. When the support plate 20 and the semiconductor element 10 are preheated to a predetermined temperature, the susceptor 110 is lowered down by the shanghai conveying means 130, the support plate 20 and the semiconductor element 10 is a roller By the rotation of the 140 is transferred into the heating furnace 210 of the heating unit 200. Each heating furnace 210 of the heating unit 200 is set to a predetermined temperature in each step, thereby heating the support plate 20 and the semiconductor element 10 to be transferred to a predetermined temperature. In this case, the heating unit 200 may set the set temperature of the last heating furnace 210 to the heat treatment temperature so that some heat treatment may be performed in the heating unit 200. The process unit 300 heat-treats the transferred semiconductor device 10 at a predetermined temperature, and transfers the transferred semiconductor device 10 to the cooling unit 400 maintained at a predetermined temperature when the heat treatment is completed. In the cooling unit 400, each of the heating furnaces 410 is set to a predetermined temperature step by step, respectively, cooling the transferred semiconductor element 10 step by step to cool to a predetermined temperature, and then cooling the cooling unit of the discharge part 500. It is transferred to the upper portion of the acceptor 510. The gas injection nozzle 530 of the discharge part 500 cools the gas by spraying the upper and lower surfaces of the support plate 20 and the semiconductor device 10 transferred from the cooling part 400. When the support plate 20 is transferred to the upper portion of the cooling susceptor 510 by the driving of the roller 540 which is the horizontal cooling means, the cooling susceptor 510 is transferred upward by the cooling up and down conveying means 520. The support plate 20 and the semiconductor element 10 are mounted on the upper surface. At this time, the cooling susceptor 510 is a gas is injected from the injection hole 514 to uniformly cool the support plate 20 and the semiconductor element 10 as a whole. In addition, the upper heating means 550 is applied to the upper surface of the support plate 20 and the semiconductor element 10 to prevent the cooling is suddenly. The discharge part 500 transfers the semiconductor device when the support plate 20 and the semiconductor device 10 transferred to the upper surface of the cooling susceptor 510 are cooled to a predetermined temperature without deformation, for example, 100 ° C. or less or room temperature. To the next process.

도 7은 본 발명의 실시예에 따른 반도체 소자의 열처리 장치에서 실시되는 열처리의 공정 조건을 나타내는 그래프이다. 도 7에서 공정 1은 유리기판에 증착된 비정질 실리콘 박막의 내부에 존재하는 수소의 제거 또는 유리기판에 증착된 다결정실리콘 박막의 내부에 수소를 공급하기 위한 공정으로 500 ℃ 정도의 비교적 저온에서 이루어진다. 공정 2는 유리기판에 형성된 비정질실리콘 박막의 결정화, 또는 결정질실리콘 박막에 형성된 도펀트의 활성화, MIC, MILC 공정으로 대략 600 ℃이상에서 이루어진다. 공정 3은 유리기판의 pre-compaction 또는 결함 제거(defect annealing) 공정으로 대략 700 ℃이상에서 이루어진다. 상기의 공정은 반도체 소자의 열처리 장치가 적용될 수 있는 공정에 대한 예이며, 보다 다양한 공정에 적용될 수 있음은 물론이다.7 is a graph showing process conditions of heat treatment performed in the heat treatment apparatus of the semiconductor device according to the embodiment of the present invention. 7 is a process for removing hydrogen present in the amorphous silicon thin film deposited on the glass substrate or supplying hydrogen into the polysilicon thin film deposited on the glass substrate at a relatively low temperature of about 500 ° C. Process 2 is performed at about 600 ° C. or more by crystallization of the amorphous silicon thin film formed on the glass substrate, or activation of the dopant formed on the crystalline silicon thin film, MIC, MILC process. Process 3 is a pre-compaction or defect annealing process for glass substrates, which takes place at approximately 700 ° C or above. The above process is an example of a process to which the heat treatment apparatus of the semiconductor device can be applied, and can be applied to more various processes.

상기 반도체 소자의 열처리 장치는 도 7의 공정 조건을 수행하는 경우에 장입부(100)에서 지지판(20)과 반도체 소자(10)를 대략 200 ℃까지 예열한 후 가열부(200)로 이송하게 된다. 상기 가열부(200)는 각 가열로(210)에서 처리 공정에 따라 열처리 온도까지 3단계로 나누어 지지판(20)과 반도체 소자(10)를 가열하게 된다. 상기 공정부(300)는 이송된 지지판(20)과 반도체 소자(10)를 열처리 온도까지 빠른 시간에 가열하고 냉각시키게 된다. 물론 도 7의 공정 1에서 보는 바와 같이, 열처리 종류에 따라서는 공정부(300)가 필요하지 않은 경우가 있음은 물론이다. 상기 냉각부(400)는 각 가열로(410)에서 열처리 온도로부터 대략 300 ℃까지 단계적으로 냉각하게 된다. 상기 배출부(500)는 이송된 지지판(20)과 반도체 소자(10)를 상온에 가까운 온도까지 냉각시키게 된다. 이때, 상기에서 언급한 바와 같이 상기 배출부(400)는 지지판(20)과 반도체 소자(10)를 균일하게 냉각할 수 있는 냉각수단을 구비하여 반도체 소자가 변형되지 않도록 균일하게 냉각하게 된다.In the heat treatment apparatus of the semiconductor device, the support plate 20 and the semiconductor device 10 are preheated to about 200 ° C. in the charging unit 100 when the process conditions of FIG. 7 are performed, and then transferred to the heating unit 200. . The heating unit 200 is heated in three steps to the heat treatment temperature in each heating furnace 210 in accordance with the treatment process to heat the support plate 20 and the semiconductor device 10. The process unit 300 heats and cools the transferred support plate 20 and the semiconductor device 10 to a heat treatment temperature in a short time. Of course, as shown in step 1 of FIG. 7, the process unit 300 may not be necessary depending on the type of heat treatment. The cooling unit 400 is cooled step by step from the heat treatment temperature to approximately 300 ℃ in each heating furnace (410). The discharge part 500 cools the transferred support plate 20 and the semiconductor device 10 to a temperature close to room temperature. At this time, as mentioned above, the discharge part 400 is provided with cooling means capable of uniformly cooling the support plate 20 and the semiconductor device 10 so that the semiconductor device is uniformly cooled so as not to deform.

따라서, 본 발명에 따른 반도체 소자의 열처리 장치는 대략 400 ℃에서 1000 ℃에서 반도체 소자의 열처리를 수행하는 것이 가능하게 된다. 특히 본 발명에 따른 반도체 소자의 열처리 장치는 유리기판의 변형온도인 600 ℃이상의 온도를 필요로 하는 열처리를 보다 효과적으로 수행할 수 있게 된다.Therefore, the heat treatment apparatus of the semiconductor element according to the present invention can perform the heat treatment of the semiconductor element at approximately 400 ℃ to 1000 ℃. In particular, the heat treatment apparatus of the semiconductor device according to the present invention can be more effectively performed a heat treatment that requires a temperature of 600 ℃ or more the deformation temperature of the glass substrate.

이상 설명한 바와 같이, 본 발명은 상술한 특정의 바람직한 실시예에 한정되지 아니하며, 특허청구범위에서 청구하는 본 발명의 요지를 벗어남이 없이 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자라면 누구든지 다양한 변형의 실시가 가능한 것은 물론이고, 그와 같은 변경은 특허청구범위 기재의 범위 내에 있 게 된다.As described above, the present invention is not limited to the specific preferred embodiments described above, and any person having ordinary skill in the art to which the present invention pertains without departing from the gist of the present invention claimed in the claims. Various modifications are possible, of course, and such changes are within the scope of the claims.

본 발명에 따른 반도체 소자의 열처리 장치는 반도체 소자를 단계적으로 승온시켜 열처리를 수행하고 단계적으로 냉각시켜 보다 빠른 시간에 열처리를 수행할 수 있는 효과가 있다. 특히, 본 발명에 의하면, 유리기판의 상면에 형성된 비정질실리콘 박막의 결정화 처리, 다결정실리콘 박막으로 형성되는 TFT 소자의 도펀트 활성화 처리, 상면에 반도체 박막을 형성하기 위한 유리기판의 예비수축(pre-compaction) 처리시 유리기판의 변형을 방지하면서 보다 빠르게 열처리를 수행할 수 있는 효과가 있다.The heat treatment apparatus of the semiconductor device according to the present invention has the effect of performing a heat treatment by stepping up the temperature of the semiconductor device step by step and cooling step by step to perform a heat treatment at a faster time. In particular, according to the present invention, the crystallization of the amorphous silicon thin film formed on the upper surface of the glass substrate, the dopant activation treatment of the TFT element formed of the polysilicon thin film, the pre-compaction of the glass substrate for forming the semiconductor thin film on the upper surface (pre-compaction) The heat treatment can be effected more quickly while preventing the deformation of the glass substrate.

또한, 본 발명에 의하면 지지판을 사용하여 반도체 소자를 전체적으로 지지하면서 균일하게 가열하여 열처리를 행하므로 유리기판의 변형 또는 손상을 방지할 수 있는 효과가 있다.In addition, according to the present invention, since the heat treatment is performed by uniformly heating the substrate while supporting the semiconductor element as a whole, there is an effect of preventing deformation or damage of the glass substrate.

또한, 본 발명에 의하면 배출부는 소정 온도로 열처리되어 이송되는 반도체 소자에 냉각가스를 분사하여 균일하게 냉각시키게 되므로 반도체 소자가 변형되거나 손상되는 것을 방지하면서 빠르게 열처리할 수 있는 효과가 있다.In addition, according to the present invention, since the exhaust part is uniformly cooled by injecting a cooling gas to the semiconductor element which is heat-treated to a predetermined temperature and transported, there is an effect that the semiconductor element can be quickly heat treated while preventing deformation or damage.

또한, 본 발명에 의하면 배출부는 반도체 소자를 지지하는 지지판의 하면에 가스를 분사하면서 반도체 소자의 상부를 가열수단에 의하여 열을 가하여 냉각시키게 되므로 반도체 소자와 지지판이 균일하게 냉각되며 반도체 소자의 국부적 온도차에 따른 변형을 방지할 수 있는 효과가 있다.In addition, according to the present invention, since the exhaust part cools the upper part of the semiconductor element by heating means while injecting gas to the lower surface of the support plate for supporting the semiconductor element, the semiconductor element and the support plate are uniformly cooled and the local temperature difference of the semiconductor element. There is an effect that can prevent deformation due to.

Claims (24)

반도체 소자를 열처리하는 반도체 소자의 열처리 장치에 있어서,In the heat treatment apparatus of a semiconductor element for heat-treating the semiconductor element, 상기 반도체 소자와 상기 반도체 소자가 안착되는 지지판이 안착되어 이송되는 장입부와, 열처리 온도까지 단계적으로 유지 온도가 각각 설정되어 독립적으로 제어되는 적어도 두 개의 가열로를 포함하며 상기 장입부에서 이송되는 상기 반도체 소자 및 지지판을 소정의 열처리 온도로 가열하는 가열부와, 열처리 온도부터 소정의 냉각온도까지 단계적으로 유지 온도가 각각 설정되어 독립적으로 제어되는 적어도 두 개의 가열로를 포함하며 열처리 공정이 수행되어 상기 가열부로부터 이송되는 반도체 소자와 지지판을 소정의 냉각 온도까지 냉각하는 냉각부 및 소정의 냉각온도까지 냉각된 상기 반도체 소자와 지지판을 변형되지 않는 소정온도까지 균일하게 냉각시켜 배출하는 배출부를 구비하고,The semiconductor device and a charging unit on which the semiconductor device is seated and seated and transported, and at least two heating furnaces each of which is independently controlled by setting a holding temperature step by step until the heat treatment temperature, and are transferred from the charging unit. A heating unit for heating the semiconductor element and the support plate to a predetermined heat treatment temperature, and at least two heating furnaces each of which is independently controlled by setting the holding temperature step by step from the heat treatment temperature to the predetermined cooling temperature, and the heat treatment process is performed. A cooling part for cooling the semiconductor element and the support plate transferred from the heating part to a predetermined cooling temperature, and a discharge part for uniformly cooling and discharging the semiconductor element and the support plate cooled to the predetermined cooling temperature to a predetermined temperature without deformation, 상기 가열부와 냉각부는 내부의 열처리 공간에 외부의 공기가 유입되는 것이 방지되도록 설치되며,The heating unit and the cooling unit are installed to prevent external air from entering the internal heat treatment space. 상기 배출부는 The discharge portion 상기 냉각부에서 이송되는 상기 지지판과 반도체 소자에 소정 각도로 가스를 분사하는 가스분사노즐과, 상기 지지판이 안착되며 상기 지지판이 안착되는 소정 영역에 상하로 관통되어 가스가 분사되는 분사홀이 형성되는 냉각서스셉터와, 상기 냉각서스셉터를 상하로 이송하는 냉각상하이송수단과, 상기 지지판을 수평으로 이송하는 냉각수평이송수단을 포함하는 것을 특징으로 하는 반도체 소자의 열처리 장치.Gas injection nozzles for injecting gas at a predetermined angle to the support plate and the semiconductor element conveyed from the cooling unit, and the support plate is seated and the injection hole through which the gas is injected by penetrating up and down in a predetermined region where the support plate is seated And a cooling susceptor, cooling vertical conveying means for conveying the cooling susceptor vertically, and cooling horizontal conveying means for conveying the support plate horizontally. 제 1항에 있어서, The method of claim 1, 상기 가열부와 냉각부 사이에 설치되며, 상기 반도체 소자를 유도 가열수단에 의하여 소정 온도로 급속 가열하여 열처리하는 공정부를 더 포함하는 것을 특징으로 하는 반도체 소자의 열처리 장치.And a process unit disposed between the heating unit and the cooling unit to rapidly heat the semiconductor element to a predetermined temperature by an induction heating unit. 제 1항 또는 제 2항에 있어서,The method according to claim 1 or 2, 상기 배출부는 상기 냉각서스셉터의 상부에 설치되어 상기 반도체 소자 및 지지판의 상부를 가열하는 상부가열수단을 더 포함하여 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.And the discharge part further includes upper heating means installed on an upper portion of the cooling susceptor to heat the upper portion of the semiconductor element and the support plate. 제 3항에 있어서,The method of claim 3, wherein 상기 냉각서스셉터는 알루미늄 금속 또는 합금, 알루미늄 산화물, 알루미늄 나이트라이드, 보론 나이트라이드, 흑연을 포함하는 재질 중 어느 하나로 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.The cooling susceptor is a heat treatment apparatus of a semiconductor device, characterized in that formed of any one of a material containing aluminum metal or alloy, aluminum oxide, aluminum nitride, boron nitride, graphite. 제 3항에 있어서,The method of claim 3, wherein 상기 냉각서스셉터는 상기 지지판의 면적보다 큰 면적으로 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.And the cooling susceptor is formed with an area larger than that of the support plate. 제 3항에 있어서,The method of claim 3, wherein 상기 분사홀은 상기 지지판이 상기 냉각서스셉터의 상면에 안착될 때, 상기 지지판 폭의 적어도 50%의 폭에 상응하는 영역에 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.And the injection hole is formed in a region corresponding to at least 50% of the width of the support plate when the support plate is seated on the upper surface of the cooling susceptor. 제 3항에 있어서,The method of claim 3, wherein 상기 분사홀은 단면 형상이 원형 또는 다각형 형상인 다수개의 홀이 배열되어 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.The injection hole is a heat treatment apparatus for a semiconductor device, characterized in that a plurality of holes having a circular or polygonal cross-sectional shape is arranged. 제 7항에 있어서,The method of claim 7, wherein 상기 분사홀은 직경 또는 폭이 0.5 mm 내지 3mm를 갖도록 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.The injection hole is a heat treatment apparatus of a semiconductor element, characterized in that formed in the diameter or width having a 0.5mm to 3mm. 제 3항에 있어서,The method of claim 3, wherein 상기 분사홀은 그 직경 또는 폭보다 큰 간격으로 배열되어 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.And the injection holes are arranged at intervals larger than the diameter or width thereof. 제 3항에 있어서,The method of claim 3, wherein 상기 가스분사노즐은 상부노즐과 하부노즐을 포함하며, 상기 반도체 소자와 지지판의 높이보다 큰 높이로 서로 이격되어 설치되며, 이송되는 상기 반도체 소자와 지지판상부와 하부에 각각 가스를 분사하도록 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.The gas injection nozzle includes an upper nozzle and a lower nozzle and is spaced apart from each other by a height greater than the height of the semiconductor device and the support plate, and is formed to inject gas onto the transported upper and lower portions of the semiconductor device and the support plate. Heat treatment apparatus of a semiconductor element characterized by the above-mentioned. 제 3항에 있어서,The method of claim 3, wherein 상기 가스분사노즐은 가스 분사 각도가 상기 지지판의 이송방향과 둔각을 이루도록 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.The gas injection nozzle is a heat treatment apparatus for a semiconductor device, characterized in that the gas injection angle is formed to be an obtuse angle with the conveying direction of the support plate. 제 3항에 있어서,The method of claim 3, wherein 상기 가스분사노즐은 그 폭이 적어도 상기 지지판의 폭으로 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.And said gas injection nozzle is formed at least in width of said support plate. 제 3항에 있어서,The method of claim 3, wherein 상기 냉각상하이송수단은 상기 냉각서스셉터의 하부에 설치되는 공압실린더 또는 볼스크류 이송기구 또는 타이밍벨트로 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.The cooling and vertical conveying means is a heat treatment apparatus for a semiconductor element, characterized in that formed by a pneumatic cylinder, a ball screw feed mechanism or a timing belt installed below the cooling susceptor. 제 3항에 있어서,The method of claim 3, wherein 상기 냉각수평이송수단은 롤러와 이를 회전시키는 모터를 포함하며,The cooling horizontal conveying means includes a roller and a motor for rotating the same, 상기 롤러는 상기 냉각서스셉터의 상면에 소정 길이로 형성되는 냉각롤러홈에 삽입되어 상부에 소정 폭으로 접촉되며 안착되는 상기 지지판을 이송하도록 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.And the roller is inserted into a cooling roller groove formed to a predetermined length on an upper surface of the cooling susceptor, and is formed to transport the supporting plate seated in contact with a predetermined width thereon. 제 3항에 있어서,The method of claim 3, wherein 상기 상부가열수단은 상기 냉각서스셉터의 상부에 적어도 상기 지지판의 면적보다 큰 영역에 설치되어 상기 반도체 소자 및 지지판의 상부를 가열하도록 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.And the upper heating means is formed in an area larger than the area of the support plate at least on the cooling susceptor to heat the upper portion of the semiconductor element and the support plate. 제 3항에 있어서,The method of claim 3, wherein 상기 상부가열수단은 다수의 램프히터로 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.The upper heating means is a heat treatment apparatus of a semiconductor device, characterized in that formed by a plurality of lamp heaters. 제 3항에 있어서,The method of claim 3, wherein 상기 반도체 소자는 유기기판에 형성되는 비정질실리콘 박막, 유리기판에 형성된 다결정실리콘 박막, 반도체 소자가 형성되는 유리기판을 포함하는 반도체 소자 중 어느 하나인 것을 특징으로 하는 반도체 소자의 열처리 장치.The semiconductor device may be any one of semiconductor devices including an amorphous silicon thin film formed on an organic substrate, a polysilicon thin film formed on a glass substrate, and a glass substrate on which the semiconductor element is formed. 제 17항에 있어서,The method of claim 17, 상기 반도체 소자는 액정디스플레이 또는 유기발광 디스플레이 장치에 사용되는 박막트랜지스터인 것을 특징으로 하는 반도체 소자의 열처리 장치.And the semiconductor device is a thin film transistor used in a liquid crystal display or an organic light emitting display device. 제 3항에 있어서,The method of claim 3, wherein 상기 열처리는 비정질실리콘 박막의 고상결정화, 금속유도결정화, 금속유도측면결정화, 이온 주입된 다결정실리콘 박막의 활성화, 유리기판의 프리컴팩션 처리 중의 어느 하나인 것을 특징으로 하는 반도체 소자의 열처리 장치.Wherein the heat treatment is any one of solid phase crystallization, metal induction crystallization, metal induced side crystallization, activation of ion implanted polysilicon thin film, and precompaction treatment of a glass substrate. 제 3항에 있어서,The method of claim 3, wherein 상기 열처리는 400 ℃ 내지 1000 ℃ 사이의 온도에서 수행되는 것을 특징으로 하는 반도체 소자의 열처리 장치.The heat treatment apparatus of a semiconductor device, characterized in that the heat treatment is carried out at a temperature between 400 ℃ to 1000 ℃. 제 3항에 있어서,The method of claim 3, wherein 상기 지지판은 3mm 내지 10mm 두께의 석영으로 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.The support plate is a heat treatment apparatus of a semiconductor device, characterized in that formed of 3mm to 10mm thick quartz. 제 3항에 있어서,The method of claim 3, wherein 상기 지지판은 상기 반도체 소자보다 그 폭과 길이가 적어도 10mm 크게 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.And said supporting plate is formed at least 10 mm wider and longer than said semiconductor element. 지지판에 안착되어 이송되는 반도체 소자를 열처리하는 반도체 소자의 열처리 장치에 있어서,In the heat treatment apparatus of the semiconductor element for heat-treating the semiconductor element seated on the support plate, 상기 열처리되어 이송되는 상기 지지판과 반도체 소자를 소정 온도로 냉각시키는 배출부는 A discharge part for cooling the support plate and the semiconductor element to be transferred to the heat treatment to a predetermined temperature 상기 지지판이 안착되며 상기 지지판이 안착되는 소정 영역에 상하로 관통되어 가스가 분사되는 분사홀이 형성되는 냉각서스셉터와, 상기 냉각서스셉터의 일측에 형성되어 이송되는 상기 지지판과 반도체 소자에 소정 각도로 가스를 분사하는 가스분사노즐과, 상기 냉각서스셉터를 상하로 이송하는 냉각상하이송수단과, 상기 지지판을 수평으로 이송하는 냉각수평이송수단을 포함하는 것을 특징으로 하는 반도체 소자의 열처리 장치.The support plate is seated and the cooling susceptor is formed through the up and down in a predetermined area on which the support plate is seated to form a spray hole for gas injection, and the support plate and the semiconductor element formed and transported on one side of the cooling susceptor a predetermined angle And a gas ejection nozzle for injecting gas into the furnace, cooling vertical conveying means for conveying the cooling susceptor up and down, and cooling horizontal conveying means for conveying the support plate horizontally. 제 23항에 있어서,24. The method of claim 23, 상기 배출부는 상기 냉각서스셉터의 상부에 설치되어 상기 반도체 소자 및 지지판의 상부를 가열하는 상부가열수단을 더 포함하여 형성되는 것을 특징으로 하는 반도체 소자의 열처리 장치.And the discharge part further includes upper heating means installed on an upper portion of the cooling susceptor to heat the upper portion of the semiconductor element and the support plate.
KR1020050017005A 2004-05-12 2005-02-28 Apparatus for Heat Treatment of Semiconductor Thin Film KR101015596B1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020050017005A KR101015596B1 (en) 2005-02-28 2005-02-28 Apparatus for Heat Treatment of Semiconductor Thin Film
PCT/KR2005/001393 WO2005109486A1 (en) 2004-05-12 2005-05-12 System for heat treatment of semiconductor device
JP2007513068A JP4796056B2 (en) 2004-05-12 2005-05-12 Heat treatment system for semiconductor devices
TW094135594A TWI268556B (en) 2005-02-28 2005-10-12 System for heat treatment of semiconductor device
US11/598,447 US7989736B2 (en) 2004-05-12 2006-11-13 System for heat treatment of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050017005A KR101015596B1 (en) 2005-02-28 2005-02-28 Apparatus for Heat Treatment of Semiconductor Thin Film

Publications (2)

Publication Number Publication Date
KR20060095371A KR20060095371A (en) 2006-08-31
KR101015596B1 true KR101015596B1 (en) 2011-02-17

Family

ID=37625090

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050017005A KR101015596B1 (en) 2004-05-12 2005-02-28 Apparatus for Heat Treatment of Semiconductor Thin Film

Country Status (1)

Country Link
KR (1) KR101015596B1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101016045B1 (en) * 2008-03-10 2011-02-23 주식회사 테라세미콘 A warpage preventing method for glass substrate
KR101258629B1 (en) * 2011-05-27 2013-04-26 주식회사 테라세미콘 In-line type heat treatment apparatus and method for transporting substrate of the same
WO2012150798A2 (en) * 2011-05-03 2012-11-08 주식회사 테라세미콘 In-line heat treatment device
KR101243949B1 (en) * 2011-05-04 2013-03-13 주식회사 테라세미콘 In-line type heat treatment apparatus
KR101258982B1 (en) * 2011-05-27 2013-04-26 주식회사 테라세미콘 In-line type heat treatment apparatus

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000331986A (en) 1999-05-19 2000-11-30 Tokyo Electron Ltd Method for controlling pressure of cooling gas

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000331986A (en) 1999-05-19 2000-11-30 Tokyo Electron Ltd Method for controlling pressure of cooling gas

Also Published As

Publication number Publication date
KR20060095371A (en) 2006-08-31

Similar Documents

Publication Publication Date Title
KR101015597B1 (en) Apparatus for Heat Treatment of Semiconductor device
US7989736B2 (en) System for heat treatment of semiconductor device
JP6539568B2 (en) Heat treatment method and heat treatment apparatus
US6187616B1 (en) Method for fabricating semiconductor device and heat treatment apparatus
KR101015596B1 (en) Apparatus for Heat Treatment of Semiconductor Thin Film
US8355624B2 (en) Susceptor for heat treatment and heat treatment apparatus
JP4796056B2 (en) Heat treatment system for semiconductor devices
TW201903903A (en) Heat treatment method
KR101120029B1 (en) Batch Type Substrate Treatment Apparatus
KR101002661B1 (en) Inline thermal process equipment and wafer thermal processing method using the same
KR101015595B1 (en) Apparatus for Heat Treatment of Semiconductor Thin Film
KR101015594B1 (en) Heat Treatment Apparatus of Semiconductor Device
KR100829927B1 (en) Module for loading semiconductor device and system for heat treatment of semiconductor device using the same
KR101432754B1 (en) In-line type heat treatment apparatus
KR20120126268A (en) In-line type heat treatment apparatus
JP2007227773A (en) Heat treatment apparatus of substrate, and heat treatment method of substrate
KR101167989B1 (en) Appartus for processing a substrate
KR101372424B1 (en) Method of forming crystalline silicone thin film and Apparatus for the same method
KR101258615B1 (en) In-line type heat treatment apparatus
KR101168000B1 (en) Apparatus for manufacturing poly-crystalline silicon and method for the same
JPH1022290A (en) Method and device for producing semiconductor device
KR101445685B1 (en) In-line type heat treatment apparatus
KR101258620B1 (en) In-line type heat treatment apparatus
JP2004228459A (en) Method and device for thermally treating wafer and boat for thermal treatment
KR101243949B1 (en) In-line type heat treatment apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140210

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150211

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160211

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170213

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180213

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190212

Year of fee payment: 9