KR100945225B1 - Method for fabrication of semiconductor device - Google Patents

Method for fabrication of semiconductor device Download PDF

Info

Publication number
KR100945225B1
KR100945225B1 KR1020020084311A KR20020084311A KR100945225B1 KR 100945225 B1 KR100945225 B1 KR 100945225B1 KR 1020020084311 A KR1020020084311 A KR 1020020084311A KR 20020084311 A KR20020084311 A KR 20020084311A KR 100945225 B1 KR100945225 B1 KR 100945225B1
Authority
KR
South Korea
Prior art keywords
hard mask
forming
conductive film
insulating film
sacrificial
Prior art date
Application number
KR1020020084311A
Other languages
Korean (ko)
Other versions
KR20040057551A (en
Inventor
이성권
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020020084311A priority Critical patent/KR100945225B1/en
Publication of KR20040057551A publication Critical patent/KR20040057551A/en
Application granted granted Critical
Publication of KR100945225B1 publication Critical patent/KR100945225B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Abstract

본 발명은 고밀도 패턴영역과 저밀도 패턴영역의 단차 및 절연막의 식각속도에 기인한 전도막패턴 등 하부구조의 어택을 방지하며 콘택 개구부의 면적 감소를 최소화할 수 있는 반도체소자의 제조 방법을 제공하기 위한 것으로, 이를 위해 본 발명은, 기판 상에 그 상부에 희생 하드마스크와 하드마스크가 적층된 구조를 갖는 다수의 전도막패턴을 형성하는 단계; 상기 전도막패턴이 형성된 전체 구조 상부에 절연막을 형성하는 단계; 상기 하드마스크가 노출되는 식각 타겟으로 상기 절연막과 상기 희생하드마스크를 제거하여 상기 하드마스크와 상기 절연막을 평탄화시키는 단계; 셀오픈 마스크를 이용하여 상기 전도막패턴 사이의 절연막을 선택적으로 식각하여 콘택홀을 형성하는 단계; 상기 콘택홀이 형성된 전체구조 상부에 콘택 플러그용 전도막을 형성하는 단계; 및 상기 하드마스크가 노출되는 타겟으로 상기 콘택 플러그용 전도막을 제거하는 평탄화 공정을 실시하여 서로 분리가 이루어진 다수의 플러그를 형성하는 단계를 포함하는 반도체소자 제조 방법을 제공한다.
The present invention is to provide a method for manufacturing a semiconductor device that can prevent the attack of the underlying structure such as the conductive film pattern due to the step of the high density pattern region and the low density pattern region and the etching rate of the insulating film and to minimize the area reduction of the contact openings To this end, the present invention comprises the steps of forming a plurality of conductive film patterns having a structure in which a sacrificial hard mask and a hard mask are stacked on the substrate; Forming an insulating film on the entire structure of the conductive film pattern; Planarizing the hard mask and the insulating layer by removing the insulating layer and the sacrificial hard mask with an etching target to which the hard mask is exposed; Forming a contact hole by selectively etching the insulating film between the conductive film patterns using a cell open mask; Forming a conductive plug conductive film on the entire structure of the contact hole; And forming a plurality of plugs separated from each other by performing a planarization process of removing the contact plug conductive film as a target to which the hard mask is exposed.

토폴로지, SAC, 단차, 셀영역, 주변회로영역, 평탄화, 희생 하드마스크, 희생 절연막.Topology, SAC, step, cell area, peripheral circuit area, planarization, sacrificial hard mask, sacrificial insulating film.

Description

반도체소자 제조 방법{METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE} Semiconductor device manufacturing method {METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE}             

도 1a 내지 도 1c는 종래기술에 따른 반도체소자의 콘택 플러그 형성 공정을 도시한 단면도.1A to 1C are cross-sectional views illustrating a process of forming a contact plug of a semiconductor device according to the prior art.

도 2는 콘택 형성을 위한 SAC 식각 프로파일과 콘택 개구부를 도시한 단면 SEM 사진.FIG. 2 is a cross-sectional SEM photograph showing the SAC etch profile and contact openings for contact formation. FIG.

도 3a 내지 도 3d는 본 발명의 일실시예에 따른 반도체소자의 콘택 플러그 형성 공정을 도시한 단면도.3A to 3D are cross-sectional views illustrating a process of forming a contact plug of a semiconductor device according to an embodiment of the present invention.

도 4a와 도 4b는 본 발명의 다른 실시예에 따른 반도체소자의 콘택 플러그 형성 공정을 도시한 단면도.
4A and 4B are cross-sectional views illustrating a process of forming a contact plug of a semiconductor device according to another embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

30 : 기판 31 : 게이트절연막30 substrate 31 gate insulating film

32 : 게이트전극 33 : 하드마스크32: gate electrode 33: hard mask

35 : 식각정지막 36 : 절연막35 etch stop film 36 insulating film

37 : 셀콘택 오픈마스크 38 : 콘택홀
37: Cell contact open mask 38: Contact hole

본 발명은 반도체소자 제조 방법에 관한 것으로 특히, 고밀도의 패턴 영역 예컨대, 셀(Cell)영역과 저밀도의 패턴영역 예컨대, 주변회로영역(Periphery)간의 단차를 감소시킬 수 있으며, 콘택 개구부의 오픈 면적을 극대화할 수 있는 반도체소자의 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and in particular, it is possible to reduce the step difference between a high density pattern region, for example, a cell region and a low density pattern region, for example, a peripheral circuit region. It relates to a method of manufacturing a semiconductor device that can be maximized.

반도체소자의 집적도가 증가함에 따라 단위 소자의 수직 배열 구조가 사용되고 있으며, 이들 단위 소자간의 전기적 연결을 위해 플러그 형성기술이 채용되었는 바, 현재는 이러한 콘택 플러그 형성 기술이 반도체소자 공정 기술에 있어서 일반화되었다.As the degree of integration of semiconductor devices increases, a vertical arrangement of unit devices is used, and a plug forming technology has been adopted for electrical connection between these unit devices. Currently, such a contact plug forming technology has become popular in semiconductor device processing technology. .

이러한 콘택 플러그 형성시 플러그간의 격리(Isolation)를 위한 화학기계적연마(Chemical Mechanical Polishing; 이하 CMP라 함) 또는 전면식각 등의 평탄화 공정 또한 필요하다.In forming the contact plug, a planarization process such as chemical mechanical polishing (CMP) or full surface etching for isolation between the plugs is also required.

한편, 고밀도 패턴의 영역과 저밀도 패턴 영역 예컨대, 셀영역과 주변회로영역은 절연막간의 단차가 필연적으로 발생하는 바, 이러한 단차를 줄이기 위해서는 충분한 플로우(Flow) 공정이 필요하며, 플로우 공정은 고온 열공정을 동반한다.On the other hand, in the high density pattern region and the low density pattern region, for example, the cell region and the peripheral circuit region, a step is inevitably generated between the insulating layers. In order to reduce the step, a sufficient flow process is required, and the flow process is a high temperature thermal process. Accompany you.

그러나, 고온 열공정은 오히려 하부 소자 예컨대, 게이트전극과 소스/드레인 접합 등의 특성 저하 일예로, 문턱전압 감소에 따른 누설전류 증가가 발생하게 되어 적용하기 힘들며, 막평탄도와 갭-필 특성이 우수한 유동성절연막(Flowable dielectric)을 이용하고자 하는 노력이 진행 중에 있으나, 갭-필시 하부에서 미세기공(Micropore) 등이 발생하는 등 자체의 문제점이 있어 이 또한 공정에 적용하기 힘들다.However, the high temperature thermal process is an example of deterioration of characteristics of a lower device such as a gate electrode and a source / drain junction, and is difficult to apply due to an increase in leakage current due to a decrease in threshold voltage, and excellent fluidity and excellent film flatness and gap-fill characteristics. Efforts have been made to use an insulating film (Flowable dielectric), but there is a problem in itself, such as micropores occur at the bottom of the gap-fill, which is also difficult to apply to the process.

도 1a 내지 도 1c는 종래기술에 따른 반도체소자의 콘택 플러그 형성 공정을 도시한 단면도이다.1A to 1C are cross-sectional views illustrating a process of forming a contact plug of a semiconductor device according to the prior art.

도 1a는 셀영역 및 주변회로영역에 다수의 게이트전극 패턴이 형성된 상태를 나타내는 바, 공정 과정을 간략히 살펴 본다.FIG. 1A illustrates a state in which a plurality of gate electrode patterns are formed in a cell region and a peripheral circuit region.

셀영역과 주변회로영역으로 나뉘며 반도체소자를 이루기 위한 여러 요소가 형성된 기판(10) 상에 LOCOS(LOCal Oxidation Of Silicon) 또는 STI(Shallow Trench Isolation) 공정을 통해 필드산화막(도시하지 않음)을 형성하여 활성영역과 소자분리영역을 구분한다.A field oxide film (not shown) is formed on the substrate 10 formed of a cell region and a peripheral circuit region and formed with various elements for forming a semiconductor device through a LOCOS (LOCal Oxidation Of Silicon) or STI (Shallow Trench Isolation) process. Distinguish between active and device isolation areas.

활성영역에 이웃하는 다수의 전도막패턴 예컨대, 게이트전극 패턴을 형성하는 바, 산화막 계열의 게이트절연막(11)을 증착하고, 그 상부에 텅스텐 등의 금속막, 텅스텐 질화막 같은 금속 질화막, 텅스텐 실리사이드 등의 금속 실리사이드 또는 폴리실리콘 등을 단독 또는 조합하여 게이트전극(12) 물질을 증착한 다음, 질화막 계열의 하드마스크용 절연막을 증착한다. A plurality of conductive film patterns adjacent to the active region, for example, a gate electrode pattern, are formed to deposit an oxide-based gate insulating film 11, and a metal film such as tungsten, a metal nitride film such as tungsten nitride film, a tungsten silicide, and the like on top thereof. The metal silicide or polysilicon alone or in combination to deposit the gate electrode 12 material, and then a nitride film-based hard mask insulating film is deposited.

이어서, 게이트전극 패턴 형성용 포토레지스트 패턴(도시하지 않음)을 형성한 다음, 포토레지스트 패턴을 식각마스크로 하드마스크용 절연막과 게이트전극 물질 및 게이트산화막을 선택적으로 식각함으로써 게이트절연막(11)/게이트전극(12)/하드마스크(13)의 스택(Stack) 구조를 이루는 게이트전극 패턴을 형성한다. Subsequently, a photoresist pattern (not shown) for forming a gate electrode pattern is formed, and then the gate insulating film 11 / gate is selectively etched by using the photoresist pattern as an etch mask to selectively etch the insulating film for the hard mask, the gate electrode material and the gate oxide film. A gate electrode pattern forming a stack structure of the electrode 12 / hard mask 13 is formed.                         

이어서, 게이트전극 패턴이 형성된 전체 프로파일을 따라 질화막 계열의 스페이서용 절연막(14)을 얇게 증착한다. 여기서, 스페이서용 절연막으로 질화막 계열의 물질을 사용하는 이유는 후속 플러그 형성을 위한 자기정렬콘택(Self Align Contact; 이하 SAC라 함) 식각 공정시 산화막과의 식각선택비를 얻을 수 있고, 또한 게이트전극 패턴의 식각 손실을 방지하기 위한 것이다.Subsequently, a nitride insulating film-based spacer insulating film 14 is thinly deposited along the entire profile where the gate electrode pattern is formed. The reason why the nitride-based material is used as the insulating film for the spacer is that the etching selectivity with the oxide film during the self alignment contact (hereinafter referred to as SAC) etching process for the subsequent plug formation can be obtained, and the gate electrode This is to prevent the loss of etching of the pattern.

계속해서, 게이트전극 패턴 및 기판 상부를 충분히 덮으며 층간절연을 위한 목적으로 절연막(15)을 형성한다. 절연막(15)으로는 통상 BPSG(BoroPhosphoSilicate Glass)막이 사용된다. 한편, 전술한 바와 같이 셀영역과 주변회로영역간의 패턴 밀도 차에 의해 주변회로영역이 셀영역에 비해 그 수직 높이가 낮아 두 영역 간의 도시된 'X'와 같은 단차가 발생한다.Subsequently, the insulating film 15 is formed to cover the gate electrode pattern and the upper part of the substrate sufficiently for the purpose of interlayer insulation. As the insulating film 15, a BPSG (BoroPhosphoSilicate Glass) film is usually used. On the other hand, as described above, the peripheral circuit region has a lower vertical height than the cell region due to the pattern density difference between the cell region and the peripheral circuit region, resulting in a step such as 'X' shown between the two regions.

다음으로, 게이트전극 패턴 사이의 기판(10) 구체적으로, 기판(10) 내의 소스/드레인 접합(도시하지 않음)과 후속 공정에 의해 상부에 형성될 소자간의 전기적 연결을 위한 콘택 플러그 또는 콘택 패드 형성을 위해 셀콘택 오픈마스크(도시하지 않음)를 형성한 다음, 셀콘택 오픈마스트를 식각마스크로 절연막을 선택적으로 식각하여 게이트전극 패턴 사이의 기판(10) 표면을 오픈시키는 콘택홀(도시하지 않음)을 형성한 다음, 오픈된 기판(10) 표면에 콘택되며 콘택홀을 충분히 매립하도록 불순물이 도핑된 폴리실리콘 등의 전도성 물질을 증착하여 플러그(16)를 형성하는 바, 도 1b는 이러한 공정 단면을 도시한다.Next, a contact plug or contact pad is formed for the electrical connection between the substrate 10 between the gate electrode patterns, specifically, a source / drain junction (not shown) in the substrate 10 and a device to be formed thereon by a subsequent process. A contact hole (not shown) for forming a cell contact open mask (not shown), and then selectively etching the insulating layer with the cell contact open mask as an etch mask to open the surface of the substrate 10 between the gate electrode patterns. After forming a plug, a plug 16 is formed by depositing a conductive material such as polysilicon, which is contacted to the surface of the open substrate 10 and doped with impurities to sufficiently fill the contact hole. Illustrated.

다음으로, 플러그(16)간의 격리를 위해 전면식각 또는 CMP 공정을 실시하여 플러그(16)와 절연막(15)을 평탄화시킨다. Next, the surface of the plug 16 and the insulating film 15 are planarized by performing an entire surface etching or CMP process for isolation between the plugs 16.                         

이 때, 하드마스크(13)와 평탄화시키거나 그 보다 높은 절연막(15)의 일부와 평탄화시킬 수 있다.At this time, the planarization with the hard mask 13 and a portion of the insulating film 15 higher than the planarization may be performed.

한편, 전술한 절연막(15)으로는 BPSG막을 주로 이용하는 바, BPSG막은 플러그 물질인 폴리실리콘에 비해 CMP 공정 단계에서 그 제거되는 속도(Removal rate)가 빨라 이를 제어하는 것이 힘들며, 주변회로영역과 셀영역의 단차(X)에 의해 셀영역에 맞추어 플러그(16)간의 격리를 위해 하드마스크(13) 표면이 노출될 때까지 CMP 등의 평탄화 공정을 실시할 경우 도시된 'A'와 같이 주변영역의 게이트전극 패턴에 어택이 발생하는 바, 도 1c에서는 하드마스크(13)가 손실된 단면이 도시되어 있다.Meanwhile, since the BPSG film is mainly used as the insulating film 15, the BPSG film has a higher removal rate in the CMP process step than the polysilicon, which is a plug material, and thus, it is difficult to control the insulating film 15. When the planarization process such as CMP is performed until the surface of the hard mask 13 is exposed for the isolation between the plugs 16 in accordance with the stepped area X of the area, the area of the peripheral area as shown in 'A' is shown. An attack occurs in the gate electrode pattern. In FIG. 1C, a cross section in which the hard mask 13 is lost is illustrated.

이렇듯 BPSG 계열의 절연막의 폴리실리콘보다 빠른 제거되는 속도와 셀영역과 주변영역의 단차에 의한 게이트전극 패턴 등의 어택 방지를 고려한 공정기술의 개발이 필요한 실정이다.As such, it is necessary to develop a process technology in consideration of a faster removal rate than polysilicon of a BPSG-based insulating film and prevention of attack such as a gate electrode pattern due to a step between a cell region and a peripheral region.

한편, 예컨대 셀영역과 주변회로영역 간의 단차로 인한 주변회로영역에서의 하드마스크의 손실을 방지하기 위해 셀 오픈마스크를 사용하여 셀영역에서만 오픈부를 형성하는 방법이 강구되고 있다.On the other hand, in order to prevent the loss of the hard mask in the peripheral circuit region due to the step between the cell region and the peripheral circuit region, for example, a method of forming an open portion only in the cell region using a cell open mask has been devised.

도 2는 콘택 형성을 위한 SAC 식각 프로파일과 콘택 개구부를 도시한 단면 SEM 사진이다.2 is a cross-sectional SEM photograph showing the SAC etching profile and contact openings for forming a contact.

도 2의 (a)를 참조하면, 셀영역에서 SAC 공정시 집적도가 증가함에 따라 식각 타겟이 되는 층간절연막의 두께는 점차 증가하고 있어, 이로인해 SAC 식각시 식각 단면의 각도가 85°미만의 각도를 갖게 된다(20). Referring to (a) of FIG. 2, as the degree of integration increases in the SAC process in the cell region, the thickness of the interlayer insulating layer serving as an etch target is gradually increased. As a result, the angle of the etch cross section during the SAC etching is less than 85 °. (20).                         

따라서, 도 2의 (b)에서와 같이 콘택 개구부의 상단에서의 예정된 콘택의 폭은 도면부호 '21'과 같이 넓었으나, 콘택 개구부 하부에서는 도면부호 '22'와 같이 상단히 좁아짐을 확인할 수 있가.Therefore, as shown in (b) of FIG. 2, the predetermined contact width at the upper end of the contact opening is wide as shown by reference numeral '21', but it can be seen that the upper part of the contact opening becomes narrow as shown by reference numeral '22'. .

이러한 콘택 개구부 하단에서의 콘택 면적의 축소는 콘택 저항을 증가시키는 문제점으로 작용한다.
The reduction of the contact area at the bottom of the contact opening serves to increase the contact resistance.

본 발명은 상기한 종래기술의 문제점을 해결하기 위해 제안된 것으로서, 고밀도 패턴영역과 저밀도 패턴영역의 단차 및 절연막의 식각속도에 기인한 전도막패턴 등 하부구조의 어택을 방지하며 콘택 개구부의 면적 감소를 최소화할 수 있는 반도체소자의 제조 방법을 제공하는데 그 목적이 있다.
The present invention has been proposed to solve the above problems of the prior art, and prevents attack of substructures such as conductive film patterns due to the step difference between the high density pattern region and the low density pattern region and the etching rate of the insulating layer, and reduces the area of the contact opening. It is an object of the present invention to provide a method for manufacturing a semiconductor device capable of minimizing the number.

상기의 목적을 달성하기 위해 본 발명은, 기판 상에 그 상부에 희생 하드마스크와 하드마스크가 적층된 구조를 갖는 다수의 전도막패턴을 형성하는 단계; 상기 전도막패턴이 형성된 전체 구조 상부에 절연막을 형성하는 단계; 상기 하드마스크가 노출되는 식각 타겟으로 상기 절연막과 상기 희생하드마스크를 제거하여 상기 하드마스크와 상기 절연막을 평탄화시키는 단계; 셀오픈 마스크를 이용하여 상기 전도막패턴 사이의 절연막을 선택적으로 식각하여 콘택홀을 형성하는 단계; 상기 콘택홀이 형성된 전체구조 상부에 콘택 플러그용 전도막을 형성하는 단계; 및 상기 하드마스크가 노출되는 타겟으로 상기 콘택 플러그용 전도막을 제거하는 평탄화 공정을 실시하여 서로 분리가 이루어진 다수의 플러그를 형성하는 단계를 포함하는 반도체소자 제조 방법을 제공한다.
In order to achieve the above object, the present invention, forming a plurality of conductive film pattern having a structure in which a sacrificial hard mask and a hard mask stacked on the substrate; Forming an insulating film on the entire structure of the conductive film pattern; Planarizing the hard mask and the insulating layer by removing the insulating layer and the sacrificial hard mask with an etching target to which the hard mask is exposed; Forming a contact hole by selectively etching the insulating film between the conductive film patterns using a cell open mask; Forming a conductive plug conductive film on the entire structure of the contact hole; And forming a plurality of plugs separated from each other by performing a planarization process of removing the contact plug conductive film as a target to which the hard mask is exposed.

본 발명은 게이트전극 등의 전도막패턴 상부에 사용되는 통상의 질화막 계열의 하드마스크과 금속 계열의 희생 하드마스크를 사용하는 이중 하드마스크 구조를 적용한다. 이어서, 증간절연막을 증착하고 셀영역과 주변회로영역간의 광역 평탄화 동정을 실시할 때, 셀영역의 하드마스크 상단부에서 식각 멈춤을 하더라도 희생 하드마스크가 스토퍼(Stopper)로 작용하여 게이트전극의 손상없이 효화적인 평탄화가 가능하고 동시에 식각할 층간절연막의 두께가 종래에 비해 크게 감소됨으로써, 플러그 형성을 위한 SAC 식각시 콘택 개구부의 임계치수(Critical Dimension; 이하 CD라 함)의 극대화를 이룰 수 있다.
The present invention applies a double hard mask structure using a conventional nitride film-based hard mask and a metal-based sacrificial hard mask used on conductive film patterns such as gate electrodes. Subsequently, when depositing an interlayer insulating film and performing wide-area planarization identification between the cell region and the peripheral circuit region, even if the etching stops at the upper end of the hard mask of the cell region, the sacrificial hard mask acts as a stopper to effect the damage without damaging the gate electrode. Since the planarization is possible and the thickness of the interlayer insulating layer to be etched is greatly reduced as compared with the related art, it is possible to maximize the critical dimension (hereinafter referred to as CD) of the contact opening during SAC etching for forming the plug.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 상세하게 설명한다.DETAILED DESCRIPTION Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. do.

도 3a 내지 도 3d는 본 발명의 일실시예에 따른 반도체소자의 콘택 플러그 형성 공정을 도시한 단면도이다.3A to 3D are cross-sectional views illustrating a process of forming a contact plug of a semiconductor device according to an embodiment of the present invention.

도 3a는 셀영역 및 주변회로영역에 다수의 전도막패턴 예컨대, 게이트전극 패턴이 형성된 상태를 나타내는 바, 공정 과정을 간략히 살펴 본다. 한편, 본 발명 의 일실시예에서는 게이트전극 패턴을 예로 들었으나, 비트라인일 경우 즉, 비트라인에 얼라인 되어 형성되는 캐패시터 콘택 플러그 형성 공정에도 적용이 가능하다.3A illustrates a state in which a plurality of conductive film patterns, for example, gate electrode patterns, are formed in a cell region and a peripheral circuit region. Meanwhile, in one embodiment of the present invention, the gate electrode pattern is taken as an example. However, the gate electrode pattern may be applied to a process of forming a capacitor contact plug formed by being aligned with the bit line.

셀영역과 주변회로영역으로 나뉘며 반도체소자를 이루기 위한 여러 요소가 형성된 기판(30) 상에 LOCOS 또는 STI 공정을 통해 필드산화막(도시하지 않음)을 형성하여 활성영역과 소자분리영역을 구분한다.A field oxide film (not shown) is formed on a substrate 30 having a plurality of elements for forming a semiconductor device and divided into a cell region and a peripheral circuit region through a LOCOS or STI process to distinguish an active region and a device isolation region.

활성영역에 이웃하는 다수의 전도막패턴 예컨대, 게이트전극 패턴을 형성하는 바, 산화막 게열의 게이트절연막(31)을 증착하고, 그 상부에 텅스텐 등의 금속막, 텅스텐 질화막 같은 금속 질화막, 텅스텐 실리사이드 등의 금속 실리사이드 또는 폴리실리콘 등을 단독 또는 조합하여 게이트전극(32) 물질을 증착한 다음, 질화막 계열의 하드마스크용 절연막을 증착한다. A plurality of conductive film patterns adjacent to the active region, for example, a gate electrode pattern, are formed to deposit a gate insulating film 31 of an oxide film array, and a metal film such as tungsten, a metal nitride film such as tungsten nitride film, a tungsten silicide, and the like. The metal silicide or polysilicon alone or in combination to deposit the gate electrode 32 material, and then to deposit a nitride film-based hard mask insulating film.

계속해서, 글로벌 단차에 기인한 패턴 밀도의 차이에 따른 셀영역과 주변회로영역에서의 식각 특성 차이로 인해 저밀도 패턴 영역에서 발생하는 과도 식각 방지를 위해 희생 하드마스크용 금속막을 증착한다.Subsequently, a metal film for sacrificial hard mask is deposited to prevent excessive etching occurring in the low density pattern region due to the difference in etching characteristics in the cell region and the peripheral circuit region due to the difference in pattern density due to the global step.

이어서, 게이트전극 패턴 형성용 포토레지스트 패턴(도시하지 않음)을 형성한 다음, 게이트전극 패턴을 식각마스크로 희생 하드마스크용 금속막과 하드마스크용 절연막과 게이트전극 물질 및 게이트절연막을 선택적으로 식각함으로써 게이트절연막(31)/게이트전극(32)/하드마스크(33)/희생 하드마스크(34)의 스택 구조를 이루는 게이트전극 패턴을 형성한다. 여기서, 하드마스크(33)의 두께를 셀영역과 주변회로영역에서 동일한 두께로 나타내었으나, 실제 공정에서는 셀영역에서만 SAC 공정이 이루어지므로 주변회로영역에서 500Å 정도 더 낮게 하는 것이 일반적이다. Subsequently, a photoresist pattern (not shown) for forming a gate electrode pattern is formed, and then, by selectively etching the sacrificial hard mask metal film, the hard mask insulating film, the gate electrode material, and the gate insulating film using the gate electrode pattern as an etching mask. A gate electrode pattern forming a stack structure of the gate insulating film 31 / gate electrode 32 / hard mask 33 / sacrificial hard mask 34 is formed. Here, although the thickness of the hard mask 33 is represented by the same thickness in the cell region and the peripheral circuit region, in the actual process, since the SAC process is performed only in the cell region, it is generally lowered to about 500 μs in the peripheral circuit region.                     

하드마스크용 절연막은 실리콘질화막 또는 실리콘산화질화막 등의 질화막 계열을 사용하며, 희생 하드마스크용 금속막은 텅스텐, 텅스텐 실리사이드, 알루미늄(Al), 크롬(Cr) 또는 몰리브덴(Mo)을 사용하는 것이 바람직하다.The insulating film for a hard mask uses a nitride film series such as a silicon nitride film or a silicon oxynitride film, and the metal film for a sacrificial hard mask preferably uses tungsten, tungsten silicide, aluminum (Al), chromium (Cr), or molybdenum (Mo). .

이어서, 게이트전극 패턴이 형성된 전체 프로파일을 따라 질화막 계열의 식각정지막(35)을 얇게 증착한다. 여기서, 식각정지막(35)으로 질화막 계열의 물질을 사용하는 이유는 후속 플러그 형성을 위한 SAC 식각 공정시 산화막과의 식각선택비를 얻을 수 있고, 또한 게이트전극 패턴의 식각 손실을 방지하기 위한 것이다.Subsequently, the nitride stop layer 35 is deposited thinly along the entire profile of the gate electrode pattern. Here, the reason why the nitride-based material is used as the etch stop layer 35 is to obtain an etch selectivity with the oxide layer during the SAC etching process for the subsequent plug formation, and to prevent the etch loss of the gate electrode pattern. .

계속해서, 게이트전극 패턴 및 기판(30) 상부를 충분히 덮으며 층간절연을 위해 BPSG막 등의 산화막 계열의 절연막(36)을 형성한다. 한편, 전술한 바와 같이 셀영역과 주변회로영역간의 패턴 밀도 차에 의해 주변회로영역이 셀영역에 비해 그 수직 높이가 낮아 두 영역 간의 도시된 'X'와 같은 단차가 발생한다.Subsequently, an oxide-based insulating film 36 such as a BPSG film is formed to sufficiently cover the gate electrode pattern and the upper portion of the substrate 30, and for interlayer insulation. On the other hand, as described above, the peripheral circuit region has a lower vertical height than the cell region due to the pattern density difference between the cell region and the peripheral circuit region, resulting in a step such as 'X' shown between the two regions.

여기서는 셀영역과 주변회로영역을 단차가 발생하는 일예로 하였으나, 실제로는 고밀도로 집적된 패턴 영역과 상대적으로 저밀도로 집적된 패턴 영역에서 발생하는 단차로 볼 수 있으며, 절연막(36)은 전술한 BPSG막 이외에 PSG(Phospho Silicate Glass)막 또는 BSG(Boro Silicate Glass)막 등을 그 예로 들 수 있으며, 이들은 통상적으로 증착 후 소정의 온도에서 열처리하여 플로우시키는 공정이 수반된다.Here, the cell region and the peripheral circuit region are one example in which a step is generated, but in reality, it can be regarded as a step occurring in a pattern region integrated at a high density and a pattern region accumulated at a relatively low density, and the insulating film 36 is a BPSG as described above. In addition to the film, for example, a PSG (Phospho Silicate Glass) film or a BSG (Boro Silicate Glass) film, and the like may be exemplified.

다음으로, 하드마스크(33)가 노출된 타겟으로 절연막(36)과 식각정지막(35) 및 희생 하드마스크(34)을 전면식각 또는 CMP 공정을 통해 제거하여 하드마스크(33)와 절연막(36)이 평탄화된 도 3b와 같은 단면 형상을 얻는다. Next, the insulating layer 36, the etch stop layer 35, and the sacrificial hard mask 34 are removed by the entire surface etching or the CMP process as a target to which the hard mask 33 is exposed, thereby removing the hard mask 33 and the insulating layer 36. ) Is flattened to obtain a cross-sectional shape as shown in FIG. 3B.                     

이 때, 셀영영역과 주변회로영역 간에는 본 발명의 일실시예인 도 3b에서는 셀영역에서의 희생 하드마스크(34)가 거의 제거될 수 있는 조건으로 전면식각 또는 CMP 공정을 실시하여 셀영역에서는 하드마스크(33)가 노출되도록 한다. 이 때, 토폴로지가 낮은 주변회로영역에서는 절연막(36)의 두께가 셀영역에 비해 낮아 식각 속도가 빠르나, 희생 하드마스크(33)에서 일단 식각 멈춤이 일어난다.In this case, in FIG. 3B, the sacrificial hard mask 34 in the cell region can be almost removed between the cell zero region and the peripheral circuit region. Let (33) be exposed. At this time, the thickness of the insulating layer 36 is lower than that of the cell region in the peripheral circuit region having a low topology, but the etching speed is high, but the etching stop occurs once in the sacrificial hard mask 33.

희생 하드마스크(33)의 식각속도가 절연막(36)의 식각속도에 비해 현저하게 떨어지므로 셀영역에서의 절연막(36)이 제거될 때까지 주변회로영역에서의 희생 하드마스크(34)의 손실은 거의 발생하지 않는다.Since the etching rate of the sacrificial hard mask 33 is significantly lower than the etching rate of the insulating film 36, the loss of the sacrificial hard mask 34 in the peripheral circuit region until the insulating film 36 is removed in the cell region is reduced. Rarely occurs.

따라서, 도 3b에 도시된 바와 같이 셀영역과 주변회로영역에서 절연막(36)이 하드마스크(33)와 실질적으로 평탄화된 형상을 얻을 수 있다.Accordingly, as shown in FIG. 3B, the insulating layer 36 may be substantially planarized with the hard mask 33 in the cell region and the peripheral circuit region.

게이트전극 패턴 사이의 기판(30) 구체적으로, 기판(30) 내의 활성영역과 후속 공정에 의해 상부에 형성될 소자간의 전기적 연결을 위한 콘택 플러그 형성을 위해 셀콘택 오픈마스크(37)를 형성한 다음, 셀콘택 오픈마스크(37)를 식각마스크로 절연막(36)과 식각정지막(35)을 선택적으로 식각하여 게이트전극 패턴 사이의 기판(30) 표면을 오픈시키는 콘택홀(38)을 형성한다. 도 3c는 콘택홀(38)이 형성된 단면을 나타낸다.Specifically, the cell contact open mask 37 is formed to form a contact plug for electrical connection between the active region in the substrate 30 and the device to be formed thereon by a subsequent process. In addition, the insulating layer 36 and the etch stop layer 35 are selectively etched using the cell contact open mask 37 as an etch mask to form a contact hole 38 for opening the surface of the substrate 30 between the gate electrode patterns. 3C shows a cross section in which the contact hole 38 is formed.

전술한 절연막(36)과 식각정지막(35) 식각시에는 통상의 SAC 공정시 사용하는 불소계플라즈마 예컨대, C2F4, C2F6, C3F8 , C4F6, C5F8 또는 C5F10 등의 CxFy(x,y는 1 ∼ 10)를 주식각가스로 하며, 여기에 SAC 공정시 폴리머를 발생시키기 위한 가스 즉, CH2F2, C3HF5 또는 CHF3 등의 가스를 첨가하며, 이 때 캐리어 가스로 He, Ne, Ar 또는 Xe 등의 비활성 가스를 사용한다.When etching the insulating film 36 and the etch stop film 35, the fluorine-based plasma used in a normal SAC process, for example, C 2 F 4 , C 2 F 6 , C 3 F 8 , C 4 F 6 , C 5 F CxFy (x, y is 1 to 10), such as 8 or C 5 F 10 , is used as a stock angle gas, and a gas for generating a polymer in the SAC process, that is, CH 2 F 2 , C 3 HF 5 or CHF 3 In addition, inert gas, such as He, Ne, Ar, or Xe, is used as a carrier gas.

한편, 본 발명의 일실시예에서는 하드마스크(33) 상부에 절연막(36)이 거의 존재하지 않아 SAC 식각 공정시 종래에 비해 식각 타겟이 상당히 줄어 들었음을 알 수 있다.Meanwhile, in the exemplary embodiment of the present invention, since the insulating film 36 is hardly present on the hard mask 33, it can be seen that the etching target is considerably reduced in comparison with the conventional SAC etching process.

따라서, 콘택홀(38)이 형성된 식각 프로파일에서 식각된 단면 각도가 거의 90°를 유지할 수 있다. 이로 인해 콘택홀(38) 저면에서의 CD(W1)와 콘택홀(38) 상단에서의 CD(W2)가 별 차이를 갖지 않게 된다.Accordingly, the cross-sectional angle etched in the etching profile in which the contact hole 38 is formed may be maintained at about 90 °. As a result, the CD W1 at the bottom of the contact hole 38 and the CD W2 at the upper end of the contact hole 38 do not have much difference.

여기서, 셀콘택 오픈마스크는 홀타입(Hole type), 바타입(Bar type) 또는 티타입(T Type) 등의 형태를 사용할 수 있다.Here, the cell contact open mask may use a hole type, a bar type, a tee type, or the like.

계속해서, 폴리실리콘 또는 텅스텐(W) 등의 전도성 물질을 증착하거나, 선택적 에피택셜성장(Selective Epitaxial Growrh; 이하 SEG라 함)을 이용하여 오픈되어 노출된 기판(30) 표면에 콘택되며 콘택홀(39)을 충분히 매립하도록 한다.Subsequently, a conductive material such as polysilicon or tungsten (W) is deposited or contacted to the exposed surface of the substrate 30 by using selective epitaxial growth (hereinafter referred to as SEG) and contact holes ( Make sure that 39) is fully buried.

계속해서, 전면식각, CMP 또는 이 둘을 모두 사용하여 하드마스크(33)가 노출되는 식각 타겟으로 플러그 물질을 제거하여 도 3d에 도시된 바와 같이 이웃하는 플러그(39)와 격리된 다수의 플러그(39)를 형성한다.Subsequently, the plug material is removed with an etch target to which the hard mask 33 is exposed by using front etching, CMP, or both, so that a plurality of plugs isolated from neighboring plug 39 as shown in FIG. 39).

도 4a와 도 4b는 본 발명의 다른 실시예에 따른 반도체소자의 콘택 플러그 형성 공정을 도시한 단면도이다.4A and 4B are cross-sectional views illustrating a process of forming a contact plug of a semiconductor device according to another embodiment of the present invention.

한편, 전술한 일실시예와 동일한 구성 요소에 대해서는 동일한 도면부호를 사용하며, 동일한 공정 단계에 대해서는 그 설명을 생략한다.In addition, the same reference numerals are used for the same components as in the above-described embodiment, and the description thereof will be omitted for the same process steps.

도 3a와 같이 셀영역과 주변회로영역 간에 도시된 'X'와 같은 단차를 갖는 일련의 공정을 실시한 다음, 평탄화 공정을 통해 도 3b와 같이 하드마스크(33)와 절연막(36)이 평탄화되도록 한다.As shown in FIG. 3A, a series of processes having a step such as 'X' illustrated between the cell region and the peripheral circuit region are performed, and then the hard mask 33 and the insulating layer 36 are planarized as shown in FIG. 3B through a planarization process. .

이어서, 도 4a에 도시된 바와 같이 하드마스크(33)와 절연막(36)이 평탄화된 전면에 질화막 계열의 희생 절연막(40)을 일정 두께로 증착한다.Subsequently, as illustrated in FIG. 4A, a nitride film-based sacrificial insulating film 40 is deposited on the entire surface where the hard mask 33 and the insulating film 36 are planarized.

여기서, 희생 절연막을 사용하는 이유는 일실시예에서 셀콘택 마스크를 형성하는 과정에서 만일 오정렬이 발생할 경우 SAC 식각시 하드마스크(33)이 상부에서 손상이 발생할 수 있으므로, 오정렬로 인한 SAC 식각 공정에서 하드마스크(33)의 손실을 방지하기 위한 것이다.Here, the reason for using the sacrificial insulating layer is that in the embodiment of forming a cell contact mask, if misalignment occurs, the hard mask 33 may be damaged at the top during SAC etching, and thus, in the SAC etching process due to misalignment. This is to prevent the loss of the hard mask 33.

이어서, 게이트전극 패턴 사이의 기판(30) 구체적으로, 기판(30) 내의 활성영역과 후속 공정에 의해 상부에 형성될 소자간의 전기적 연결을 위한 콘택 플러그 형성을 위해 셀콘택 오픈마스크(37)를 형성한 다음, 셀콘택 오픈마스트(37)를 식각마스크로 희생 절연막(40)과 절연막(36) 및 식각정지막(35)을 선택적으로 식각하여 게이트전극 패턴 사이의 기판(30) 표면을 오픈시키는 콘택홀(38)을 형성한다. 도 3c는 콘택홀(38)이 형성된 단면을 나타낸다.Subsequently, the cell contact open mask 37 is formed to form a contact plug for electrically connecting the substrate 30 between the gate electrode patterns, specifically, the active region in the substrate 30 and the device to be formed thereon by a subsequent process. Next, a contact for opening the surface of the substrate 30 between the gate electrode patterns by selectively etching the sacrificial insulating film 40, the insulating film 36, and the etch stop film 35 using the cell contact open mast 37 as an etch mask. The hole 38 is formed. 3C shows a cross section in which the contact hole 38 is formed.

한편, 본 발명의 다른 실시예에서도 하드마스크(33) 상부에 절연막(36)이 거의 존재하지 않아 SAC 식각 공정시 종래에 비해 식각 타겟이 상당히 줄어 들었음을 알 수 있다.Meanwhile, in another embodiment of the present invention, since the insulating film 36 is hardly present on the hard mask 33, it can be seen that the etching target is considerably reduced in comparison with the conventional SAC etching process.

따라서, 콘택홀(38)이 형성된 식각 프로파일에서 식각된 단면 각도가 거의 90°를 유지할 수 있다. 이로 인해 콘택홀(38) 저면에서의 CD(W1)와 콘택홀(38) 상단에서의 CD(W2)가 별 차이를 갖지 않게 된다. Accordingly, the cross-sectional angle etched in the etching profile in which the contact hole 38 is formed may be maintained at about 90 °. As a result, the CD W1 at the bottom of the contact hole 38 and the CD W2 at the upper end of the contact hole 38 do not have much difference.

계속해서, 폴리실리콘 또는 텅스텐(W) 등의 전도성 물질을 증착하거나, SEG 방식을 이용하여 오픈되어 노출된 기판(30) 표면에 콘택되며 콘택홀(39)을 충분히 매립한 후, 전면식각, CMP 또는 이 둘을 모두 사용하여 하드마스크(33)가 노출되는 식각 타겟으로 플러그 물질과 희생 절연막(40)을 제거하여 도 3d에 도시된 바와 같이 이웃하는 플러그(39)와 격리된 다수의 플러그(39)를 형성한다.
Subsequently, a conductive material such as polysilicon or tungsten (W) is deposited or contacted to the exposed surface of the substrate 30 by using the SEG method, and the contact holes 39 are sufficiently buried, and then the front etching, CMP Alternatively, both of the plurality of plugs 39 isolated from the neighboring plug 39 as shown in FIG. 3D by removing the plug material and the sacrificial insulating layer 40 with the etching target to which the hard mask 33 is exposed using both of them. ).

전술한 바와 같이 이루어지는 본 발명은, 고밀도로 집적된 패턴 영역과 저밀도로 집적된 패턴 영역간의 단차를 극복하기 위해 금속의 희생 하드마스크를 이용하여 두 영역간의 단차에 따른 평탄화시의 저밀도 패턴 영역에서의 과도 식각을 방지할 수 있다. 따라서, 저밀도로 집적된 패턴 영역에서의 하부의 어택을 방지할 수 있다. According to the present invention made as described above, in order to overcome the step between the densely integrated pattern region and the low density integrated pattern region, a low-density pattern region at the time of planarization according to the step difference between the two regions using a sacrificial hard mask of metal is used. Over-etching can be prevented. Therefore, the attack of the lower part in the pattern area integrated at low density can be prevented.

아울러, SAC 식각 공정에서 식각 타겟인 절연막(통상 층간절연막)의 두께를 상당히 줄일 수 있어, 식각 타겟 증가로 인한 SAC 식각의 단면 실각 프로파일 각도를 거의 90° 가까이 가져갈 수 있게 한다. 이로 인해 콘택 개구부의 CD를 최대한 확보할 수 있어, 콘택 저항을 최소화할 수 있음을 실시예를 통해 알아 보았다.
In addition, in the SAC etching process, the thickness of an insulating film (usually an interlayer insulating film), which is an etch target, can be significantly reduced, so that the cross-sectional angle profile angle of the SAC etching due to the increase of the etching target can be brought close to 90 °. As a result, the CD of the contact opening can be secured to the maximum and the contact resistance can be minimized.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여 야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.
Although the technical spirit of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

상술한 바와 같은 본 발명은, 콘택 플러그 형성시 패턴 밀도에 따른 단차에 의한 저밀도 패턴 영역의 하부 어택을 방지할 수 있으며 콘택 저항을 최소화할 수 있어, 궁극적으로 반도체소자의 공정마진 및 수율을 향상시킬 수 있는 탁월한 효과를 기대할 수 있다.As described above, the present invention can prevent the lower attack of the low density pattern region due to the step according to the pattern density when forming the contact plug, minimize the contact resistance, and ultimately improve the process margin and yield of the semiconductor device. You can expect an excellent effect.

Claims (7)

셀영역과 주변회로영역을 구비하는 기판 상에 전도막, 하드마스크 및 금속물질로 이루어진 희생 하드마스크가 순차적으로 적층된 구조를 갖는 복수의 전도막패턴을 형성하는 단계;Forming a plurality of conductive film patterns having a structure in which a sacrificial hard mask made of a conductive film, a hard mask, and a metal material is sequentially stacked on a substrate having a cell area and a peripheral circuit area; 상기 기판 전면에 상기 전도막패턴을 덮는 절연막을 형성하는 단계;Forming an insulating film covering the conductive film pattern on the entire surface of the substrate; 상기 하드마스크가 노출되는 타겟으로 상기 절연막의 일부 및 상기 희생 하드마스크를 제거하는 평탄화공정을 실시하는 단계;Performing a planarization process of removing a portion of the insulating layer and the sacrificial hard mask to a target to which the hard mask is exposed; 상기 기판 전면에 희생 절연막을 증착하는 단계;Depositing a sacrificial insulating film over the substrate; 셀콘택 오픈마스크를 이용하여 상기 희생 절연막 및 상기 전도막패턴 사이의 절연막을 선택적으로 식각하여 콘택홀을 형성하는 단계;Forming a contact hole by selectively etching the insulating film between the sacrificial insulating film and the conductive film pattern using a cell contact open mask; 상기 콘택홀이 형성된 전체 구조 상부에 콘택플러그용 전도막을 형성하는 단계; 및Forming a contact plug conductive film on the entire structure of the contact hole; And 상기 하드마스크가 노출되는 타겟으로 상기 콘택플러그용 전도막을 제거하는 평탄화공정을 실시하여 플러그를 형성하는 단계Forming a plug by performing a planarization process of removing the contact plug conductive film to a target to which the hard mask is exposed; 를 포함하는 반도체소자 제조 방법.Semiconductor device manufacturing method comprising a. 제 1 항에 있어서,The method of claim 1, 상기 희생 하드마스크는, The sacrificial hard mask, 텅스텐, 텅스텐 실리사이드, 알루미늄, 크롬 및 몰리브덴으로 이루어진 그룹으로부터 선택된 어느 하나를 포함하여 이루어지는 것을 특징으로 하는 반도체소자 제조 방법.A method for fabricating a semiconductor device comprising any one selected from the group consisting of tungsten, tungsten silicide, aluminum, chromium and molybdenum. 제 1 항에 있어서,The method of claim 1, 상기 콘택플러그용 전도막은 폴리실리콘 또는 텅스텐을 포함하는 것을 특징으로 하는 반도체소자 제조 방법.The conductive plug conductive film is a semiconductor device manufacturing method characterized in that it comprises polysilicon or tungsten. 제 1 항에 있어서,The method of claim 1, 상기 전도막패턴은 게이트전극 패턴 또는 비트라인을 포함하는 것을 특징으로 하는 반도체소자 제조 방법.The conductive film pattern is a semiconductor device manufacturing method comprising a gate electrode pattern or a bit line. 제 1 항에 있어서,The method of claim 1, 상기 콘택홀은 바형, T형 또는 홀형 중 어느 하나의 패턴 형태인 것을 특징으로 하는 반도체소자 제조 방법.The contact hole is a semiconductor device manufacturing method, characterized in that the pattern of any one of the bar type, T type or hole type. 삭제delete 제 1 항에 있어서,The method of claim 1, 상기 희생 절연막은, 질화막 계열인 것을 특징으로 하는 반도체소자 제조 방법.The sacrificial insulating film is a semiconductor device manufacturing method, characterized in that the nitride film series.
KR1020020084311A 2002-12-26 2002-12-26 Method for fabrication of semiconductor device KR100945225B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020020084311A KR100945225B1 (en) 2002-12-26 2002-12-26 Method for fabrication of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020020084311A KR100945225B1 (en) 2002-12-26 2002-12-26 Method for fabrication of semiconductor device

Publications (2)

Publication Number Publication Date
KR20040057551A KR20040057551A (en) 2004-07-02
KR100945225B1 true KR100945225B1 (en) 2010-03-03

Family

ID=37350119

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020084311A KR100945225B1 (en) 2002-12-26 2002-12-26 Method for fabrication of semiconductor device

Country Status (1)

Country Link
KR (1) KR100945225B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10102136B2 (en) 2014-12-17 2018-10-16 SK Hynix Inc. Electronic device and method for fabricating the same
US10804277B2 (en) 2016-12-13 2020-10-13 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010028057A (en) * 1999-09-17 2001-04-06 윤종용 Bit line contact with a stable contact resistance and method of forming the same
KR20020054858A (en) * 2000-12-28 2002-07-08 박종섭 Method for fabricating of semiconductor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010028057A (en) * 1999-09-17 2001-04-06 윤종용 Bit line contact with a stable contact resistance and method of forming the same
KR20020054858A (en) * 2000-12-28 2002-07-08 박종섭 Method for fabricating of semiconductor device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10102136B2 (en) 2014-12-17 2018-10-16 SK Hynix Inc. Electronic device and method for fabricating the same
US10804277B2 (en) 2016-12-13 2020-10-13 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US11462547B2 (en) 2016-12-13 2022-10-04 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same

Also Published As

Publication number Publication date
KR20040057551A (en) 2004-07-02

Similar Documents

Publication Publication Date Title
TWI249774B (en) Forming method of self-aligned contact for semiconductor device
KR100587635B1 (en) Method for fabrication of semiconductor device
US8623727B2 (en) Method for fabricating semiconductor device with buried gate
US20100330792A1 (en) Method for manufacturing semiconductor device
KR100505450B1 (en) Method for fabricating semiconductor device using damascene process
KR100616499B1 (en) Method for fabrication of semiconductor device
KR100945225B1 (en) Method for fabrication of semiconductor device
KR20030096660A (en) Method for fabricating semiconductor device
KR100649971B1 (en) Method for forming contact plug of semiconductor device
KR100553517B1 (en) Method for forming contact plug of semiconductor device
KR100673883B1 (en) Method for forming contact plug of semiconductor device
KR100571632B1 (en) Method for fabrication of semiconductor device
KR100919675B1 (en) Method for fabrication of semiconductor device
KR100856058B1 (en) Method for forming self align contact in semiconductor device
KR101073123B1 (en) Method for fabrication of semiconductor device
KR100745058B1 (en) Method for forming self align contact hole of semiconductor device
KR100307968B1 (en) Method of forming interlevel dielectric layers of semiconductor device provided with plug-poly
US7508029B2 (en) Semiconductor device and method for fabricating the same
KR20080001883A (en) Method for fabricating the same of semiconductor device in contact hole
KR20040057558A (en) Method for fabrication of semiconductor device
KR100744681B1 (en) A fabricating method of semiconductor device
KR20040057711A (en) Method for fabrication of bitline of semiconductor device
KR101046717B1 (en) Method for forming self-aligned contact of semiconductor device
KR100744002B1 (en) Method for fabricating the same of semiconductor device
KR100806606B1 (en) Method for fabricating the same of semiconductor device in contact hole

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee