KR100895374B1 - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
KR100895374B1
KR100895374B1 KR1020070110736A KR20070110736A KR100895374B1 KR 100895374 B1 KR100895374 B1 KR 100895374B1 KR 1020070110736 A KR1020070110736 A KR 1020070110736A KR 20070110736 A KR20070110736 A KR 20070110736A KR 100895374 B1 KR100895374 B1 KR 100895374B1
Authority
KR
South Korea
Prior art keywords
bit line
hard mask
forming
layer
interlayer insulating
Prior art date
Application number
KR1020070110736A
Other languages
Korean (ko)
Inventor
이재영
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020070110736A priority Critical patent/KR100895374B1/en
Application granted granted Critical
Publication of KR100895374B1 publication Critical patent/KR100895374B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method for manufacturing a semiconductor device is provided to prevent the loss of a bit line hard mask in an upper part of the bit line by performing the wet etching using an etch selection ratio between a nitride layer and an oxide layer. A first interlayer insulating layer(115) is formed in an upper part of a semiconductor substrate(100) including a gate electrode(105) and a landing plug contact(110). The first interlayer insulating film and a bit line pattern(120) are successively formed in the semiconductor substrate. The bit line spacer is formed in both sides of the bit line pattern. A second interlayer insulating layer(130) and a third interlayer insulating layer(140) are formed in the overall upper part including the bit line pattern. A bit line hard mask layer(119) in the upper part of the bit line pattern is exposed by performing a planarization etching process. The hard mask layer and a photosensitive film are formed on the planarized semiconductor substrate. The hard mask layer pattern is formed by etching the hard mask layer. The trench is formed by etching a third interlayer insulating layer. A spacer(155a) is formed in the extended trench side wall by performing a front etching process. A storage electrode contact plug(165) which exposes the bit line hard mask layer is formed by etching the hard mask layer pattern and a polysilicon layer.

Description

반도체 소자의 제조 방법{METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE}Method for manufacturing a semiconductor device {METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE}

도 1a 내지 도 1h는 본 발명에 따른 반도체 소자의 제조 방법을 도시한 단면도.1A to 1H are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the present invention.

< 도면의 주요 부분에 대한 부호 설명 ><Explanation of Signs of Major Parts of Drawings>

100 : 반도체 기판 105 : 게이트 패턴100 semiconductor substrate 105 gate pattern

110 : 랜딩 플러그 콘택 115 : 제 1 층간 절연막110 landing plug contact 115 first interlayer insulating film

117 : 배리어 금속층 118 : 비트라인 전극층117: barrier metal layer 118: bit line electrode layer

119 : 비트라인 하드마스크층 120 : 비트라인 패턴119: bit line hard mask layer 120: bit line pattern

125 : 비트라인 스페이서 130 : 제 2 층간 절연막125: bit line spacer 130: second interlayer insulating film

140 : 제 3 층간 절연막 145 : 하드마스크층 패턴140: third interlayer insulating film 145: hard mask layer pattern

150 : 트렌치 155 : 스페이서층150 trench 155 spacer layer

155a : 스페이서 160 : 저장전극 콘택홀155a: spacer 160: storage electrode contact hole

165 : 저장전극 콘택 플러그 165: storage electrode contact plug

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 저장전극 콘택홀 형성 시 질화막과 산화막의 식각 선택비 차이를 이용한 습식 식각을 수행하여 비트라인 상단의 비트라인 하드마스크층의 로스(Loss)를 방지하고, 이로 인해 비트라인 하드마스크층 두께의 감소가 가능하게 됨으로써, 버티칼한 프로파일의 비트라인을 형성하여 소자의 특성이 향상되는 기술을 개시한다. The present invention relates to a method of manufacturing a semiconductor device, and to performing a wet etching process using a difference in etching selectivity between the nitride film and the oxide film when forming the storage electrode contact hole, to prevent loss of the bit line hard mask layer on the top of the bit line. In this way, the thickness of the bit line hard mask layer can be reduced, thereby forming a bit line having a vertical profile, thereby improving a device characteristic.

도시되지는 않았지만, 종래 기술에 따른 반도체 소자의 제조 방법을 설명하면, 랜딩 플러그 콘택 및 비트라인이 구비된 반도체 기판 상부에 층간 절연막을 형성한다. Although not shown, a method of manufacturing a semiconductor device according to the related art will be described. An interlayer insulating layer is formed on a semiconductor substrate provided with a landing plug contact and a bit line.

다음에, 상기 층간 절연막 상부에 저장전극 영역을 정의하는 하드마스크층 패턴을 형성하고, 상기 하드마스크층 패턴을 식각 마스크로 상기 층간 절연막을 일부 식각하여 트렌치를 형성한다. Next, a hard mask layer pattern defining a storage electrode region is formed on the interlayer insulating layer, and the trench is formed by partially etching the interlayer insulating layer using the hard mask layer pattern as an etching mask.

이때, 상기 트렌치 형성 공정은 건식 식각으로 진행한다.In this case, the trench forming process is performed by dry etching.

그 다음, 상기 트렌치 저부를 확장시킨 후 상기 트렌치 양측에 스페이서를 형성한다.Next, after the trench bottom is extended, spacers are formed on both sides of the trench.

그리고, 상기 스페이서를 마스크로 하부에 남겨진 상기 층간 절연막을 더 식각하여 상기 랜딩 플러그 콘택을 노출시키는 저장전극 콘택홀을 형성한다. The interlayer insulating layer left under the spacer is further etched to form a storage electrode contact hole exposing the landing plug contact.

이때, 저장전극 콘택홀 형성 공정은 건식 식각으로 진행되며, 건식 식각은 산화막과 질화막 간의 식각 선택비 차이가 낮기 때문에 SAC(Self Aligned Contact) 공정이 수행되더라도 비트라인 상단의 질화막 하드마스크층이 로스(Loss)되는 현상이 발생한다. In this case, the storage electrode contact hole forming process is performed by dry etching, and since the dry etching has a low difference in etching selectivity between the oxide film and the nitride film, the nitride hardmask layer on the upper end of the bit line is lost even if the self alignment contact (SAC) process is performed. Loss occurs.

상술한 종래 기술에 따른 반도체 소자의 제조 방법에서, 라인 타입(Line Type)의 저장전극 콘택 플러그는 콘택 형성 후 CMP 공정을 통해 노드(Node) 간을 분리하기 때문에 비트라인 하드마스크층의 로스(Loss)가 발생하게 된다. In the method of manufacturing a semiconductor device according to the related art described above, a line type storage electrode contact plug separates nodes between nodes through a CMP process after contact formation, thereby causing loss of a bit line hard mask layer. ) Will occur.

따라서, 상기 비트라인 하드마스크층의 두께를 두껍게 형성하여야 하는데 이는 종횡비(Aspect Ratio)가 증가하게 되어 비트라인의 프로파일(Profile)이 버티칼(Vertical)하게 형성되지 않는 문제점이 있다. Therefore, the thickness of the bit line hard mask layer needs to be thick, which causes an aspect ratio to increase, thereby preventing the profile of the bit line from being vertically formed.

상기 문제점을 해결하기 위하여, 저장전극 콘택홀 형성 시 질화막과 산화막의 식각 선택비 차이를 이용한 습식 식각을 수행하여 비트라인 상단의 비트라인 하드마스크층의 로스(Loss)를 방지하고, 이로 인해 비트라인 하드마스크층 두께의 감소가 가능하게 됨으로써, 버티칼한 프로파일의 비트라인을 형성하여 소자을 향상시키는 반도체 소자의 제조 방법을 제공하는 것을 목적으로 한다. In order to solve the above problem, the wet etching using the difference in the etching selectivity between the nitride film and the oxide film is performed when the storage electrode contact hole is formed, thereby preventing the loss of the bit line hard mask layer on the upper part of the bit line. It is an object of the present invention to provide a method for manufacturing a semiconductor device in which a hard mask layer thickness can be reduced, thereby forming a vertical profile bit line and improving the device.

본 발명에 따른 반도체 소자의 제조 방법은 Method for manufacturing a semiconductor device according to the present invention

랜딩 플러그 콘택 및 비트 라인이 구비된 반도체 기판 상부에 층간 절연막을 형성하는 단계와,Forming an interlayer insulating film on the semiconductor substrate including the landing plug contact and the bit line;

상기 비트라인이 노출될때까지 평탄화 공정을 수행하는 단계와,Performing a planarization process until the bit line is exposed;

상기 층간 절연막 상부에 저장전극 콘택영역을 정의하는 하드마스크층 패턴을 형성하는 단계와,Forming a hard mask layer pattern defining a storage electrode contact region on the interlayer insulating layer;

상기 하드마스크층 패턴을 마스크로 상기 층간 절연막를 식각하여 트렌치를 형성하는 단계와,Forming a trench by etching the interlayer insulating layer using the hard mask layer pattern as a mask;

상기 트렌치 저부를 확장시키는 단계와,Expanding the trench bottom;

상기 확장된 트렌치의 측벽에 스페이서를 형성하는 단계와,Forming spacers on sidewalls of the extended trenches;

상기 하드마스크층 패턴을 마스크로 상기 층간 절연막을 더 식각하여 상기 랜딩 플러그 콘택을 노출시키는 저장전극 콘택홀을 형성하는 단계를 포함하는 것과,Further etching the interlayer insulating layer using the hard mask layer pattern as a mask to form a storage electrode contact hole exposing the landing plug contact;

상기 층간 절연막은 SOD 및 HDP 산화막의 적층구조로 형성하는 것과, The interlayer insulating film is formed of a stacked structure of SOD and HDP oxide film,

상기 트렌치 저부를 확장시키는 공정은 등방성 식각으로 진행하는 것과, The process of expanding the trench bottom may be performed by isotropic etching,

상기 트렌치 저부를 확장시키는 공정은 SOD 산화막이 노출되도록 수행하는 것과, The process of expanding the trench bottom may be performed such that the SOD oxide layer is exposed.

상기 스페이서는 질화막으로 형성하는 것과, The spacer is formed of a nitride film,

상기 스페이서를 형성하는 단계는Forming the spacer

상기 확장된 트렌치를 포함하는 전체 표면에 질화막을 형성한 후 에치-백 공정을 수행하여 상기 확장된 트렌치 측벽에 질화막을 잔류시키는 것과,Forming a nitride film on the entire surface including the extended trench and then performing an etch-back process to leave the nitride film on the extended trench sidewall;

상기 저장전극 콘택홀 형성 공정은 이방성 식각으로 진행하는 것과, The storage electrode contact hole forming process may be performed by anisotropic etching,

상기 이방성 식각은 BOE 용액을 사용하여 수행하는 것과, The anisotropic etching is performed using a BOE solution,

상기 저장전극 콘택홀 측벽에 질화막, USG 산화막 및 이들의 조합 중 선택된 어느 하나를 사용하여 스페이서를 형성하는 단계를 더 포함하는 것과, Forming a spacer on the sidewall of the storage electrode contact hole using any one selected from a nitride film, a USG oxide film, and a combination thereof;

상기 하드마스크층 패턴을 제거하는 단계와,Removing the hard mask layer pattern;

상기 저장전극 콘택홀을 포함하는 전체 상부에 폴리실리콘층을 형성하는 단계와,Forming a polysilicon layer on the whole including the storage electrode contact hole;

상기 하드마스크층 패턴 및 상기 폴리실리콘층을 식각하여 상기 비트라인 상측 및 상기 층간 절연막이 노출되는 저장전극 콘택을 형성하는 단계를 더 포함하는 것을 특징으로 한다.And etching the hard mask layer pattern and the polysilicon layer to form a storage electrode contact to expose the bit line and the interlayer insulating layer.

이하에서는 본 발명의 실시예를 첨부한 도면을 참조하여 상세히 설명하기로 한다.Hereinafter, with reference to the accompanying drawings an embodiment of the present invention will be described in detail.

도 1a 내지 도 1h는 본 발명에 따른 반도체 소자의 제조 방법을 도시한 단면도이다. 1A to 1H are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the present invention.

도 1a를 참조하면, 게이트 전극(105) 및 랜딩 플러그 콘택(110)이 구비된 반도체 기판(100) 상부에 제 1 층간 절연막(115)을 형성하고, 제 1 층간 절연막(115) 상부에 비트라인 패턴(120)을 형성한다. Referring to FIG. 1A, a first interlayer insulating layer 115 is formed on a semiconductor substrate 100 including a gate electrode 105 and a landing plug contact 110, and a bit line is formed on the first interlayer insulating layer 115. The pattern 120 is formed.

여기서, 비트라인 패턴(120)은 비트라인 배리어 금속층(117), 비트라인 도전층(118) 및 비트라인 하드마스크층(119)의 적층구조로 형성하는 것이 바람직하다. Here, the bit line pattern 120 may be formed in a stacked structure of the bit line barrier metal layer 117, the bit line conductive layer 118, and the bit line hard mask layer 119.

또한, 비트라인 배리어 금속층(117)은 티타늄(Ti) 및 티타늄 질화막(TiN)으로 형성하고, 비트라인 전극층(118)은 텅스텐(W)층으로 형성하며, 비트라인 하드마스크층(119)은 질화막으로 형성하는 것이 바람직하다.In addition, the bit line barrier metal layer 117 is formed of titanium (Ti) and titanium nitride (TiN), the bit line electrode layer 118 is formed of a tungsten (W) layer, and the bit line hard mask layer 119 is formed of a nitride film. It is preferable to form.

다음에, 비트라인 패턴(120) 양측에 비트라인 스페이서(125)를 형성한 후 비트라인 패턴(120)을 포함하는 전체 상부에 제 2 층간 절연막(130) 및 제 3 층간 절연막(140)을 형성한다. Next, the bit line spacers 125 are formed on both sides of the bit line pattern 120, and then the second interlayer insulating layer 130 and the third interlayer insulating layer 140 are formed on the entire portion including the bit line pattern 120. do.

여기서, 제 2 층간 절연막(130)은 SOD(Spin On Dielectric) 산화막이며, 코팅(Coating) 방식으로 형성하는 것이 바람직하다. Here, the second interlayer insulating film 130 is a spin on dielectric (SOD) oxide film, and preferably formed by a coating method.

또한, 제 3 층간 절연막(140)은 상기 SOD 산화막과 식각 선택비가 다른 HDP 산화막으로 형성하는 것이 바람직하다.In addition, the third interlayer insulating layer 140 may be formed of an HDP oxide layer having a different etching selectivity from the SOD oxide layer.

다음에, 비트라인 패턴(120) 상측의 비트라인 하드마스크층(119)이 노출될때까지 평탄화 식각 공정을 수행한다.Next, the planarization etching process is performed until the bit line hard mask layer 119 on the bit line pattern 120 is exposed.

도 1b를 참조하면, 평탄화된 반도체 기판(100) 상부에 하드마스크층 및 감광막을 형성한다. Referring to FIG. 1B, a hard mask layer and a photoresist layer are formed on the planarized semiconductor substrate 100.

다음에, 상기 감광막에 노광 및 현상 공정을 수행하여 저장전극 콘택영역을 정의하는 감광막 패턴(미도시)을 형성한다.Next, a photoresist pattern (not shown) defining a storage electrode contact region is formed by performing an exposure and development process on the photoresist.

그 다음, 상기 감광막 패턴(미도시)을 마스크로 상기 하드마스크층을 식각하여 하드마스크층 패턴(145)을 형성한 후 상기 감광막 패턴(미도시)을 제거한다.Next, the hard mask layer is etched using the photoresist pattern (not shown) as a mask to form a hard mask layer pattern 145, and then the photoresist pattern (not shown) is removed.

도 1c 및 도 1d를 참조하면, 하드마스크층 패턴(145)을 마스크로 제 3 층간 절연막(140)을 식각하여 트렌치(150)를 형성한다.Referring to FIGS. 1C and 1D, the trench 150 is formed by etching the third interlayer insulating layer 140 using the hard mask layer pattern 145 as a mask.

다음에, 트렌치(150) 저부를 확장시켜 제 2 층간 절연막(130)의 일부를 노출시킨다.Next, the bottom of the trench 150 is extended to expose a part of the second interlayer insulating film 130.

이때, 트렌치(150) 저부를 확장시키는 공정은 습식 등방성 식각을 진행하여 트렌치(150) 저부가 벌브(Bulb) 형태로 확장되도록 하는 것이 바람직하다.In this case, in the process of expanding the bottom of the trench 150, it is preferable to perform a wet isotropic etching so that the bottom of the trench 150 is expanded in the form of a bulb.

도 1e 및 도 1f를 참조하면, 상기 확장된 트렌치(150)를 포함하는 전체 상부에 일정 두께의 스페이서층(155)을 형성한다.Referring to FIGS. 1E and 1F, a spacer layer 155 having a predetermined thickness is formed on an entire upper portion of the extended trench 150.

여기서, 스페이서층(155)은 하드마스크층 패턴(145)과 동일한 물질인 질화막으로 형성하는 것이 바람직하다.Here, the spacer layer 155 may be formed of a nitride film made of the same material as the hard mask layer pattern 145.

다음에, 전면 식각 공정을 수행하여 상기 확장된 트렌치 측벽에 질화막을 잔류시켜 스페이서(155a)를 형성한다.Next, a nitride layer is left on the extended sidewall of the trench to form a spacer 155a by performing an entire surface etching process.

이때, 상기 전면 식각 공정에 의해 제 2 층간 절연막(130) 상측이 일부 식각된다.In this case, the upper side of the second interlayer insulating layer 130 is partially etched by the front surface etching process.

도 1g를 참조하면, 하드마스크층 패턴(145) 및 스페이서(155a)를 마스크로 제 2 층간 절연막(130) 및 제 1 층간 절연막(115)을 식각하여 랜딩 플러그 콘택(110)을 노출시키는 저장전극 콘택홀(160)을 형성한다.Referring to FIG. 1G, the storage electrode exposing the landing plug contact 110 by etching the second interlayer insulating layer 130 and the first interlayer insulating layer 115 using the hard mask layer pattern 145 and the spacer 155a as a mask. The contact hole 160 is formed.

여기서, 저장전극 콘택홀(160)은 습식 이방성 식각 공정으로 진행하는 것이 바람직하다.In this case, the storage electrode contact hole 160 may be a wet anisotropic etching process.

이때, 습식 식각(Wet Etch)은 종래에 수행되던 건식 식각(Dry Etch) 공정에 비해 산화막과 질화막 간의 식각 선택비 차이가 크기 때문에, 제 2 층간 절연막(130)인 SOD 산화막 식각 시 비트라인 하드마스크층(119)인 질화막의 로스(Loss)를 감소시킬 수 있다. At this time, since the wet etching has a larger difference in etching selectivity between the oxide film and the nitride film than the conventional dry etching process, the bit line hard mask during the etching of the SOD oxide film, which is the second interlayer insulating film 130, is performed. Loss of the nitride film, which is the layer 119, may be reduced.

도 1h를 참조하면, 저장전극 콘택홀(160) 측벽에 저장전극용 스페이서(미도시)를 형성한다. Referring to FIG. 1H, spacers (not shown) for storage electrodes are formed on sidewalls of the storage electrode contact holes 160.

이때, 상기 저장전극용 스페이서(미도시)는 질화막, USG 산화막 및 이들의 조합 중 선택된 어느 하나를 사용하여 형성하는 것이 바람직하다.In this case, the storage electrode spacer (not shown) is preferably formed using any one selected from a nitride film, a USG oxide film, and a combination thereof.

다음에, 저장전극 콘택홀(160)을 포함하는 전체 상부에 폴리실리콘층을 형성한 후 에치-백 공정을 수행하여 하드마스크층 패턴(145)을 노출시킨다. Next, the polysilicon layer is formed on the entire surface including the storage electrode contact hole 160 and then the etch-back process is performed to expose the hard mask layer pattern 145.

그 다음, CMP 공정으로 하드마스크층 패턴(145) 및 상기 폴리실리콘층을 식 각하여 비트라인 하드마스크층(119)이 노출되는 저장전극 콘택 플러그(165)를 형성한다.Next, the hard mask layer pattern 145 and the polysilicon layer are etched by a CMP process to form the storage electrode contact plug 165 exposing the bit line hard mask layer 119.

본 발명에 따른 반도체 소자의 제조 방법은 저장전극 콘택홀 형성 시 질화막과 산화막의 식각 선택비 차이를 이용한 습식 식각을 수행하여 비트라인 상단의 비트라인 하드마스크층의 로스(Loss)를 방지하고, 이로 인해 비트라인 하드마스크층 두께의 감소가 가능하게 됨으로써, 버티칼한 프로파일의 비트라인을 형성하여 소자의 특성이 향상되는 효과가 있다.The method of manufacturing a semiconductor device according to the present invention prevents the loss of the bit line hard mask layer on the upper part of the bit line by performing wet etching using the difference in etching selectivity between the nitride layer and the oxide layer when forming the storage electrode contact hole. As a result, the thickness of the bit line hard mask layer can be reduced, thereby forming a vertical bit line, thereby improving the characteristics of the device.

아울러 본 발명의 바람직한 실시예는 예시의 목적을 위한 것으로, 당업자라면 첨부된 특허청구범위의 기술적 사상과 범위를 통해 다양한 수정, 변경, 대체 및 부가가 가능할 것이며, 이러한 수정 변경 등은 이하의 특허청구범위에 속하는 것으로 보아야 할 것이다.In addition, a preferred embodiment of the present invention is for the purpose of illustration, those skilled in the art will be able to various modifications, changes, substitutions and additions through the spirit and scope of the appended claims, such modifications and changes are the following claims It should be seen as belonging to a range.

Claims (10)

랜딩 플러그 콘택이 구비된 반도체 기판 상부에 층간 절연막을 형성하는 단계;Forming an interlayer insulating film on the semiconductor substrate having a landing plug contact; 상기 층간 절연막 상부에 비트라인을 형성하고, 상기 비트라인 및 상기 층간 절연막 상부에 SOD막 및 HDP 산화막을 형성하는 단계;Forming a bit line on the interlayer insulating film, and forming an SOD film and an HDP oxide film on the bit line and the interlayer insulating film; 상기 비트라인이 노출될때까지 평탄화 공정을 수행하는 단계;Performing a planarization process until the bit line is exposed; 상기 HDP 산화막 상부에 저장전극 콘택영역을 정의하는 하드마스크층 패턴을 형성하는 단계;Forming a hard mask layer pattern defining a storage electrode contact region on the HDP oxide layer; 상기 하드마스크층 패턴을 마스크로 상기 HDP 산화막을 식각하여 트렌치를 형성하는 단계;Forming a trench by etching the HDP oxide layer using the hard mask layer pattern as a mask; 상기 트렌치 저부를 확장시키는 단계;Expanding the trench bottom; 상기 확장된 트렌치의 측벽에 스페이서를 형성하는 단계; 및Forming a spacer on sidewalls of the extended trench; And 상기 하드마스크층 패턴 및 상기 스페이서를 마스크로 상기 SOD 산화막 및 상기 층간 절연막을 식각하여 상기 랜딩 플러그 콘택을 노출시키는 저장전극 콘택홀을 형성하는 단계Forming a storage electrode contact hole exposing the landing plug contact by etching the SOD oxide layer and the interlayer insulating layer using the hard mask layer pattern and the spacer as a mask; 를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.Method of manufacturing a semiconductor device comprising a. 삭제delete 제 1 항에 있어서, The method of claim 1, 상기 트렌치 저부를 확장시키는 공정은 등방성 식각으로 진행하는 것을 특징으로 하는 반도체 소자의 제조 방법. The process of expanding the bottom of the trench is a method of manufacturing a semiconductor device, characterized in that for proceeding isotropic etching. 제 3 항에 있어서, The method of claim 3, wherein 상기 트렌치 저부를 확장시키는 공정은 SOD 산화막이 노출되도록 수행하는 것을 특징으로 하는 반도체 소자의 제조 방법.The process of expanding the trench bottom is performed to expose the SOD oxide film. 제 1 항에 있어서, The method of claim 1, 상기 스페이서는 질화막으로 형성하는 것을 특징으로 하는 반도체 소자의 제조 방법. And the spacer is formed of a nitride film. 제 1 항에 있어서, The method of claim 1, 상기 스페이서를 형성하는 단계는Forming the spacer 상기 확장된 트렌치를 포함하는 전체 표면에 질화막을 형성한 후 에치-백 공정을 수행하여 상기 확장된 트렌치 측벽에 질화막을 잔류시키는 것을 특징으로 하는 반도체 소자의 제조 방법.And forming a nitride film on the entire surface including the extended trench and performing an etch-back process to leave the nitride film on the extended sidewall of the trench. 제 1 항에 있어서, The method of claim 1, 상기 저장전극 콘택홀 형성 공정은 이방성 식각으로 진행하는 것을 특징으로 하는 반도체 소자의 제조 방법.And the storage electrode contact hole forming process is performed by anisotropic etching. 제 7 항에 있어서, The method of claim 7, wherein 상기 이방성 식각은 BOE 용액을 사용하여 수행하는 것을 특징으로 하는 반도체 소자의 제조 방법.The anisotropic etching is a method of manufacturing a semiconductor device, characterized in that performed using a BOE solution. 제 1 항에 있어서, The method of claim 1, 상기 저장전극 콘택홀 측벽에 질화막, USG 산화막 및 이들의 조합 중 선택된 어느 하나를 사용하여 스페이서를 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.And forming a spacer on the sidewall of the storage electrode contact hole using any one selected from a nitride film, a USG oxide film, and a combination thereof. 제 1 항에 있어서, The method of claim 1, 상기 저장전극 콘택홀을 포함하는 전체 상부에 폴리실리콘층을 형성하는 단계; 및Forming a polysilicon layer on the entirety including the storage electrode contact hole; And 상기 하드마스크층 패턴 및 상기 폴리실리콘층을 식각하여 상기 비트라인 상측 및 상기 HDP 산화막이 노출되는 저장전극 콘택을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.And etching the hard mask layer pattern and the polysilicon layer to form a storage electrode contact to expose the bit line and the HDP oxide layer.
KR1020070110736A 2007-10-31 2007-10-31 Method for manufacturing semiconductor device KR100895374B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070110736A KR100895374B1 (en) 2007-10-31 2007-10-31 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070110736A KR100895374B1 (en) 2007-10-31 2007-10-31 Method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
KR100895374B1 true KR100895374B1 (en) 2009-04-29

Family

ID=40758226

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070110736A KR100895374B1 (en) 2007-10-31 2007-10-31 Method for manufacturing semiconductor device

Country Status (1)

Country Link
KR (1) KR100895374B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114256154A (en) * 2020-09-24 2022-03-29 长鑫存储技术有限公司 Memory manufacturing method and memory

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040051221A (en) * 2002-12-12 2004-06-18 삼성전자주식회사 Semiconductor device having a self-aligned contact plug and fabricating method therefor
KR20060114431A (en) * 2005-04-29 2006-11-06 주식회사 하이닉스반도체 Manufacturing method for semiconductor device
KR100721592B1 (en) 2006-01-06 2007-05-23 주식회사 하이닉스반도체 Method for manufacturing storagenode contact in semiconductor device
KR20070074175A (en) * 2006-01-06 2007-07-12 주식회사 하이닉스반도체 Method for manufacturing storagenode contact in semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040051221A (en) * 2002-12-12 2004-06-18 삼성전자주식회사 Semiconductor device having a self-aligned contact plug and fabricating method therefor
KR20060114431A (en) * 2005-04-29 2006-11-06 주식회사 하이닉스반도체 Manufacturing method for semiconductor device
KR100721592B1 (en) 2006-01-06 2007-05-23 주식회사 하이닉스반도체 Method for manufacturing storagenode contact in semiconductor device
KR20070074175A (en) * 2006-01-06 2007-07-12 주식회사 하이닉스반도체 Method for manufacturing storagenode contact in semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114256154A (en) * 2020-09-24 2022-03-29 长鑫存储技术有限公司 Memory manufacturing method and memory
WO2022062536A1 (en) * 2020-09-24 2022-03-31 长鑫存储技术有限公司 Method for manufacturing memory, and memory

Similar Documents

Publication Publication Date Title
KR100604943B1 (en) Semiconductor device and method of fabricating the same
US6281073B1 (en) Method for fabricating dynamic random access memory cell
KR100611776B1 (en) Method for fabrication of semiconductor device
US7709367B2 (en) Method for fabricating storage node contact in semiconductor device
US8445957B2 (en) Semiconductor device and method of manufacturing the same
KR100685677B1 (en) Method for fabrication of semiconductor device
KR100895374B1 (en) Method for manufacturing semiconductor device
KR100505443B1 (en) Method for fabricating semiconductor device
KR20060131144A (en) Method for forming contact plug in semiconductor device
KR100566300B1 (en) Method for fabrication of capacitor bottom electrode of semiconductor device
KR101149053B1 (en) Method for fabricating the same of semiconductor in storage node contact
KR101057759B1 (en) Semiconductor device manufacturing method
KR100691484B1 (en) Method for fabricating plug in semiconductor device
KR101031459B1 (en) Method for manufacturing a semiconductor device
KR100571632B1 (en) Method for fabrication of semiconductor device
KR100991379B1 (en) Method for fabrication of semiconductor device
KR101204919B1 (en) Semiconductor device and method for fabricating the same
KR20070063672A (en) Method for forming storagenode contact in semiconductor device
KR20020002574A (en) Method for forming contact plug in semiconductor device
KR100910868B1 (en) Method for fabrication of semiconductor device
KR20040052326A (en) Method of manufacturing capacitor for semiconductor device
KR20080088275A (en) Method for fabricating contact plug in semiconductor device
KR100369355B1 (en) Method for fabricating highly integrated semiconductor device
KR20070055880A (en) Method for manufacturing semiconductor device
KR20070002235A (en) Method of forming a contact hole in semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee