KR100811438B1 - Method of manufacturing a semiconductor device - Google Patents

Method of manufacturing a semiconductor device Download PDF

Info

Publication number
KR100811438B1
KR100811438B1 KR1020010087979A KR20010087979A KR100811438B1 KR 100811438 B1 KR100811438 B1 KR 100811438B1 KR 1020010087979 A KR1020010087979 A KR 1020010087979A KR 20010087979 A KR20010087979 A KR 20010087979A KR 100811438 B1 KR100811438 B1 KR 100811438B1
Authority
KR
South Korea
Prior art keywords
oxide film
well
oxide
ion implantation
film
Prior art date
Application number
KR1020010087979A
Other languages
Korean (ko)
Other versions
KR20030057877A (en
Inventor
신영기
김점수
조민국
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020010087979A priority Critical patent/KR100811438B1/en
Publication of KR20030057877A publication Critical patent/KR20030057877A/en
Application granted granted Critical
Publication of KR100811438B1 publication Critical patent/KR100811438B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823493MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate

Abstract

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 소자 분리막을 매립하고 평탄화 공정을 실시한 후 웰 형성을 위한 이온주입 공정을 실시함으로써 활성 영역과 비 활성 영역의 도핑농도와 Rp(Projection range)를 동일하게 형성하여 누설전류를 방지하고 소자 특성을 향상할 수 있는 반도체 소자의 제조 방법을 제공한다.
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, wherein the doping concentration and Rp (projection range) of the active region and the non-active region are the same by filling an isolation layer, performing a planarization process, and performing an ion implantation process to form a well. It provides a method of manufacturing a semiconductor device that can be formed to prevent leakage current and improve device characteristics.

셀프 얼라인 플로팅 게이트, 웰, 이온주입Self-Aligned Floating Gate, Well, Ion Implantation

Description

반도체 소자의 제조 방법{Method of manufacturing a semiconductor device} Method of manufacturing a semiconductor device             

도 1a 내지 1g는 종래의 기술에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도.1A to 1G are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the prior art.

도 2는 종래의 기술에 따른 웰 형성용 이온 및 VT 이온을 주입한 후의 단면도.2 is a cross-sectional view after implanting well-forming ions and VT ions according to the prior art.

도 3a 내지 3j는 본 발명에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도.3A to 3J are cross-sectional views illustrating a method for manufacturing a semiconductor device according to the present invention.

도 4는 본 발명에 따른 웰 형성용 이온 및 VT 이온을 주입한 후의 단면도.4 is a cross-sectional view after implanting the well-forming ions and VT ions according to the present invention.

도 5a 내지 5e는 본발명에 따른 반도체 소자의 제조 방법을 설명하기 위한 SEM사진.
5a to 5e are SEM photographs for explaining the manufacturing method of a semiconductor device according to the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

1, 21 : 반도체 기판 2, 22 : 패드 산화막1, 21: semiconductor substrate 2, 22: pad oxide film

3, 23 : 패드 질화막 4, 24 : 트랜치3, 23: pad nitride film 4, 24: trench

5, 25 : 측벽 희생 산화막 6, 26 : 측벽 산화막5, 25 sidewall sacrificial oxide film 6, 26 sidewall oxide film

7, 27 : 라이너 산화막 8, 28 : HDP 산화막 7, 27: liner oxide film 8, 28: HDP oxide film                 

9, 29 : HDP 산화막 니플 10, 30 : VT 스크린 산화막9, 29: HDP oxide nipple 10, 30: VT screen oxide

31 : 게이트 산화막 32 : 폴리 실리콘
31: gate oxide film 32: polysilicon

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 특히 0.18㎛ 태크의 SAF(Self Aligned Floating Gate) 를 사용하는 플래시 EEPROM(Electrical Erasable Programmable Read Only Memory) 소자의 웰을 형성하는 방법에 관한 것이다.
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method of forming a well of a flash electric erasable programmable read only memory (EEPROM) device using a self-aligned floating gate (SAF) of 0.18 μm tag.

도 1a 내지 1g는 종래의 기술에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도이다. 1A to 1G are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the prior art.

도 1a에 도시한 바와 같이, 실리콘 기판(Si substrate)(1) 표면의 결정결함 억제 또는 표면처리를 위한 패드 산화막(pad oxidation)(2)과 두꺼운 패드 질화막(pad nitride)(3)을 순차적으로 형성한다.As shown in FIG. 1A, a pad oxidation film 2 and a thick pad nitride film 3 are sequentially formed for suppressing or treating crystal defects on a surface of a Si substrate 1. Form.

상기의 패드 질화막(3), 패드 산화막(2)및 실리콘 기판(1)을 ISO 마스크 패터닝(Isolation mask patterning)을 통해 순차적으로 식각하여 STI 구조의(Shallow Trench Isolation)구조의 트랜치(trench)(4)를 형성하여 활성(active)영역과 비 활성영역을 확보한다.The pad nitride film 3, the pad oxide film 2, and the silicon substrate 1 are sequentially etched through ISO mask patterning to form trenches of the shallow trench isolation (STI) structure 4 ) To secure the active and inactive regions.

도 1b에 도시한 바와 같이, STI 구조의 트랜치(4)측벽의 식각 손상을 보상하 고 트랜치(4) 상부 모서리의 라운딩(rounding) 처리와 활성 CD를 줄이기 위해서 약 1000 내지 1150℃의 온도 범위 내에서 건식 산화방식에 의해 150 내지 250Å의 두께를 타겟으로한 측벽 희생 산화(wall SAC oxidation)막(5)을 형성한다.As shown in FIG. 1B, within the temperature range of about 1000 to 1150 ° C. to compensate for etch damage of the trench 4 sidewalls of the STI structure and to reduce the rounding treatment and active CD of the top corners of the trench 4. In the dry oxidation method, a sidewall sacrificial oxidation (5) film is formed that targets a thickness of 150 to 250 kPa.

도 1c에 도시한 바와 같이, 측벽 희생 산화막(5)을 전처리 세정 공정으로 제거한 후 STI 구조의 트랜치(4) 대하여 750 내지 850℃의 온도 범위에서 습식 산화 방식으로 약 300 내지 450Å의 두께를 타겟으로한 과도한 측벽산화를 실시하여 측벽산화(wall oxidation)막(6)을 형성한다.As shown in FIG. 1C, after the sidewall sacrificial oxide film 5 is removed by a pretreatment cleaning process, a thickness of about 300 to 450 kPa is applied to the trench 4 of the STI structure in a wet oxidation method in a temperature range of 750 to 850 ° C. An excessive sidewall oxidation is performed to form a wall oxidation film 6.

도 1d에 도시한 바와 같이, 패드 산화막(2) 및 측벽산화막(6)을 포함한 전체 구조 상부에 HTO(High Temperature Oxide)를 얇게 증착하고 고온에서 치밀화 공정을 수행하여 라이너 산화(liner oxide)막(7)을 형성한다.As shown in FIG. 1D, a thin film of high temperature oxide (HTO) is deposited on the entire structure including the pad oxide film 2 and the sidewall oxide film 6, and a densification process is performed at a high temperature to produce a liner oxide film ( 7) form.

라이너 산화막(7) 상에 트랜치(4) 공백을 채우기 위해 5000 내지 10000Å정도의 두께로 HDP(High Density Plasma) 산화막(8)을 형성한다. 이때 트랜치(4) 내부에 빈 공간이 형성되지 않도록 상기 HDP 산화막(8)을 증착한다. A high density plasma oxide (HDP) oxide film 8 is formed on the liner oxide film 7 to a thickness of about 5000 to 10000 kPa to fill the trench 4 gaps. At this time, the HDP oxide film 8 is deposited so that no empty space is formed in the trench 4.

도 1e에서 도시한 바와 같이, 질화막(3)을 식각정지층으로 하여 질화막(3) 상의 상기 HDP 산화막(8) 및 라이너 산화막(7)을 제거하기 위한 STI CMP 공정을 수행한다.As shown in FIG. 1E, an STI CMP process is performed to remove the HDP oxide film 8 and the liner oxide film 7 on the nitride film 3 using the nitride film 3 as an etch stop layer.

도 1f에 도시한 바와 같이, 질화막(3)을 인산 딥 아웃(H3PO4 dip out)을 이용한 질화막(13) 스트립(nitride strip) 공정을 수행함으로써, 비 활성영역에 HDP 산화막 니플(HDP oxide nipple)(9)을 형성한다. 상기의 질화막(3) 스트립시 HDP 산 화막 니플(9)은 1500 내지 2000Å정도의 높이가 되도록 한다.As shown in FIG. 1F, the nitride film 13 is subjected to a nitride strip process using a phosphoric acid dip-out (H 3 PO 4 dip out), thereby providing an HDP oxide nipple (HDP oxide) in an inactive region. nipple) (9). When the nitride film 3 is stripped, the HDP oxide film nipple 9 has a height of about 1500 to 2000 mW.

도 1g에 도시한 바와 같이, 비 활성 영역의 HDP 산화막 니플(9) 일부와 활성 영역의 두꺼워진 상기 패드 산화막(2)을 균일하게 식각한 후 상기 HDP 산화막 니플(9) 사이에 VT 스크린 산화(VT screen oxidation)막(10)을 형성한다. 다음으로 웰 주입(well implant)을 통한 웰 형성공정과 VT이온주입(VT implant)공정을 수행한다.As shown in FIG. 1G, a portion of the HDP oxide nipple 9 in the inactive region and the thickened pad oxide layer 2 in the active region are uniformly etched, and then a VT screen oxidation is performed between the HDP oxide nipple 9. VT screen oxidation) film 10 is formed. Next, a well forming process through a well implant and a VT ion implantation process are performed.

일반적으로 리트로그레이드(Retrograde) 웰(Well)을 형성하기 위한 이온 주입공정은 P-웰(P-Well)인 경우 P-웰, 인터(inter) P-웰 및 N-채널 필드 스탑(N-channel field stop) 이온주입을 하고, N-웰(N-Well)인 경우 트리플(Triple) N-웰, 인터 N-웰, P-채널 필드 스탑, P-채널 딥(P-channel deep) 이온주입을 실시한다.In general, the ion implantation process for forming a retrograde well is a P-well, an inter P-well, and an N-channel field stop in the case of a P-well. field stop ion implantation, triple N-well, inter N-well, P-channel field stop, P-channel deep ion implantation in the case of N-Well Conduct.

도 2는 종래의 기술에 따른 웰 형성용 이온 및 VT 이온을 주입한 후의 단면도이다.2 is a cross-sectional view after implanting well-forming ions and VT ions according to the prior art.

도 2에 도시한 바와 같이, 상기의 이온 주입공정시 HDP산화막 니플에 의해 활성 영역과 비활성 영역에 1200 내지 1500Å의 단 차가 발생한다. 이러한 단차가 발생한 상태에서 이온주입 공정을 실시하게 되면 EFT(Effective Field Thickness)만큼 활성 영역과 비활성 영역에서의 도핑되는 이온의 깊이 차가 발생하게 된다. 즉 활성 영역의 도핑이 EFT만큼 더 깊게 형성된다. As shown in FIG. 2, in the ion implantation process, a step of 1200 to 1500 mV occurs in the active region and the inactive region by the HDP oxide nipple. When the ion implantation process is performed in a state where such a step occurs, a difference in depth between the doped ions in the active region and the inactive region is generated by the effective field thickness (EFT). That is, the doping of the active region is formed as deep as EFT.

이로 인하여 후속 VT 정렬(VT adjust) 이온층과 리트로그래이드 웰층 간의 에너지 차가 많이 벌어지게 됨으로써 VT정렬 이온층과 필드 스탑이온층 사이의 도핑 농도가 현저히 떨어지는 지점이 발생한다. 또한 트랜치의 깊이와 EFT 및 기타 다른 공정 차이에 의해 VT정렬 이온층과 필드 스탑 이온층간의 차가 시해지면 웰이 제대로 형성되지 않을 가능성도 있다. 이로 인해 누설 전류의 증가 및 소자 특성을 악화시킬 수가 있다.
This results in a large energy difference between the subsequent VT adjust ion layer and the retrograde well layer, resulting in a significant drop in the doping concentration between the VT alignment ion layer and the field stop ion layer. It is also possible that the wells will not form properly if the difference between the VT alignment ion field and the field stop ion layer is due to trench depth, EFT and other process differences. This can increase leakage current and deteriorate device characteristics.

본 발명은 상기의 문제를 해결하기 위해 안출된 것으로, 질화막을 증착한 후 평탄화한 다음 웰을 형성함으로써 활성 영역과 비활성 영역에 도핑되는 이온의 깊이 차를 없앨 수 있다. SUMMARY OF THE INVENTION The present invention has been made to solve the above problem, and by depositing a planarized nitride film and then forming a well, it is possible to eliminate the depth difference between the ions doped in the active region and the inactive region.

본 발명의 다른 목적은 활성 영역과 비활성 영역의 이온도핑시 Rp를 동일하게 함으로써 후속 VT 정렬 이온주입 Rp와의 차를 줄일 수 있다. Another object of the present invention is to reduce the difference between the subsequent VT alignment ion implantation Rp by making Rp the same during ion doping of the active and inactive regions.

본 발명의 특징에 의하면, 활성 영역과 비활성 영역에 이온도핑되는 깊이 차를 줄임으로써 누설전류를 줄이고 소자의 특성을 향상시킬 수 있다.
According to a feature of the present invention, it is possible to reduce the leakage current and improve the characteristics of the device by reducing the depth difference ion-doped in the active region and the inactive region.

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 반도체 기판 상에 패드 산화막 및 패드 질화막을 형성한다. 패드 질화막 및 패드 산화막을 패터닝하고, 노출된 반도체 기판의 일부를 제거하여 트랜치를 형성한다. 트랜치 내에 산화막을 채운다. 제1 이온주입 공정을 실시하여 웰을 형성한다. 패드 질화막 및 패드 산화막을 제거하여 돌출된 산화막 니플을 형성한다. 제2 이온주입 공정을 실시하여 VT를 조절한다. 산화막 니플 사이에 폴리 실리콘막을 채운다. 산화막 니플을 제거하는 단계를 포함하는 반도체 소자의 제조 방법으로 이루어진다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, wherein a pad oxide film and a pad nitride film are formed on a semiconductor substrate. The pad nitride film and the pad oxide film are patterned, and a portion of the exposed semiconductor substrate is removed to form a trench. The oxide film is filled in the trench. A first ion implantation process is performed to form a well. The pad nitride film and the pad oxide film are removed to form a protruding oxide nipple. A second ion implantation process is performed to adjust VT. A polysilicon film is filled between the oxide nipples. It is made of a method for manufacturing a semiconductor device comprising the step of removing the oxide film nipple.

이하 첨부된 도면을 참조하여 본 발명의 바람직한 실시 예를 상세히 설명하기로 한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 3a 내지 3j는 본 발명에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도이다. 3A to 3J are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the present invention.

도 5a 내지 5e는 본발명에 따른 반도체 소자의 제조 방법을 설명하기 위한 SEM사진이다. 5A to 5E are SEM photographs for explaining a method of manufacturing a semiconductor device according to the present invention.

도 3a에 도시한 바와 같이, 실리콘 기판(Si substrate)(21) 표면의 결정결함 억제 또는 표면처리를 위한 패드 산화막(pad oxidation)(22)과 두꺼운 패드 질화막(pad nitride)(23)을 순차적으로 형성한다. 패드 산화막(pad oxidation)(22) 상부에 LP-CVD 방법으로 약 2000 내지 3500Å정도의 높은 두께로 패드 질화막(pad nitride)(23)을 형성한다.As shown in FIG. 3A, a pad oxide 22 and a thick pad nitride 23 are sequentially formed for suppressing or treating crystal defects on the surface of the Si substrate 21. Form. A pad nitride film 23 is formed on the pad oxide film 22 at a high thickness of about 2000 to 3500 kPa by the LP-CVD method.

도 3b 및 5a에 도시한 바와 같이, 상기 패드 질화막(23), 패드 산화막(22)및 실리콘 기판(21)을 ISO 마스크 패터닝(ISO mask patterning)을 통해 순차적으로 식각하여 STI 구조의(Shallow Trench Isolation) 트랜치(trench)(24)를 형성하여 활성(active)영역과 비 활성영역을 확보한다. 트랜치(24)를 형성함에 있어서 상기 실 리콘 기판(21)은 75 내지 85°정도의 특정한 기울기를 갖도록 식각을 수행한다.As shown in FIGS. 3B and 5A, the pad nitride layer 23, the pad oxide layer 22, and the silicon substrate 21 are sequentially etched through ISO mask patterning to form an STI structure (Shallow Trench Isolation). A trench 24 is formed to secure an active region and an inactive region. In forming the trench 24, the silicon substrate 21 is etched to have a specific slope of about 75 to 85 degrees.

도 3c에 도시한 바와 같이, 상기 STI 구조의 트랜치(24)측벽의 식각 손상을 보상하고 트랜치(24) 상부 모서리의 라운딩(rounding) 처리와 활성 CD를 줄이기 위해서 약 1000 내지 1150℃의 온도 범위 내에서 건식 산화방식에 의해 150 내지 250Å의 두께를 타겟으로한 측벽 희생 산화(wall SAC oxidation)막(25)을 형성한다.As shown in FIG. 3C, within the temperature range of about 1000 to 1150 ° C. to compensate for etch damage of the trench 24 sidewalls of the STI structure and to reduce rounding and active CD at the top corners of the trench 24. In the dry oxidation method, a sidewall sacrificial oxidation (wall SAC oxidation) film 25 having a thickness of 150 to 250 kPa is formed.

도 3d에 도시한 바와 같이, 측벽 희생 산화막(25)을 전처리 세정 공정으로 제거한 후 상기 STI 구조의 트랜치(24) 대하여 750 내지 850℃의 온도 범위에서 습식 산화 방식으로 약 300 내지 450Å의 두께를 타겟으로한 과도한 측벽산화를 실시하여 측벽산화(wall oxidation)막(26)을 형성한다.As shown in FIG. 3D, after the sidewall sacrificial oxide film 25 is removed by a pretreatment cleaning process, a thickness of about 300 to 450 kPa is applied to the trench 24 of the STI structure by a wet oxidation method in a temperature range of 750 to 850 ° C. Excessive sidewall oxidation is performed to form a wall oxidation film 26.

구체적으로 상기 과도한 측벽산화를 통해 상기 트랜치(24) 벽이 항아리형으로 변형되고 트랜치(24) 상부 코너(top corner)의 형상을 라운딩 시킨다. 또한 후속 공정에 의해 활성 영역에 형성될 폴리 실리콘(Poly-Si)층(32)의 오버랩(Overlap)을 미리 어느 정도 확보할 수 있다. Specifically, the wall of the trench 24 is deformed into a jar through the excessive sidewall oxidation and rounds the shape of the top corner of the trench 24. In addition, an overlap of the poly-Si layer 32 to be formed in the active region may be secured to some extent by a subsequent process.

도 3e에 도시한 바와 같이, 패드 산화막(22) 및 측벽산화막(26)을 포함한 전체 구조 상부에 HTO(High Temperature Oxide)를 얇게 증착하고 고온에서 치밀화 공정을 수행하여 라이너 산화(liner oxide)막(27)을 형성한다.As shown in FIG. 3E, a thin film of high temperature oxide (HTO) is deposited on the entire structure including the pad oxide layer 22 and the sidewall oxide layer 26, and a densification process is performed at a high temperature to produce a liner oxide layer ( 27).

도 3f 및 5b에 도시한 바와 같이, 라이너 산화막(27) 상에 상기 트랜치(24) 공백을 채우기 위해 5000 내지 10000Å정도의 두께로 HDP(High Density Plasma) 산화막(28)을 형성한다. 이때 트랜치(24) 내부에 빈 공간이 형성되지 않도록 HDP 산화막(28)을 증착한다. As shown in FIGS. 3F and 5B, the HDP (High Density Plasma) oxide film 28 is formed on the liner oxide film 27 to fill the gaps of the trench 24 to a thickness of about 5000 to 10000 μs. At this time, the HDP oxide layer 28 is deposited so that an empty space is not formed in the trench 24.                     

도 3g 및 5c에서 도시한 바와 같이, 질화막(23)을 식각정지층으로 하여 질화막(23) 상의 상기 HDP 산화막(28) 및 라이너 산화막(27)을 제거하기 위한 STI CMP 공정을 수행한다. CMP 공정에 의해 평탄화된 전체 구조에 웰 형성을 위한 이온 주입을 실시한다. As shown in FIGS. 3G and 5C, an STI CMP process is performed to remove the HDP oxide layer 28 and the liner oxide layer 27 on the nitride layer 23 using the nitride layer 23 as an etch stop layer. Ion implantation for well formation is performed to the entire structure planarized by the CMP process.

리트로그레이드(Retrograde) 웰(Well)을 형성하기 위한 이온 주입공정은 P-웰(P-Well)인 경우 P-웰, 인터(inter) P-웰 및 N-채널 필드 스탑(N-channel field stop) 이온주입을 하고, N-웰(N-Well)인 경우 트리플(Triple) N-웰, 인터 N-웰, P-채널 필드 스탑, P-채널 딥(P-channel deep) 이온주입을 실시한다.The ion implantation process for forming a retrograde well is performed in the case of a P-well, an P-well, an inter P-well, and an N-channel field stop. ) Ion implantation and triple N-well, inter N-well, P-channel field stop, and P-channel deep ion implantation in the case of N-Well .

활성 영역에는 패드 질화막을 이온주입 베리어(Barrier)로 사용하고, 비활성 영역에는 HDP 산화막을 베리어로 사용하여 종래의 활성 영역과 비활성 영역의 단차에 의해서 발생하였던 주입되는 이온들의 깊이 차를 없앨 수 있다. The pad nitride layer is used as an ion implantation barrier in the active region, and the HDP oxide layer is used as the barrier in the inactive region, thereby eliminating the depth difference between implanted ions generated by the step between the conventional active region and the inactive region.

도 3h에 도시한 바와 같이, 상기 질화막(23)을 인산 딥 아웃(H3PO4 dip out)을 이용한 질화막(23) 스트립(nitride strip) 공정을 수행함으로써, 비 활성영역에 HDP 산화막 니플(HDP oxide nipple)(29)을 형성한다. 상기의 질화막(23) 스트립시 HDP 산화막 니플(29)은 1500 내지 2000Å정도의 높이가 되도록 한다.As shown in FIG. 3H, the nitride film 23 is subjected to a nitride strip process using a phosphoric acid dip-out (H 3 PO 4 dip out), thereby providing an HDP oxide nipple (HDP) in an inactive region. oxide nipple) (29). When the nitride film 23 is stripped, the HDP oxide nipple 29 is set to a height of about 1500 to 2000 microns.

도 3i에 도시한 바와 같이, HDP 산화막 니플(29)의 일부와 두꺼워진 상기 패드 산화막(22)을 균일하게 식각한다. 상기 HDP 산화막 니플(29) 사이에 VT 스크린 산화(VT screen oxidation)막(30)을 형성한 후 VT 이온주입을 실시한다. As shown in FIG. 3I, a portion of the HDP oxide film nipple 29 and the thickened pad oxide film 22 are uniformly etched. After forming a VT screen oxidation film 30 between the HDP oxide film nipples 29, VT ion implantation is performed.

이때 VT 스크린 산화막(30)을 40 내지 60 Å의 두께로 형성하여 VT이온주입(VT implant)공정시 기판의 손상을 방지한다. 상기의 VT이온주입 공정시 HDP 산화막 니플(29)의 높이 즉 EFT(Effective Field Thickness)는 1200 내지 1500Å 정도에서 VT정렬 이온을 주입한다.At this time, the VT screen oxide film 30 is formed to a thickness of 40 to 60 Å to prevent damage to the substrate during the VT implantation (VT implant) process. In the VT ion implantation process, the height of the HDP oxide nipple 29, that is, the EFT (Effective Field Thickness), is implanted with VT alignment ions at about 1200 to 1500 mW.

도 3j, 5d 및 5e에 도시한 바와 같이, 상기 VT 스크린 산화막(30)을 제거한 후 터널 산화막(31)과 폴리 실리콘층(32)을 순차적으로 형성한다. HDP 산화막 니플(29)을 식각 정지층(stop barrier)으로 하여 CMP공정을 수행하면 완전히 분리된 플로팅 게이트가 얻어진다. 3J, 5D, and 5E, the tunnel oxide film 31 and the polysilicon layer 32 are sequentially formed after the VT screen oxide film 30 is removed. When the CMP process is performed using the HDP oxide nipple 29 as an etch stop barrier, a completely separated floating gate is obtained.

도 4는 본 발명에 따른 웰 형성용 이온 및 VT 이온을 주입한 후의 단면도이다.4 is a cross-sectional view after implanting the well-forming ions and VT ions according to the present invention.

도 4에 도시한 바와 같이, 트랜치 CMP후 웰 형성을 위한 이온주입을 진행 함으로써 활성 영역과 비활성 영역의 도핑농도 및 Rp를 동일하게 가져갈 수 있게 한다. 이로써 후속 VT 이온 주입과의 Rp 격차를 줄일 수 있다.
As shown in FIG. 4, ion implantation for well formation after the trench CMP may be performed to obtain the same doping concentration and Rp of the active and inactive regions. This can reduce the Rp gap with subsequent VT ion implantation.

본 발명은 트랜치 CMP공정후 즉, 패드 질화막 제거공정 전에 웰 형성을 위한 이온주입 공정을 실시함으로써, 활성 영역과 비활성 영역에 도핑되는 이온의 농도 및 Rp를 동일하게 할 수 있다. According to the present invention, an ion implantation process for well formation is performed after the trench CMP process, that is, before the pad nitride film removing process, so that the concentration and Rp of the ions doped in the active and inactive regions can be made the same.

또한 활성 영역과 비활성 영역에 도핑되는 이온의 농도 및 Rp를 동일하게 함으로써 후속 VT 정렬 이온주입 Rp와의 차를 줄일 수 있다.In addition, it is possible to reduce the difference between the subsequent VT alignment ion implantation Rp by making the concentration and Rp of the ions doped in the active and inactive regions the same.

따라서 본 발명은 누설 전류를 줄일 수 있고 반도체 소자의 특성을 향상 시 킬 수 있는 웰을 형성할 수 있다.
Therefore, the present invention can form a well that can reduce the leakage current and improve the characteristics of the semiconductor device.

Claims (12)

반도체 기판 상에 패드 산화막 및 패드 질화막을 형성하는 단계;Forming a pad oxide film and a pad nitride film on the semiconductor substrate; 상기 패드 질화막 및 패드 산화막을 패터닝하고, 노출된 반도체 기판의 일부를 제거하여 트랜치를 형성하는 단계;Patterning the pad nitride layer and the pad oxide layer and removing a portion of the exposed semiconductor substrate to form a trench; 상기 패드 질화막 및 상기 패드 산화막 사이의 상기 트랜치를 산화막으로 채우는 단계;Filling the trench between the pad nitride film and the pad oxide film with an oxide film; 제1 이온주입 공정을 실시하여 웰을 형성하는 단계;Performing a first ion implantation process to form a well; 상기 패드 질화막 및 패드 산화막을 제거하여 돌출된 산화막 니플을 형성하는 단계;Removing the pad nitride layer and the pad oxide layer to form a protruding oxide nipple; VT 조절용 이온을 제2 이온주입 공정으로 주입하는 단계;Implanting ions for adjusting VT into a second ion implantation process; 상기 산화막 니플 사이를 폴리 실리콘막으로 채우는 단계; 및Filling a gap between the oxide nipples with a polysilicon film; And 상기 산화막 니플을 제거하는 단계를 포함하는 반도체 소자의 제조 방법.Removing the oxide nipple. 제 1 항에 있어서, The method of claim 1, 상기 패드 질화막은 2000Å 내지 3500Å의 두께로 형성하는 반도체 소자의 제조 방법.The pad nitride film is a method of manufacturing a semiconductor device to form a thickness of 2000 kPa to 3500 kPa. 제 1 항에 있어서, The method of claim 1, 상기 웰은 리트로그레이드 웰(retrograde well)로 형성하는 반도체 소자의 제조 방법.The well is a semiconductor device manufacturing method of forming a retrograde well (retrograde well). 제 3 항에 있어서,The method of claim 3, wherein 상기 리트로그레이드 웰을 P형으로 형성하는 경우, 상기 제1 이온주입 공정은 P-웰, 인터 P-웰 또는 N-채널 필드 스탑 이온주입공정으로 실시하는 반도체 소자의 제조 방법.And forming the P-type reflow raid well, wherein the first ion implantation process is performed using a P-well, an inter-P-well, or an N-channel field stop ion implantation process. 제 3 항에 있어서, The method of claim 3, wherein 상기 리트로그레이드 웰을 N형으로 형성하는 경우, 상기 제1 이온주입 공정은 N-웰, 인터 N-웰, P-채널 필드 스탑 또는 P-채널 딥 이온주입공정으로 실시하는 반도체 소자의 제조 방법.The first ion implantation process may be performed using an N-well, an inter N-well, a P-channel field stop, or a P-channel deep ion implantation process when the retrode well is formed in an N type. 제 1 항에 있어서,The method of claim 1, 상기 산화막을 채우는 단계 이전에, 상기 트랜치의 표면을 따라 측벽 산화막을 형성하는 단계를 더 포함하는 반도체 소자의 제조 방법.And forming a sidewall oxide film along the surface of the trench prior to filling the oxide film. 제 6 항에 있어서,The method of claim 6, 상기 측벽 산화막을 형성한 후, 상기 측벽 산화막 및 상기 패드 질화막의 표면을 따라 라이너 산화막을 형성하는 단계를 더 포함하는 반도체 소자의 제조 방법.And forming a liner oxide film along surfaces of the sidewall oxide film and the pad nitride film after forming the sidewall oxide film. 제 1 항에 있어서,The method of claim 1, 상기 산화막 니플은 상기 반도체 기판의 상부로부터 1500Å 내지 2000Å의 높이만큼 돌출된 반도체 소자의 제조 방법.And the oxide film nipple protrudes from the top of the semiconductor substrate by a height of 1500 kPa to 2000 kPa. 제 1 항에 있어서,The method of claim 1, 상기 산화막은 HDP 산화막으로 형성하는 반도체 소자의 제조 방법.The oxide film is a manufacturing method of a semiconductor device formed of an HDP oxide film. 제 1 항에 있어서, The method of claim 1, 상기 산화막 니플을 형성하는 단계에서, 상기 패드 질화막은 인산 딥 아웃을 이용한 스트립 공정을 실시하여 제거하는 반도체 소자의 제조 방법.In the step of forming the oxide nipple, the pad nitride film is removed by performing a strip process using a phosphoric acid deep out. 제 1 항에 있어서, The method of claim 1, 상기 제2 이온주입 공정을 실시하기 이전에, 상기 산화막 니플 사이의 상기 반도체 기판 상에 스크린 산화막을 형성하는 단계를 더 포함하는 반도체 소자의 제조 방법.And forming a screen oxide film on said semiconductor substrate between said oxide film nipples before performing said second ion implantation process. 제 11 항에 있어서,The method of claim 11, 상기 스크린 산화막은 40Å 내지 60Å의 두께로 형성하는 반도체 소자의 제조 방법.The screen oxide film is a method of manufacturing a semiconductor device to form a thickness of 40 ~ 60Å.
KR1020010087979A 2001-12-29 2001-12-29 Method of manufacturing a semiconductor device KR100811438B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020010087979A KR100811438B1 (en) 2001-12-29 2001-12-29 Method of manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020010087979A KR100811438B1 (en) 2001-12-29 2001-12-29 Method of manufacturing a semiconductor device

Publications (2)

Publication Number Publication Date
KR20030057877A KR20030057877A (en) 2003-07-07
KR100811438B1 true KR100811438B1 (en) 2008-03-07

Family

ID=32215639

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010087979A KR100811438B1 (en) 2001-12-29 2001-12-29 Method of manufacturing a semiconductor device

Country Status (1)

Country Link
KR (1) KR100811438B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8716097B2 (en) * 2012-08-13 2014-05-06 Texas Instruments Incorporated MOS transistors having reduced leakage well-substrate junctions

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5795801A (en) * 1995-10-25 1998-08-18 Samsung Electronics Co., Ltd. MethodS of fabricating profiled device wells for improved device isolation
KR19980063291A (en) * 1996-12-17 1998-10-07 윤종용 Trench device isolation
KR19990042446A (en) * 1997-11-26 1999-06-15 김영환 Manufacturing method of semiconductor device
KR20000003574A (en) * 1998-06-29 2000-01-15 김영환 Element isolating insulating film forming method of semiconductor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5795801A (en) * 1995-10-25 1998-08-18 Samsung Electronics Co., Ltd. MethodS of fabricating profiled device wells for improved device isolation
KR19980063291A (en) * 1996-12-17 1998-10-07 윤종용 Trench device isolation
KR19990042446A (en) * 1997-11-26 1999-06-15 김영환 Manufacturing method of semiconductor device
KR20000003574A (en) * 1998-06-29 2000-01-15 김영환 Element isolating insulating film forming method of semiconductor

Also Published As

Publication number Publication date
KR20030057877A (en) 2003-07-07

Similar Documents

Publication Publication Date Title
EP1213757B1 (en) Integrated circuits having adjacent p-type doped regions having shallow trench isolation structures without liner layers therebetween and methods of forming same
KR100696382B1 (en) Semiconductor device and method of fabricating the same
KR100578656B1 (en) Method for forming a floating gate in flash memory device
JP2006041503A (en) Manufacturing method of flash memory element
KR100466196B1 (en) Method for manufacturing flash memory
KR100426487B1 (en) Method of forming a floating gate in flash memory device
JP2006108605A (en) Wall oxide film forming method and element isolation film forming method of flash memory element
KR100580117B1 (en) A method for forming an isolation layer in semiconductor memory device
JP2006210913A (en) Semiconductor element having stepped gate and manufacturing method thereof
KR100590396B1 (en) Method of manufacturing a flash memory cell
KR100772717B1 (en) Semiconductor device with asymmetric cell transistor and method for manufacturing the same
US6921705B2 (en) Method for forming isolation layer of semiconductor device
KR100811438B1 (en) Method of manufacturing a semiconductor device
KR100427538B1 (en) Method of forming a isolation layer in a semiconductor device
KR100281272B1 (en) Method for forming element isolation insulating film of semiconductor element
KR20010055525A (en) Method for shallow trench isolation
KR20010068644A (en) Method for isolating semiconductor devices
KR100673224B1 (en) Method of manufacturing a flash memory device
KR100476704B1 (en) Method of manufacturing a semiconductor device
KR20030043498A (en) Method of manufacturing a flash memory cell
KR20040005230A (en) Method for manufacturing flash memory
KR100603249B1 (en) Method for fabricating floating gate of flash memory
KR101145802B1 (en) Memory cell of nand type flash memory device and method for manufacturing the same
KR20070002293A (en) Method for fabricating flash memory device
KR20050086297A (en) Method of forming isolation film in semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110126

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee