KR100715079B1 - 화학 기상 증착 시스템에서 가스를 분배하기 위한 장치 - Google Patents

화학 기상 증착 시스템에서 가스를 분배하기 위한 장치 Download PDF

Info

Publication number
KR100715079B1
KR100715079B1 KR1020000039300A KR20000039300A KR100715079B1 KR 100715079 B1 KR100715079 B1 KR 100715079B1 KR 1020000039300 A KR1020000039300 A KR 1020000039300A KR 20000039300 A KR20000039300 A KR 20000039300A KR 100715079 B1 KR100715079 B1 KR 100715079B1
Authority
KR
South Korea
Prior art keywords
gas
plate
pair
coupled
disposed
Prior art date
Application number
KR1020000039300A
Other languages
English (en)
Other versions
KR20010039711A (ko
Inventor
인나 시무런
스코트 헨드릭슨
그웬돌린 존스
생카 벤카타라만
선 티. 니구연
유제니아 아이-천 리우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010039711A publication Critical patent/KR20010039711A/ko
Application granted granted Critical
Publication of KR100715079B1 publication Critical patent/KR100715079B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/21Circular sheet or circular blank
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/21Circular sheet or circular blank
    • Y10T428/211Gear
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/21Circular sheet or circular blank
    • Y10T428/218Aperture containing

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)

Abstract

적어도 두 개의 챔버들을 가지는 반도체 처리 장치용 덮개 조립체는 제 1 측면 및 제 2 측면 및 덮개 조립체의 제 1 측면에 장착되는 플라즈마 발생원을 가지는 덮개판를 포함한다. 부가적으로, 적어도 두 개의 가스 박스들은 덮개판의 덮개의 제 1 측면에 결합되며, 분배기는 플라즈마 발생원과 적어도 두 개의 가스 박스 사이에 결합된다.

Description

화학 기상 증착 시스템에서 가스를 분배하기 위한 장치{APPARATUS FOR DISTRIBUTING GASES IN A CHEMICAL VAPOR DEPOSITION SYSTEM}
도 1은 본 발명에 따른 CVD 시스템의 개략도.
도 2는 도 1의 CVD 장치용 덮개 조립체의 사시도.
도 3은 도 2의 덮개 조립체의 평면도.
도 4는 도 3의 4-4 라인을 따른 덮개 조립체의 부분 단면도.
도 5는 도 4의 5-5 라인을 따른 혼합 블록의 부분 단면도.
도 6은 도 3의 6-6 라인을 따른 덮개 조립체의 부분 단면도.
* 도면의 주요 부분에 대한 부호의 설명 *
100 : CVD 장치 102 : 제 1 챔버
104 : 제 2 챔버 106 : 외벽
108 : 내벽 110 : 덮개 조립체
112 : 가스 패널 114 : 받침대
116 : 기판 118 : RF 공급원
120A, 120B : 챔버 중심선 200 : 플라즈마 발생원
202 : 분배기 204 : 제 1 도관
206 : 제 2 도관 208 : 제 1 가스 박스
210 : 제 2 가스 박스 212 : 브래킷
214 : 힌지 216 : 핸들
218 : 유입 매니폴드 220 : 공급채널
228 : 덮개판 230 : 혼합 블록
402 : 하우징 404 : 보텍스 발생기
410 : 가스 전달 튜브 426 : 장착판
436 : 차단판 437 : 샤워헤드
438 : 면판 440 : 절연체
508 : 제 1 절개부 510 : 제 2 절개부
516 : 가스 유입부
본 발명은 화학 기상 증착을 수행하기 위한 장치에 관한 것이다. 더욱 상세하게는, 본 발명은 복수의 챔버들을 가지는 화학 기상 증착 시스템내의 가스를 분배하기 위한 덮개 조립체(lid assembly)에 관한 것이다.
화학 기상 증착(또는 CVD)은 공지되어 있으며, 반도체 웨이퍼와 같은 기판상에 재료의 증착을 위해 실행되는 기술이다. CVD 챔버는 통상적으로 전기적으로 접지된 벽들 및 하나의 뚜껑에 의하여 형성된다. 기판을 지지하기 위한 받침대(pedestal)는 상기 챔버내에 배치된다. 샤워헤드(showerhead)는 덮개 아래 및 받침대상에 배치된다. 대기 압력(즉, 고압 시스템들)상의 공정 상태를 위하여, 가스 패널 및 선택적으로는 RF 공급원이 상기 챔버내에 결합된다. 가스 패널은 샤워헤드에 결합되며 상기 챔버로 공정 가스들 및 다른 가스들을 제공한다. 공정 가스들 및 기판은 가스 및 기판 박막 형성의 열적 분해를 촉진하는 온도로 예열된다. 고압 시스템에서, 샤워헤드는 RF 공급원으로 결합된다. 이용될 때, RF 공급원은 샤워헤드를 구동시키며, 플라즈마 강화 CVD(PECVD)를 위한 중착 공정을 강화하는 공정 가스 플라즈마를 연소시키며 지속시킨다. 챔버내로 주입된 공정 가스 또는 가스들이 기판상에 재료의 층을 형성하기 위하여 반응할 때 증착이 발생한다. 형성된 재료가 종종 웨이퍼가 아닌 CVD 챔버내의 부품상에 부가적으로 증착되기 때문에, 또한 가스 패널도 웨이퍼 공정후 챔버내에 남아 있는 원치않는 증착 재료를 세척 또는 문질러 제거하기 위하여 통상적으로 플루오르와 같은 세정제를 공급한다.
비록 CVD가 대부분의 집적 회로 제작 차례에서 중요한 공정(key process)이 남아 있지만, 더욱 튼튼하고, 확실하며, 비용 효율적인 공정 장치를 제작하기 위한 압력이 집적 회로 제작 시장내에서의 더 많은 경쟁 및 평가되는 압력으로 증가된다. 하나의 해결책은 다중 챔버 및 클러스터 도구들(cluster tools)을 이용하는 것이다. 비록 이 해결책이 시스템의 작업 처리량을 증가시키지만, 각각의 챔버는 세척 공정을 촉진하기 위하여 전용의 플루오르 공급원을 가진다. 챔버중에서 하드웨어(hardware)의 여분은 시스템의 자본 비용을 증가시킨다. 부가적으로, 더욱 많은 부품들이 시스템을 포함할 때, 가능한 정기 점검 및 정지 시간 주기 또한 증가된다. 예를 들면, 전용 플라즈마 발생원이 극초단파 마그네트론들로서 특히 확실치 않게 되는 시스템들은 종종 정기 점검이 요구된다.
그러므로, 종래에는 전체 시스템 하드웨어 비용을 감소시키는 반면 증가된 신뢰성을 제공하는 CVD 공정을 위한 장치가 요구된다.
종래 기술의 문제점이 본 발명에 따른 화학 기상 증착(CVD) 시스템에서 가스들을 분배하기 위한 장치에 의하여 해결된다. 본 발명의 하나의 특징에서, 적어도 두 개의 챔버를 가지는 반도체 처리 장치용 덮개 조립체는 제 1 측면 및 제 2 측면을 가지는 덮개판 및 덮개판의 제 1 측면에 장착되는 플라즈마 발생원을 포함한다. 부가적으로, 적어도 두 개의 가스 박스들은 덮개판의 제 1 측면에 결합되며, 분배기가 플라즈마 발생원과 적어도 두 개의 가스 박스들 사이에 결합된다.
본 발명의 또 다른 특징에서, 반도체 공정 장치는 적어도 두 개의 챔버 및 적어도 두 개의 챔버상에 배치된 덮개 조립체를 포함한다. 덮개 조립체는 제 1 측면 및 제 2 측면, 상기 덮개판의 제 1 측면에 장착되는 플라즈마 발생원을 가지는 덮개판를 포함한다. 부가적으로, 적어도 두 개의 가스 박스들은 덮개판의 제 1 측면에 결합되며, 분배기는 플라즈마 발생원 및 적어도 두 개의 가스 박스들에 결합된다.
본 발명의 사상은 첨부된 도면과 함께 후술되는 상세한 설명을 고려함으로써 용이하게 이해할 수 있다.
본 발명의 이해를 용이하게 하기 위하여, 동일한 도면 부호들은, 가능하다 면, 일반적으로 도면들에서 동일한 부품들을 표시한다.
본 발명의 화학 기상 증착 장치(100; CVD 장치)는 도 1에 도시된다. CVD 장치(100)는 동시에 제 1 챔버(102) 및 제 2 챔버(104)를 형성하는 전기적으로 접지된 외벽들(106), 내벽(108), 및 덮개 조립체(110)를 가진다. 제 1 챔버 및 제 2 챔버(102 및 104)는 내벽(108)에 의하여 서로로부터 절연된다. 받침대(114)는 각각의 챔버들(102 및 104) 내에 배치된다. 각각의 받침대(114)는 거의 각각의 챔버 중심선들(120A 및 120B)에 배치된다. 기판(116)은 정전기력, 기계적 또는 진공 클램핑, 중력 등의 이용을 통하여 받침대(114)에 위치하거나 또는 선택적으로 고정될 수 있다. 가스 패널(112)은 제 1 챔버 및 제 2챔버(102 및 104)내에서 발생하기 위하여 종래의 CVD용으로 요구되는 바와 같이 CVD 장치(100)에 결합되며 공정 가스들 및 다른 가스들을 제공한다. CVD 동안 이용된 가스의 예들은 오존, TEOS(테르라에틸오르토실리케이트; tetraethylorthosilicate), NH3, SiH4 등이다. CVD 챔버(100)는 선택적으로 RF 공급원(118)에 결합되며, 아래에서 더욱 상세하게 논의된다.
덮개 조립체(110)는 도 2 및 도 3에서 더욱 상세하게 도시된다. 본 발명을 가장 용이하게 이해하기 위하여, 도 2는 사시도이며, 도 3은 평면도로서 도시된다. 당업자에게는 다음에 설명되는 덮개 조립체(110)의 형상, 및 관련된 부품들이 가스를 분배하며 제 1 챔버(102) 및 제 2 챔버(104)를 각각 밀폐하는 덮개 조립체의 성능에만 제한된다는 것이 용이하게 이해될 것이다. 부가적으로, 당업자는 비록 CVD 장치(100)가 두 개의 챔버만을 가지는 것으로서 설명되지만 이는 오직 예시를 위한 것임을 용이하게 이해할 것이다. CVD 장치(100)는 다음에 공개되는 것에 의하여 알수 있는 바와 같은 적절한 부품들에서 대응되는 증가와 함께, 다수의 챔버들 및 가스 박스들을 용이하게 수용할 수 있다.
덮개 조립체(110)는 덮개판(228), 다수의 가스 박스들[즉, 제 1 가스 박스(208) 및 제 2 가스 박스(210)] 및 플라즈마 발생원(200)을 가진다. 이 덮개판(lid plate)(228)은 거의 사각형상이며 전도성 재료로 만들어진다. 덮개판(228)에 있어서 바람직한 재료는 알루미늄이다. 하나 이상의 힌지(214)에 의해 챔버 외벽들(106)중 하나의 외벽에 이 덮개판(228)을 고정시킨다. 이 덮개판(228)을 용이하게 개방하기 위하여, 핸들(216)이 제공된다. 조임 기구(226), 즉, 잠금래치는 덮개 조립체(110)가 폐쇄 위치에 있을 때, 덮개판(228)을 챔버(102)에 고정한다. 이 덮개 조립체(110)는 한 쌍의 유입 매니폴드(218)(도 2의 플라즈마 발생원(200)에 의해 부분적으로 가려진 것 중의 하나) 및 한 쌍의 일정 전압 공급채널(220)(역시, 도 2의 플라즈마 발생원(200)에 의해 부분적으로 가려진 것 중에 하나)을 더 포함한다. 각 유입 매니폴드(218)는 각 가스 박스(208,210)에 인접한 덮개판(228) 상에 배치된다. 이 공급채널(220)은 각 유입 매니폴드(218)를 각각의 가스 박스에 연결하는 관(425)이 있는 반도체성 재료(즉, 실리콘 카바이드)로 제조한다(도 4 참조). 공급채널(220)은 일반 양도된 미국 특허 제5,725,675호에 완전히 설명되며, 본 명세서에서 참조된다. 따라서, 이 공급채널(220)은 유입 매니폴드(218)와 가스 박스(208,210)를 전기적으로 절연시킨다. 덮개 조립체(110)의 온도를 제어하기 위하여, 각 유입 매니폴드(218)는 물과 같은 냉각 유체를 순환시키는 유입 열교환기 및 유출 열교환기(217 및 219)를 각각 구비한다. 이 냉각유체는 65℃에서 70℃의 온도범위에서, 각 유입 매니폴드(218) 및 덮개 조립체(110)를 통하여 연장하는 채널(미도시)을 통하여 순환한다.
상기 플라즈마 발생원(200)은 복수의 챔버들(즉, 챔버(102,104))에서 불필요한 증착물질을 제거하는 세정제(cleaning agent)를 발생한다. 증착물질을 제거하는 세정제는 종래 기술로 잘 알려져 있지만, 원자 플루오르(불소)가 바람직하다. 그러한 플라즈마 발생원(200)은 아즈테 코퍼레이션{Azte Corporation[아스트란 모델(ASTRON MODEL)]}으로부터 구입하여 이용가능하다. 이 플라즈마 발생원(200)은 브래킷(braket)(212)에 의해 상기 덮개판(228) 중심에 지지된다. 브래킷(212)은 용접, 리베팅(riveting), 머신스크류(machine screws) 등과 같은 종래 수단에 의해 덮개판(228)에 단단히 조여있다.
이 플라즈마 발생원(200)은 세정제를 분배기(divider; 202), 제 1 도관(conduit)(204) 및 제 2 도관(206)을 통하여 챔버(102,104)로 공급한다. 이 분배기(202)는 플라즈마 발생원(200)과, T자형을 형성하며 두 제 1 도관 및 제 2 도관에 연결된다. 제 1 도관(204)은 분배기(202)를 제 1 가스 박스(208)에 연결하고, 제 2 도관(206)은 분배기(202)를 제 2 가스 박스(210)에 연결한다. 제 1 및 제 2 도관(204,206)은 가스 박스(208,210)를 플라즈마 발생원(200)과 전기적으로 절연하기 위하여 유전체물질로 제조된다. 따라서, 이 세정제는 플라즈마 발생원(200)에서 흘러나와 분배기(202) 속에 담기고, 다음에, 각 도관과 가스 박스를 통하여 각 챔버로 들어간다. 가스 박스를 통하여 흐르는 세정제의 설명은 하기에 설명한다.
제 1 및 제 2 가스 박스(208,210)는 도 3 및 도 4를 동시에 참조하면 가장 잘 이해할 수 있다. 제 1 및 제 2 가스 박스(208,210)는 혼합 블록(mixing block)(230), 장착판(mounting plate)(426), 절연체(isolator)(440) 및 샤워헤드(showerhead)(437)로 각각 구성된다. 샤워헤드(437)는 차단판(brocker plate)(436) 및 면판(faceplate)(438)을 구비한다. 제 1 가스 박스(208)는 챔버 중심선(120A)을 따라 덮개판(228)의 중심에 배치된다. 제 2 가스 박스(210)는 챔버 중심선(120B)을 따라 덮개판(228)의 중심에 배치된다. 제 1 및 제 2 가스 박스(210)는 일반적으로 원형 형상이고, 각 장착판(426)에 3 장착홀(mounting hole)이 있다. 이 장착판(426)은 전도성물질, 바람직하게는 알루미늄으로 제조된다. RF커플링 탭(222)은 제 1 및 제 2 가스 박스(208,210)의 장착판(426)을 선택적 RF 공급원(118)에 연결한다. RF전압은 장착판(426)을 통하여 면판(438)으로 연결된다. 절연체(224)는 RF커플링 탭(222)과 덮개판(228)을 전기적으로 절연시킨다.
하나의 혼합 블록(230)은 각 가스 박스(208,210)의 정점 중심에 배치된다. 혼합 블록(230)은 도 4 및 도 5에 더 자세히 도시되어 있다. 본 발명의 가장 잘 이해하기 위해서는, 도 4 및 도 5를 동시에 참조하는 것이 좋다. 혼합 블록(230)은 하우징(402), 보텍스(vortex) 발생기(404), 가스전달 튜브(410) 및 캡(406)을 구비한다. 하우징(402)은 알루미늄으로 제조되는 것이 바람직하다. 또한, 이 하우징(402)에는 보어(418)와 연통하는 슬롯(414)이 있다. 또한, 이 슬롯(414)은 제 1 및 제 2 가스 유입부(516)을 교차시킨다. 이 슬롯(414)은 실제 타원 형상이며, 그 이유를 하기에 설명한다. 슬롯(414), 보어(418) 및 측면 포트(side port)(416) 사이에 유체가 통과하기 위하여, 측면 포트(416)가 혼합 블록(230)을 통과하여, 슬롯(414) 또는 보어(418), 또는 슬롯(414,418) 모두와 교차한다.
보텍스 발생기(404)는 슬롯 내에 배치된다. 보텍스 발생기(404)는 슬롯(414)에 맞게(즉, 범위 내에 위치) 형성되고, 알루미늄으로 제조되는 것이 바람직하다. 슬롯(414)과 보텍스 발생기(404) 사이의 공형 지오메트리(conformal geometry)는 슬롯(414) 내에서 보텍스(404)의 회전을 막는다. 이와 같이, 슬롯(414)은 타원으로 하는 것이 바람직하지만, 다른 지오메트리의 슬롯도 마찬가지로 동일한 기능을 할 수 있다. 보텍스 발생기(404)에는 실질적으로 원통형 내부용적(454)을 한정하는 벽(450)과 바닥(452)이 있다. 이 바닥(452)에는 출구공(456)이 있다. 제 1 절개부(cutout)(508)가 내부로 연장되지만, 원통형 벽(450)을 통과하지는 않는다. 도 4의 실시예에 있어서, 제 1 절개부(508)는 원통형 벽(450)의 제 1 부분(551)을 둘러싼다. 제 2 절개부(510)는 내부로 연장되지만, 원통형 벽(450)을 통과하지는 않는다. 도 4의 실시예에 있어서, 제 2 절개부(510)는 원통형 벽(450)의 제 2 부분(553)을 둘러싼다. 이 제 1 절개부(508)가 교차하는 제 1 개구(aperture)(502)는 원통형 벽(450)을 관통하지 않는다. 제 2 절개부(510)가 교차하는 제 2 개구(504)도 원통형 벽(450)을 관통하지 않는다. 제 1 및 제 2 개구(502,504)는 보텍스 발생기(404)의 중심에 대하여 측면으로 옵셋(offset)된다. 도 4에 도시된 실시예에 있어서, 제 1 및 제 2 개구(502,504)는 180도 이격되는 것이 바람직하다. 그러나, 배경기술의 통상의 기술자는 각 절개부(508,510)의 각각 뿐만아니라 제 1 및 제 2 개구(502,504) 가 180도 이하로 떨어져 위치할 수 있다는 것을 알 것이다. 어느 한 쪽의 적용에 있어서, 유체(즉, 방법 및 다른 가스)가 제 1 및 제 2 개구(502,504)로부터 보텍스 발생기(404)로 들어가서, 유동 화살표(510A 및 512A)로 도시된 바와 같은 격렬한 흐름을 만든다. 이 격렬한 유동은 보텍스 발생기(404)의 내부 용적(454)에서 제 1 및 제 2 개구(502,504)를 나가면서, 전체적으로 가스를 혼합한다. 배경기술의 통상의 기술자는 제 1 및 제 2 개구(502,504)가 격렬한 반시계방향 유체유동(유동 화살표 510A 및 512A) 또는 시계방향 화살표 유동(도시 안됨) 중 어느 하나로 구성될 수 있다는 것을 알 수 있을 것이다. 또한, 배경기술의 통상의 기술자는 보텍스 발생기(404)가 하우징(502)으로 하우징(402)으로 통합될 수 있다는 것을 알 수 있다.
중심 통로(444)가 있는 가스 전달 튜브(410)는 보텍스 발생기(404)의 바닥에 고정되어, 장착판(426)을 관통한다. 중심통로(444)는 출구공(456)과 일렬로 배치되어, 보텍스 발생기(404)를 관통하는 공정과 다른 가스가 출구공(456) 및 중심통로(444)를 통하여 각 챔버 내로 들어가게 되어 하기에 설명한 바와 같이 된다.
보텍스 발생기(404)는 슬롯(414) 내에 설치된다. 보텍스 발생기(404) 및 슬롯(414)의 공형 지오메트리(conformal geometry)는 제 1 및 제 2 절개부(508,510)가 자동적으로 제 1 및 제 2 가스 유입부(514,516) 각각과 일렬로 된다. 캡(406)은 하우징(402)에 보텍스 발생기를 보유한다. 캡(406)은 복수의 조임쇠(fasteners)(408)(즉, 머신 스크류)에 의해 하우징(402)에 잠긴다. 캡(406)과 하우징(402) 사이에는 O-링(422)이 있다. 이 O-링(422)은 CVD 장치(100)에 이용된 공정과 다른 가스와 호환가능한 재료이다. 그러한 재료는 CHEMREZTM와 같은 종래 기술로 이미 공지되어 있다. 이 O-링(422)은 공정과 다른 가스가 하우징을 벗어나는 것을 막는다. 이하에 참조되는 모든 다른 O-링은 간소화를 위해 동일한 도면부호 "422"로 도시된다. 모든 O-링(422)은 동일 재료로 된 것으로 한다. 물론, 주어진 O-링의 크기는 변화될 것이다.
도 3 및 도 4를 다시 참조하여, 혼합 블록(230)은 장착판(426) 상에 설치한다. O-링(422)은 공정 가스 및 다른 가스(세정제를 포함)의 이탈을 막기 위하여 혼합 블록(230)과 장착판(426) 사이에 배치된다. 이 장착판(426)은 알루미늄 재료로 제조되는 것이 바람직하다. 이 장착판(426)에는 플랜지(460)가 구비되고, RF 커플링 탭(222)에 연결된다. 또한, 이 장착판(426)은 가스 전달 튜브(410)와 세정제가 통과하도록 중공부를 가진다. 장착판(426)의 아래에는 샤워헤드(437)의 차단판(blocker plate)(436)이 설치된다. 이 차단판(436)에는 가스가 통과하도록 구멍이 관통되어 있다. 차단판(436)과 장착판(426)은 혼합 블록(230)을 나가는 여러 가스가 반경방향 바깥으로 확산되도록 하는 간극(448)을 형성한다.
샤워헤드(437)의 면판(438)은 림(462)과 관통된 바닥(464)을 구비한 접시형상을 한다. 면판(438)은 전도성 재료로 제조되며, 알루미늄으로 제조되는 것이 바람직하다. 면판(438)의 림(462)은 플랜지(460)에 맞닿아 있다. 또한 이 림(462)은 절연체(440)에도 맞닿아 있다. 절연체(440)는 덮개판(228)으로부터 각 가스 박스를 전기적으로 절연한다. 절연체(440)는 플로러폴리머(fluoropolymers) 및 세라믹과 같은 절연성의 유전체 재료로 제조된다. 절연체(440)는 플로러폴리머(즉, TEFLONTM)으로 이루어지고, 다른 더한 연질의 유전체는 경질 링(442)을 가져야만 한다(즉, 알루미늄 링). 이 경질 링(442)은 절연체(440)의 절연기능을 파괴하지 않도록 구성되어야만 한다. 3개의 장착 홀(mounting hole)(232)이 장착판(426)을 통과한다. 장착 홀(232)은 장착판(426)을 덮개판(228)에 고정하는 조임 스크류를 수용한다. 유전체 부싱(bushing)(428)은 조임 스크류(430)를 절연하고, 결국, 장착판(426)과 덮개판(228)을 절연한다.
차단판(436) 및 면판(438) 사이에는 플레넘(plenum)(458)이 형성된다. 차단판(436)을 통과하는 여러 가스는 플레넘(458)으로 들어가고, 면판(438)의 관통된 바닥(464)에 의해 발생한 약한 유동 제한에 종속된다. 이로 인해, 일정하게 분포된 가스 유동이 관통된 바닥(464)을 통과하여 각 챔버 내로 들어가게 되면서, 여러 가스가 면판(438)을 가로질러 반경방향으로 더 확산된다.
일련의 O-링(422)은 각 챔버내로의 가스 전송이 확실하도록 절연체(440)와 덮개판(228), 절연체(440)와 면판(438) 및 면판(438)과 공급채널(436) 사이에 설치된다.
가스 패널(112)로부터 챔버(102,104) 내로 공정 가스와 다른 가스의 전송은 도 4 및 도 6을 동시에 참조함으로써 가장 잘 이해 될 수 있다. 챔버(106)에는 가스 패널(112)에 유동성으로 연결된 내부 가스통로(424)가 있다. 덮개판(228), 유입 매니폴드(218) 및 분기관 도관(220)에도 내부 가스통로(424)가 있다. 챔버벽(106) 내에 가스통로(424), 덮개판(228), 유입 매니폴드(218)는 일렬로 정렬되고, 가스 패널(112)로부터 각 가스 박스(208,210)의 혼합 블록(230)까지 O-링으로 누설없이, 공정 및 다른 가스를 흐르게 한다. 물론, 배경 기술의 통상의 기술자는, 가스패널(112)이 다수의 대체방법으로 혼합 블록(230)에 연결된 것을 이미 알고 있을 것이다.
혼합 블록(230)과 유입 매니폴드(218) 사이의 경계면은 도 5에 더 상세히 도시되어 있다. 유입 매니폴드 가스 통로(424)는 제 1 및 제 2 가스 유입부(514,516)과 각각 일렬로 설치되고, O-링으로 밀봉된다. 가스 패널(112)로부터 흐르는 공정 및 다른 가스는 유동 화살표(510,512)로 도시한 바와 같이, 혼합 블록(230)으로 들어간다. 유동 화살표(512)에 나타낸 가스 유동은 제 1 가스 유입부(514)을 통하여 혼합 블록(230)으로 들어가서, 제 1 가스 유입부(514)을 통하여 보텍스 발생기(404)의 제 1 절개부(508)로 넘어가며, 제 1 개구(502)를 통하여 내부(454)로 넘어간다. 유동 화살표(510)로 나타낸 가스 유동은 제 2 가스 유입부을 통하여 혼합 블록(230)으로 들어가서, 보텍스 발생기(404)의 제 2 절개부(506)로 넘어가고, 실질적으로, 제 2 개구(504)를 통하여 내부(454)로 넘어간다. 화살표(510,512)로 나타낸 가스 유동은 유동 화살표(510A,512A)로 도시된 격렬한 유동의 보텍스 발생기(404)의 내부(454)에서 혼합되어, 가스를 완전히 혼합한다. 도 6으로 돌아가 참조하여, 혼합된 가스는 가스 전달 튜브(410)를 통하여 혼합 블록(230)을 나와서, 상기한 방법으로, 유동 화살표(610)으로 나타내듯이, 면판(438)을 통하여 각각 챔버로 들어간다. 개시된 실시예에서는 혼합 블록(230)으로 들어가는 두 내부가스를 도시하였지만, 물론, 배경기술의 통상의 기술자는 추가적인 가스 유동을 적응시키도록 혼합 블록(230)의 구성을 기꺼이 변화할 수 있다.
플라즈마 발생원(200)으로부터 챔버(102,104)로의 세정제의 전송은 도 4, 도 5 및 도 6을 참조하여 더 상세히 이해될 수 있다. 플라즈마 발생원(200)을 출발하는 세정제는 분배기(202)로 들어간다. 세정제의 유동이 유동 화살표(604)로 도시한 바와 같이, 두 개의 유동으로 분리된다. 이 유동은 분배기(202)를 출발하여 제 1 도관(204)의 통로와 제 2 도관(206)의 통로(602)로 들어간다. 통로(420)에서의 유동은 측면 포트(416)를 통하여 혼합 블록(230)으로 들어간다. 유동 화살표(608)로 도시한 바와 같이, 가스 전달 튜브(410)를 둘러싸면서, 측면 포트(416)로부터 보어(418)를 지난다. 그 다음, 유동은 장착판(426)을 통과하여, 차단판(436)과 면판(438)에 의해 샤워헤드(437)에 확산되어, 상기한 바와 같이 각 챔버내로 넘어간다. 챔버(102,104) 내의 공기조건은, 플라즈마 발생기(200)로부터 챔버(102,104) 내로, 각각, 실질적으로 동일하도록, 화살표(608)로 도시한 유동을 일으킨다. 세정제의 누설을 막기위해, O-링(422)은 제 1 및 제 2 도관(204,206)의 두 끝에 설치된다.
CVD 장치(100)의 동작은 도 1, 도 3 및 도 6에 가장 잘 도시되어 있다. 동작에서, 웨이퍼(116)는 각 챔버(102,104)의 받침대(pedestal)(114) 상에 설치된다. 펌프(도시 안함)로 챔버(102,104)를 진공시킨다. 공정 가스 및 다른 가스는 각 가스 박스(208,210)의 혼합 블록(230)에 전송되어, 상기한 격렬한 방법으로 완전히 혼합된다. 혼합된 가스는 각 가스 박스의 면판(438)에 의해 일정한 유동으로 확산된 후, 챔버(102,104)로 들어간다. 그리고, 혼합된 가스는 웨이퍼(116) 상에 재료층을 증착한다. 한 번 필요한 두께가 증착되면, 공정과 다른 가스는 챔버(102,104)에서 제거된다.
선택적으로, 증착공정은 챔버 내에 공정 가스 플라즈마를 형성함으로써 강화될 수 있다. 필요하다면, 선택적 RF 전력원(118)이 RF 커플링 탭(222)을 통하여 각 가스 박스에 연결된다. RF전력은 장착판(426)을 통하여 면판(438)으로 연결된다. RF 전력은 면판(438)을 구동하며, 각 챔버 내에 혼합된 공정 및 다른 가스의 플라즈마를 점화하여 지속시킨다. 플라즈마 강화공정은 챔버를 낮은 온도에서 작동시킬 수 있어서, 공정에 유연성을 제공하여, 증착 공정의 다른 방식에 이용되는 챔버와의 호환성을 제공한다.
웨이퍼(116)가 제거된 후에, 클리닝 사이클이 시작된다. 플라즈마 발생기(200)는 세정제, 즉 원자 플로린(불소)을 발생시키고, 이 플로린은 분배기(202)를 통하여 전송되고, 유동 화살표(604)로 도시한 두 유동으로 분리된다. 이 유동은 제 1 및 제 2 도관(204,206)을 통하여 각 가스 박스로 전송된다. 세정제는 가스 전달 튜브(410)의 외부 주위로 흘러서, 상기한 방법으로, 면판(438)을 통하여 각 챔버로 들어간다. 세정제가 혼합공정 및 다른 가스에 의해 받아들여진 동일 경로를 흐르면서(가스 전달 튜브(410)를 출발한 후), 가스 박스(208,210) 및 챔버(102,104)가 CVD 공정시 증착되는 실질적으로 모든 재료를 효과적으로 세척한다.
개시된 실시예는 단일 플라즈마 발생원에서 챔버까지 세정제를 공급하지만, 본 발명은 플라즈마 발생원에서 챔버까지 활성제를 공급하는데 이용할 수 있다. 이러한 활성제는 증착공정을 강화 또는 제어하는데 이용될 수 있다. 본 발명의 지시와 합치하는 실시예를 상세히 나타내고, 도시하면서, 배경 기술의 통상의 기술자는 이들 기술과 합치되는 또 다른 많은 다양한 실시예를 쉽게 고안할 수 있다.
이와 같이, 본 발명은 전체 시스템 하드웨어 비용을 감소시키는 반면 신뢰성을 증가시키는 CVD 공정용 장치가 제공되는 효과가 있다.

Claims (24)

  1. 두 개 이상의 챔버를 가지는 반도체 처리 장치용 덮개 조립체로서,
    제 1 측면 및 제 2 측면을 가지는 덮개판,
    상기 덮개판의 제 1 측면에 장착되는 플라즈마 발생원,
    상기 덮개판의 제 1 측면에 결합되는 두 개 이상의 가스 박스, 및
    상기 플라즈마 발생원과 상기 두 개 이상의 가스 박스들 사이에 결합되는 분배기를 포함하고,
    상기 두 개 이상의 가스 박스들은 각각 상측면 및 하측면을 가지는 장착판, 및 상기 장착판의 상기 상측면에 결합되는 혼합 블록을 포함하며,
    상기 혼합 블록이 보텍스 발생기를 포함하는,
    덮개 조립체.
  2. 제 1 항에 있어서,
    상기 두 개 이상의 가스 박스는 상기 두 개 이상의 챔버 상에 각각 배치되는,
    덮개 조립체.
  3. 삭제
  4. 제 1 항에 있어서,
    상기 장착판의 상기 하측면에 결합되며 상기 두 개 이상의 챔버들 중 하나의 챔버 상에 배치되는 샤워헤드를 더 포함하는,
    덮개 조립체.
  5. 제 4 항에 있어서,
    상기 샤워헤드는 각각 상기 장착판의 하측면과의 사이에 확산 간극을 형성하도록 상기 장착판의 하측면 아래 배치되는 천공 차단판; 및
    상기 장착판의 주변에 결합되는 천공 면판으로서, 상기 차단판과 상기 천공 면판 사이에 플레넘을 형성하는 천공 면판을 포함하며;
    상기 천공 면판은 상기 가스 박스와 상기 덮개판 사이의 절연체와 접하는,
    덮개 조립체.
  6. 제 5 항에 있어서,
    상기 천공 면판 각각은 RF 공급원에 결합되는,
    덮개 조립체.
  7. 제 5 항에 있어서,
    상기 혼합 블록 각각은,
    그 안에 보텍스 발생기가 배치되는 슬롯을 가지는 하우징,
    상기 플라즈마 발생원에 결합되는 측면 포트, 및
    상기 하우징의 슬롯의 바닥 부분으로부터 및 상기 장착판을 통하여 연장되며, 상기 샤워헤드의 차단판 상에 배치되는 보어를 포함하는,
    덮개 조립체.
  8. 제 7 항에 있어서,
    상기 덮개판의 상기 제 1 측면 상에 배치되며 하나 이상의 가스 공급원으로부터 가스를 수용하는 두 개 이상의 유입 매니폴드,
    한 쌍의 통로들을 각각 가지는 두 개 이상의 공급 채널들로서, 상기 각각의 공급 채널은 상기 각각의 유입 매니폴드와 상기 각각의 혼합 블록 사이에 각각 결합되는 두 개 이상의 공급 채널을 더 포함하는,
    덮개 조립체.
  9. 제 8 항에 있어서,
    상기 각각의 혼합 블록은,
    상기 슬롯의 벽내로 연장되지만 상기 슬롯의 벽을 통과하지 않는 한 쌍의 절개부로서, 상기 한 쌍의 절개부 중 각각 하나의 절개부는 상기 공급 채널 중 하나의 채널의 한 쌍의 통로 중 하나의 통로와 각각 소통되는 한 쌍의 절개부, 및
    상기 보텍스 발생기의 바닥부에 배출 개구를 형성하기 위하여 상기 보어를 통하여 연장되는 가스 전달 튜브를 포함하며,
    상기 가스 전달 튜브는 상기 샤워헤드의 차단판 상에 배치되는,
    덮개 조립체.
  10. 제 9 항에 있어서,
    상기 각각의 슬롯은 타원형상인,
    덮개 조립체.
  11. 제 9 항에 있어서,
    상기 각각의 보텍스 발생기는 거의 원통형 내부 용적을 형성하는 벽 보텍스 및 바닥 보텍스를 포함하며, 상기 보텍스의 벽은 상기 각각의 절개부와 상기 두 개 이상의 공급 채널의 상기 한 쌍의 통로들 사이에 한 쌍의 개구를 더 포함하며, 상기 절개부 및 상기 개구는 상기 보텍스 발생기의 중앙에 대하여 측방향으로 오프셋되며 상기 한 쌍의 통로로부터 상기 보텍스 발생기 내로 유입되는 유체는 상기 보텍스 발생기 내에서 격류로서 유입되며, 상기 격류는 상기 가스 전달 튜브로부터 및 상기 샤워헤드를 경유하여 상기 챔버내로 분산되는,
    덮개 조립체.
  12. 제 11 항에 있어서,
    상기 한 쌍의 개구들은 서로로부터 180도 이격되는,
    덮개 조립체.
  13. 두 개 이상의 챔버, 및
    상기 두 개 이상의 챔버 상에 배치되는 덮개 조립체를 포함하며,
    상기 덮개 조립체는 제 1 측면 및 제 2 측면을 가지는 덮개판, 상기 덮개판의 상기 제 1 측면에 플라즈마 발생원, 상기 덮개판의 제 1 측면에 결합되는 두 개 이상의 가스 박스, 및 상기 플라즈마 발생원 및 상기 두 개 이상의 가스 박스에 결합되는 분배기를 포함하고,
    상기 두 개 이상의 가스 박스들이 보텍스 발생기를 포함하는,
    반도체 처리 장치.
  14. 제 13 항에 있어서,
    상기 두 개 이상의 가스 박스는 상기 두 개 이상의 챔버 상에 각각 배치되는,
    반도체 처리 장치.
  15. 제 14 항에 있어서,
    상기 두 개 이상의 가스 박스 각각은,
    상부 측면 및 하부 측면을 가지는 장착판; 및
    상기 장착판의 상측면에 결합되는 혼합 블록을 포함하는,
    반도체 처리 장치.
  16. 제 15 항에 있어서,
    상기 장착판의 하측면에 결합되며 상기 두 개 이상의 챔버들중 하나의 챔버 상에 배치되는 샤워헤드를 더 포함하는,
    반도체 처리 장치.
  17. 제 16 항에 있어서,
    상기 샤워헤드는 상기 장착판 각각의 하측면 사이에 확산 간극을 형성하도록 상기 장착판의 하측면 아래 배치되는 천공 차단판, 및
    상기 장착판의 주변에 결합되는 천공 면판으로서, 상기 차단판과 상기 천공 면판 사이에 플레넘을 형성하는 천공 면판을 포함하며;
    상기 천공 면판은 상기 가스 박스와 상기 덮개판 사이의 절연체와 접하는,
    반도체 처리 장치.
  18. 제 16 항에 있어서,
    상기 천공 면판 각각은 RF 공급원으로 결합되는,
    반도체 처리 장치.
  19. 제 17 항에 있어서,
    상기 혼합 블록 각각은,
    그 안에 보텍스 발생기가 배치되는 슬롯을 가지는 하우징,
    상기 플라즈마 발생원에 결합되는 측면 포트, 및
    상기 하우징의 슬롯의 바닥부로부터 상기 장착판을 통하여 연장되며, 상기 샤워헤드의 차단판 상에 배치되는 보어를 포함하는,
    반도체 처리 장치.
  20. 제 19 항에 있어서,
    상기 덮개판의 제 1 측면상에 배치되며 하나 이상의 가스 공급원으로부터 가스를 수용하는 두 개 이상의 유입 매니폴드,
    한 쌍의 통로를 각각 가지는 두 개 이상의 공급 채널들로서, 상기 각각의 공급 채널은 상기 각각의 유입 매니폴드와 상기 각각의 혼합 블록 사이에 각각 결합되는 두 개 이상의 공급 채널을 더 포함하는,
    반도체 처리 장치.
  21. 제 20 항에 있어서,
    상기 각각의 혼합 블록은,
    상기 슬롯의 벽내로 연장되지만 상기 슬롯의 벽을 통과하지 않는 한 쌍의 절개부로서, 상기 한 쌍의 절개부들 중 각각 하나의 절개부는 상기 공급 채널들 중 하나의 채널의 한 쌍의 통로 중 하나의 통로와 각각 소통되는 한 쌍의 절개부, 및
    상기 보텍스 발생기의 바닥부에 배출 개구를 형성하도록 상기 보어를 통하여 연장되는 가스 전달 튜브를 포함하며,
    상기 가스 전달 튜브는 상기 샤워헤드의 상기 차단판 상에 배치되는,
    반도체 처리 장치.
  22. 제 21 항에 있어서,
    상기 각각의 슬롯은 타원형상인,
    반도체 처리 장치.
  23. 제 22 항에 있어서,
    상기 각각의 보텍스 발생기는 거의 원통형 내부 용적을 형성하는 벽 보텍스 및 바닥 보텍스를 포함하며, 상기 보텍스의 벽은 상기 각각의 절개부와 상기 두 개 이상의 공급 채널의 상기 한 쌍의 통로들 사이에 한 쌍의 개구를 더 포함하며, 상기 절개부 및 상기 개구는 상기 보텍스 발생기의 중앙에 대하여 측방향으로 오프셋되며 상기 한 쌍의 통로로부터 상기 보텍스 발생기내로 유입되는 유체들은 상기 보텍스 발생기 내에서 격류로서 유입되며, 상기 격류는 상기 가스 전달 튜브로부터 및 상기 샤워헤드를 경유하여 상기 챔버내로 분산되는,
    반도체 처리 장치.
  24. 제 23 항에 있어서,
    상기 한 쌍의 개구는 서로로부터 180도 이격되는,
    반도체 처리 장치.
KR1020000039300A 1999-07-09 2000-07-10 화학 기상 증착 시스템에서 가스를 분배하기 위한 장치 KR100715079B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US14309199P 1999-07-09 1999-07-09
US60143091 1999-07-09
US9609994 2000-07-05
US09/609,994 US6495233B1 (en) 1999-07-09 2000-07-05 Apparatus for distributing gases in a chemical vapor deposition system
US09609994 2000-07-05

Publications (2)

Publication Number Publication Date
KR20010039711A KR20010039711A (ko) 2001-05-15
KR100715079B1 true KR100715079B1 (ko) 2007-05-07

Family

ID=26840669

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000039300A KR100715079B1 (ko) 1999-07-09 2000-07-10 화학 기상 증착 시스템에서 가스를 분배하기 위한 장치

Country Status (3)

Country Link
US (1) US6495233B1 (ko)
KR (1) KR100715079B1 (ko)
TW (1) TW548723B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013122311A1 (ko) * 2012-02-16 2013-08-22 주식회사 유진테크 기판 처리 모듈 및 이를 포함하는 기판 처리 장치
US11746419B2 (en) * 2018-10-25 2023-09-05 Aixtron Se Shield plate for a CVD reactor

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7276122B2 (en) * 2004-04-21 2007-10-02 Mattson Technology, Inc. Multi-workpiece processing chamber
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US8002939B2 (en) * 2004-12-30 2011-08-23 E. I. Du Pont De Nemours And Company Encapsulation tool and methods
KR100706251B1 (ko) * 2005-07-14 2007-04-12 삼성전자주식회사 반도체 제조 장치 및 냉매 순환 방법
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US8173995B2 (en) 2005-12-23 2012-05-08 E. I. Du Pont De Nemours And Company Electronic device including an organic active layer and process for forming the electronic device
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
US20070295012A1 (en) * 2006-06-26 2007-12-27 Applied Materials, Inc. Nitrogen enriched cooling air module for uv curing system
US20070298167A1 (en) * 2006-06-26 2007-12-27 Applied Materials, Inc. Ozone abatement in a re-circulating cooling system
CN101528973B (zh) * 2006-10-24 2012-04-25 应用材料公司 用于原子层沉积的涡流室盖
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090314208A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362002A1 (en) * 2010-02-18 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Continuous patterned layer deposition
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US20120009347A1 (en) * 2010-07-07 2012-01-12 Applied Materials, Inc. Precise temperature control for teos application by heat transfer fluid
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
KR20130095421A (ko) * 2012-02-20 2013-08-28 삼성전자주식회사 전구물질 기화 장치 및 이를 이용한 막 형성 방법
US20130344246A1 (en) * 2012-06-21 2013-12-26 Xuesong Li Dual-Chamber Reactor for Chemical Vapor Deposition
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
DE102014106523A1 (de) 2014-05-09 2015-11-12 Aixtron Se Vorrichtung und Verfahren zum Versorgen einer CVD- oder PVD-Beschichtungseinrichtung mit einem Prozessgasgemisch
US10460949B2 (en) * 2014-10-20 2019-10-29 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10741428B2 (en) * 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
US11881416B2 (en) * 2020-12-14 2024-01-23 Applied Materials, Inc. Gas delivery system for a shared gas delivery architecture
CN114768578B (zh) * 2022-05-20 2023-08-18 北京北方华创微电子装备有限公司 混气装置及半导体工艺设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980042485A (ko) * 1996-11-18 1998-08-17 조셉제이.스위니 하나 이상의 가스를 여기시키기 위한 장치

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5725675A (en) 1996-04-16 1998-03-10 Applied Materials, Inc. Silicon carbide constant voltage gradient gas feedthrough
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980042485A (ko) * 1996-11-18 1998-08-17 조셉제이.스위니 하나 이상의 가스를 여기시키기 위한 장치

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013122311A1 (ko) * 2012-02-16 2013-08-22 주식회사 유진테크 기판 처리 모듈 및 이를 포함하는 기판 처리 장치
US11746419B2 (en) * 2018-10-25 2023-09-05 Aixtron Se Shield plate for a CVD reactor

Also Published As

Publication number Publication date
TW548723B (en) 2003-08-21
US6495233B1 (en) 2002-12-17
KR20010039711A (ko) 2001-05-15

Similar Documents

Publication Publication Date Title
KR100715079B1 (ko) 화학 기상 증착 시스템에서 가스를 분배하기 위한 장치
US7622005B2 (en) Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) Blocker plate bypass to distribute gases in a chemical vapor deposition system
US6110556A (en) Lid assembly for a process chamber employing asymmetric flow geometries
JP3935552B2 (ja) 半導体処理チャンバー用リッドアセンブリ
KR20050088454A (ko) 챔버 에지에서의 세정율을 개선하는 차단기 플레이트우회로 설계
KR100629358B1 (ko) 샤워 헤드
JP4564656B2 (ja) デュアルチャネル・ガス分配プレート
US20020072164A1 (en) Processing chamber with multi-layer brazed lid
KR100731164B1 (ko) 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JPH11158633A (ja) Cvd反応及びpecvd反応で反応物ガスの早期混合を防止するための装置並びに方法
WO2011009002A2 (en) Flow control features of cvd chambers
JP2000260763A (ja) 半導体ウェハの処理方法及び装置
KR100972801B1 (ko) 샤워헤드를 구비한 반도체 소자 제조 장비
TWI810272B (zh) 具有交錯的氣體供給和去除之氣體分配模組及使用方法
JP3081860B2 (ja) 化学気相成長装置及び半導体装置の製造方法
WO2018164807A1 (en) Diffuser design for flowable cvd
TW200410311A (en) Apparatus for depositing thin film on wafer
KR100972802B1 (ko) 샤워헤드를 구비한 반도체 소자 제조 장비
JP3289806B2 (ja) 化学気相成長装置および化学気相成長方法
JPH0555150A (ja) マイクロ波プラズマ処理装置
WO2004049413A1 (en) Apparatus for depositing thin film on wafer
KR950005098Y1 (ko) 종형 지압화학기상증착 설비의 단열 테이블
KR20010078621A (ko) 화학기상증착장치
KR100377094B1 (ko) 플라즈마 화학기상증착 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120329

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130329

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190424

Year of fee payment: 13